US20130244186A1 - Composition And Method For Removing Photoresist And Bottom Anti-Reflective Coating For A Semiconductor Substrate - Google Patents

Composition And Method For Removing Photoresist And Bottom Anti-Reflective Coating For A Semiconductor Substrate Download PDF

Info

Publication number
US20130244186A1
US20130244186A1 US13/891,412 US201313891412A US2013244186A1 US 20130244186 A1 US20130244186 A1 US 20130244186A1 US 201313891412 A US201313891412 A US 201313891412A US 2013244186 A1 US2013244186 A1 US 2013244186A1
Authority
US
United States
Prior art keywords
composition
tmah
solvent
nontoxic
mixed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/891,412
Inventor
Indranil De
Anh Duong
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intermolecular Inc
Original Assignee
Intermolecular Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intermolecular Inc filed Critical Intermolecular Inc
Priority to US13/891,412 priority Critical patent/US20130244186A1/en
Publication of US20130244186A1 publication Critical patent/US20130244186A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • G03F7/327Non-aqueous alkaline compositions, e.g. anhydrous quaternary ammonium salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5009Organic solvents containing phosphorus, sulfur or silicon, e.g. dimethylsulfoxide
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5013Organic solvents containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • C11D2111/22

Definitions

  • the present invention relates to the field of resist-removing solutions and particularly to a composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate.
  • Semiconductor device fabrication is the process used to create integrated circuits that are present in electrical and electronic devices.
  • the fabrication process includes a sequence of photographic and chemical processing steps during which electronic circuits are gradually created on a substrate made of semiconductor materials.
  • a typical substrate is made out of silicon, and is sliced and polished to obtain a regular and flat surface.
  • FEOL processing refers to the formation of the transistors directly in the silicon.
  • BEOL processing a semiconductor substrate is developed by the growth of an ultrapure, virtually defect-free silicon layer through epitaxy. Additional steps performed in the FEOL process include: growth of the gate dielectric (traditionally silicon dioxide), patterning of the gate, patterning of the source and drain regions, and subsequent implantation or diffusion of dopants to obtain the desired complementary electrical properties.
  • Patterning refers to a series of steps that form or alter the existing shape of the deposited materials on the substrate.
  • the substrate is coated with a layer of photoresist.
  • the photoresist is then exposed to a pattern of intense light, and the areas of the photoresist that are exposed to the intense light become soluble in a developer solution.
  • a layer of anti-reflective coating may be utilized to reduce reflections during the exposure.
  • the anti-reflective coating may be located at the bottom of the photoresist, and may be referred to as the bottom anti-reflective coating (BARC) layer.
  • BARC bottom anti-reflective coating
  • the developer solution is utilized to remove the exposed photoresist, and the remaining photoresist is solidified to form a protection for the underlying substrate during etching.
  • Etching refers to a step of utilizing chemical agents to remove the uppermost layer of the substrate in the areas that are not protected by the remaining photoresist. Upon completion of the etching process, the remaining photoresist and anti-reflective coating are no longer needed and must be removed from the substrate.
  • the photoresist may be removed utilizing plasma ashing, in which a plasma containing oxygen is used to oxidize the photoresist.
  • Deionized (DI) water may be used subsequently for DI water cleaning.
  • plasma ashing processes may damage refractory gate metals such as TiN, TaN and high-k dielectrics such as HfO 2 or HfSiO x .
  • removal of the photoresist may be difficult in areas that have been exposed to electron beam (ebeam) bombardment as a result of polymer cross-linking (e.g., if the substrate has been exposed to a post-lithography critical dimension scanning electron microscopy (CDSEM) metrology step and has been exposed to localized areas of ebeam dosing).
  • ebeam electron beam
  • CDSEM critical dimension scanning electron microscopy
  • a liquid photoresist removing solvent may be utilized to chemically alter the photoresist so that it no longer adheres to the substrate.
  • Such removal processes are referred to as wet processes.
  • ESH environmental safety and health
  • FIG. 1 is a flow diagram illustrating a method for removing photoresist and BARC in a front end of line process
  • FIG. 2 is a block diagram illustrating a composition including an organic and nontoxic solvent and Tetramethylammonium Hydroxide (TMAH).
  • TMAH Tetramethylammonium Hydroxide
  • the present disclosure is directed to a composition and method for removing photoresist and BARC in a front end of line process.
  • a nontoxic composition and a wet process is disclosed that is capable of removing both photoresist and BARC from a semiconductor substrate without damaging the refractory gate metals such as TiN, TaN and high-k dielectrics.
  • the composition and the wet process are capable of removing both photoresist and BARC on the substrate in a relatively short amount of time.
  • FIG. 1 shows a flow diagram illustrating steps performed by a method 100 for removing photoresist and BARC from a semiconductor substrate in a front end of line process.
  • Step 102 may receive the substrate with photoresist and/or BARC that need to be removed.
  • Step 104 may apply a composition to the substrate to dissolve the photoresist and BARC within approximately 1 minute or less.
  • the substrate may be removed from the composition in step 106 once the photoresist and BARC are removed.
  • the composition 200 includes a blend of organic solvents 202 that has an appropriate concentration of a strong base 204 and/or an oxidant.
  • the solvent blend may dissolve photoresist and BARC within approximately 1 minute or less without attacking refractory metal or a high-k oxide of a semiconductor.
  • a solvent blend with short process time also means that a single wafer wet tool may be utilized for resists removals.
  • the composition 200 is also capable of removing electron beam (ebeam) hardened resist for areas of the semiconductor that may have been exposed to ebeams as a result of polymer cross-linking.
  • the semiconductor substrate may be exposed to a post-lithography critical dimension scanning electron microscopy (CDSEM) metrology, which may expose the substrate to localized areas of electron beams and harden the photoresist that remains on the substrate.
  • CDSEM critical dimension scanning electron microscopy
  • the ebeam hardened photoresist may be difficult to remove utilizing plasma ashing.
  • the composition 200 of the present disclosure is capable of removing ebeam hardened photoresist efficiently.
  • the materials (chemical formulations) of solvent 202 may be selected based on their Hansen Solubility parameters.
  • the selected materials may be nontoxic (e.g., based on ESH criteria), having high flash points (e.g., above 80 degrees Celsius) and capable of dissolving both acrylic polymer and phenolic polymer.
  • the selected materials for solvent 202 may be selected based on their efficiencies in dissolving photoresist materials such as ultraviolet photoresist.
  • the selected materials for solvent 202 may have high polarity and may be required to mix well with water.
  • a suitable material for solvent 202 may be N-(2-Hydroxyethyl)-2-Pyrrolidone (NHEP), Diethylene Glycol Monomethyl Ether (DEGME), or Dimethyl Sulfoxide (DMSO).
  • NHEP N-(2-Hydroxyethyl)-2-Pyrrolidone
  • DEGME Diethylene Glycol Monomethyl Ether
  • DMSO Dimethyl Sulfoxide
  • Base 204 may be added to the solvent 202 for BARC removal.
  • the mixture of the solvent 202 and the base 204 may produce a composition 200 having a pH less than approximately 9.
  • Tetramethylammonium Hydroxide (TMAH) is utilized as the base 204 .
  • the concentration of TMAH in the composition 200 is specifically blended so that the composition 200 does not attack the refractory metal such as TiN and TaN or the high k material such as HfO x or HfSiO x during the resist-removal process.
  • TMAH is a quaternary ammonium salt with the molecular formula (CH 3 ) 4 NOH. It is a phase transfer catalysis that facilitates acceleration of the reaction from one phase into another phase where reaction occurs. In the presence of TMAH, ionic reactants are easily soluble in the organic solvent 202 .
  • the amount and concentration of TMAH may be optimized to selectively etch BARC layer but not over-etch the gate metals such as TiN, TaN and high-k dielectrics such as HfO x or HfSiO x .
  • TMAH TMAH
  • NHEP NHEP
  • TMAH TMAH
  • DEGME organic solvent
  • TMAH TMAH
  • TMAH TMAH
  • the effectiveness and the optimal chemical formulations of the composition of the present disclosure may be tested and determined utilizing a high throughput approach.
  • the etch rates of all the films of interest may be tested on blanket substrates by varying the process condition. This may include testing the etch rates on photoresist, BARC, the underlying refractory material, and the high-k.
  • the composition being tested may include various types of solvent and formulations mixed with different concentrations of base (TMAH).
  • the tests may be conducted under different testing conditions, such as varying temperatures and varying agitation to the composition fluid (e.g. stirrer speed).
  • a high productivity combinatorial (HPC) system may be utilized to quickly generate a set of libraries for the various compositions being tested.
  • An exemplary HPC system is disclosed in: Combinatorial Process System, U.S. patent application Ser. No. 12/027,980, which is herein incorporated by reference in its entirety.
  • the effectiveness of removing ebeam or CDSEM hardened photoresist utilizing the composition of the present disclosure is also tested.
  • the CDSEM hardened photoresist may be reproduced for testing purposes utilizing a flood gun dose that matches the CDSEM dose on a real product substrate.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

A composition for removing photoresist and bottom anti-reflective coating from a semiconductor substrate is disclosed. The composition may comprise a nontoxic solvent, the nontoxic solvent having a flash point above 80 degrees Celsius and being capable of dissolving acrylic polymer and phenolic polymer. The composition may further comprise Tetramethylammonium Hydroxide (TMAH) mixed with the nontoxic solvent.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • Noon This application is a divisional and claims priority to U.S. patent application Ser. No. 12/970,421, filed 16 Dec. 2010, which is entirely incorporated by reference herein for all purposes.
  • TECHNICAL FIELD
  • The present invention relates to the field of resist-removing solutions and particularly to a composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate.
  • BACKGROUND
  • Semiconductor device fabrication is the process used to create integrated circuits that are present in electrical and electronic devices. The fabrication process includes a sequence of photographic and chemical processing steps during which electronic circuits are gradually created on a substrate made of semiconductor materials. A typical substrate is made out of silicon, and is sliced and polished to obtain a regular and flat surface.
  • Once the substrate is prepared, many process steps are necessary to produce the desired semiconductor integrated circuit. Such steps can be grouped into two major parts: Front End of Line (FEOL) processing and Back End of Line (BEOL) processing. FEOL processing refers to the formation of the transistors directly in the silicon. In FEOL processing, a semiconductor substrate is developed by the growth of an ultrapure, virtually defect-free silicon layer through epitaxy. Additional steps performed in the FEOL process include: growth of the gate dielectric (traditionally silicon dioxide), patterning of the gate, patterning of the source and drain regions, and subsequent implantation or diffusion of dopants to obtain the desired complementary electrical properties.
  • Patterning refers to a series of steps that form or alter the existing shape of the deposited materials on the substrate. During patterning, the substrate is coated with a layer of photoresist. The photoresist is then exposed to a pattern of intense light, and the areas of the photoresist that are exposed to the intense light become soluble in a developer solution. A layer of anti-reflective coating may be utilized to reduce reflections during the exposure. The anti-reflective coating may be located at the bottom of the photoresist, and may be referred to as the bottom anti-reflective coating (BARC) layer. After the exposure, the developer solution is utilized to remove the exposed photoresist, and the remaining photoresist is solidified to form a protection for the underlying substrate during etching.
  • Etching refers to a step of utilizing chemical agents to remove the uppermost layer of the substrate in the areas that are not protected by the remaining photoresist. Upon completion of the etching process, the remaining photoresist and anti-reflective coating are no longer needed and must be removed from the substrate. The photoresist may be removed utilizing plasma ashing, in which a plasma containing oxygen is used to oxidize the photoresist. Deionized (DI) water may be used subsequently for DI water cleaning. However, plasma ashing processes may damage refractory gate metals such as TiN, TaN and high-k dielectrics such as HfO2 or HfSiOx. Furthermore, removal of the photoresist may be difficult in areas that have been exposed to electron beam (ebeam) bombardment as a result of polymer cross-linking (e.g., if the substrate has been exposed to a post-lithography critical dimension scanning electron microscopy (CDSEM) metrology step and has been exposed to localized areas of ebeam dosing).
  • Alternatively, a liquid photoresist removing solvent may be utilized to chemically alter the photoresist so that it no longer adheres to the substrate. Such removal processes are referred to as wet processes. However, a requirement for a reduction in the environmental safety and health (ESH) impact of such solvents has changed. For instance, N-methyl-pyrrolidone (NMP), solvent used in photoresist and BARC removal, could be classified as reprotoxic.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The numerous advantages of the present invention may be better understood by those skilled in the art by reference to the accompanying figures in which:
  • FIG. 1 is a flow diagram illustrating a method for removing photoresist and BARC in a front end of line process; and
  • FIG. 2 is a block diagram illustrating a composition including an organic and nontoxic solvent and Tetramethylammonium Hydroxide (TMAH).
  • DETAILED DESCRIPTION
  • Reference will now be made in detail to the presently preferred embodiments of the invention, examples of which are illustrated in the accompanying drawings.
  • The present disclosure is directed to a composition and method for removing photoresist and BARC in a front end of line process. A nontoxic composition and a wet process is disclosed that is capable of removing both photoresist and BARC from a semiconductor substrate without damaging the refractory gate metals such as TiN, TaN and high-k dielectrics. In addition, the composition and the wet process are capable of removing both photoresist and BARC on the substrate in a relatively short amount of time.
  • FIG. 1 shows a flow diagram illustrating steps performed by a method 100 for removing photoresist and BARC from a semiconductor substrate in a front end of line process. Step 102 may receive the substrate with photoresist and/or BARC that need to be removed. Step 104 may apply a composition to the substrate to dissolve the photoresist and BARC within approximately 1 minute or less. The substrate may be removed from the composition in step 106 once the photoresist and BARC are removed.
  • Referring to FIG. 2, an illustration depicting the composition 200 of the present disclosure is shown. The composition 200 includes a blend of organic solvents 202 that has an appropriate concentration of a strong base 204 and/or an oxidant. The solvent blend may dissolve photoresist and BARC within approximately 1 minute or less without attacking refractory metal or a high-k oxide of a semiconductor. Furthermore, a solvent blend with short process time also means that a single wafer wet tool may be utilized for resists removals.
  • The composition 200 is also capable of removing electron beam (ebeam) hardened resist for areas of the semiconductor that may have been exposed to ebeams as a result of polymer cross-linking. For example, the semiconductor substrate may be exposed to a post-lithography critical dimension scanning electron microscopy (CDSEM) metrology, which may expose the substrate to localized areas of electron beams and harden the photoresist that remains on the substrate. The ebeam hardened photoresist may be difficult to remove utilizing plasma ashing. However, the composition 200 of the present disclosure is capable of removing ebeam hardened photoresist efficiently.
  • In one embodiment, the materials (chemical formulations) of solvent 202 may be selected based on their Hansen Solubility parameters. The selected materials may be nontoxic (e.g., based on ESH criteria), having high flash points (e.g., above 80 degrees Celsius) and capable of dissolving both acrylic polymer and phenolic polymer. In addition, the selected materials for solvent 202 may be selected based on their efficiencies in dissolving photoresist materials such as ultraviolet photoresist. Furthermore, the selected materials for solvent 202 may have high polarity and may be required to mix well with water. For instance, a suitable material for solvent 202 may be N-(2-Hydroxyethyl)-2-Pyrrolidone (NHEP), Diethylene Glycol Monomethyl Ether (DEGME), or Dimethyl Sulfoxide (DMSO).
  • Base 204 may be added to the solvent 202 for BARC removal. The mixture of the solvent 202 and the base 204 may produce a composition 200 having a pH less than approximately 9. In one embodiment, Tetramethylammonium Hydroxide (TMAH) is utilized as the base 204. The concentration of TMAH in the composition 200 is specifically blended so that the composition 200 does not attack the refractory metal such as TiN and TaN or the high k material such as HfOx or HfSiOx during the resist-removal process.
  • TMAH is a quaternary ammonium salt with the molecular formula (CH3)4NOH. It is a phase transfer catalysis that facilitates acceleration of the reaction from one phase into another phase where reaction occurs. In the presence of TMAH, ionic reactants are easily soluble in the organic solvent 202. The amount and concentration of TMAH may be optimized to selectively etch BARC layer but not over-etch the gate metals such as TiN, TaN and high-k dielectrics such as HfOx or HfSiOx.
  • For instance, if NHEP is utilized as the solvent 202, then the addition of TMAH to NHEP produces a composition 200 (having a concentration of approximately 2.5% of TMAH by volume) that may allow the composition 200 to dissolve both photoresist and BARC on the semiconductor within 1 minute or less. In another example, if DEGME is utilized as the organic solvent, then the addition of TMAH to DEGME produces another composition 200 (having a concentration of no more than approximately 2.5% of TMAH by volume) that may dissolve both photoresist and BARC on the semiconductor within 1 minute or less at the temperature of approximately 50 degrees Celsius. In still another example, if DMSO is utilized as the organic solvent, then the addition of TMAH to DMSO produces still another composition 200 (having a concentration of no more than approximately 2.5% of TMAH by volume) that may dissolve both photoresist and BARC on the semiconductor within approximately 1 minute or less at the temperature of approximately 60 degrees Celsius.
  • The effectiveness and the optimal chemical formulations of the composition of the present disclosure may be tested and determined utilizing a high throughput approach. To reduce the number of substrates used for testing and product development, the etch rates of all the films of interest may be tested on blanket substrates by varying the process condition. This may include testing the etch rates on photoresist, BARC, the underlying refractory material, and the high-k. The composition being tested may include various types of solvent and formulations mixed with different concentrations of base (TMAH). In addition, the tests may be conducted under different testing conditions, such as varying temperatures and varying agitation to the composition fluid (e.g. stirrer speed). In one embodiment, a high productivity combinatorial (HPC) system may be utilized to quickly generate a set of libraries for the various compositions being tested. An exemplary HPC system is disclosed in: Combinatorial Process System, U.S. patent application Ser. No. 12/027,980, which is herein incorporated by reference in its entirety. Furthermore, the effectiveness of removing ebeam or CDSEM hardened photoresist utilizing the composition of the present disclosure is also tested. For example, the CDSEM hardened photoresist may be reproduced for testing purposes utilizing a flood gun dose that matches the CDSEM dose on a real product substrate.
  • It is believed that the present invention and many of its attendant advantages will be understood by the foregoing description. It is also believed that it will be apparent that various changes may be made in the form, construction and arrangement of the components thereof without departing from the scope and spirit of the invention or without sacrificing all of its material advantages. The form herein before described being merely an explanatory embodiment thereof, it is the intention of the following claims to encompass and include such changes.

Claims (20)

What is claimed is:
1. A composition, comprising:
a nontoxic solvent; and
Tetramethylammonium Hydroxide (TMAH) mixed with the nontoxic solvent;
wherein the nontoxic solvent has a flash point above 80 degrees Celsius; and
wherein the nontoxic solvent is capable of dissolving acrylic polymer and phenolic polymer.
2. The composition of claim 1, wherein the relative concentrations of the TMAH and the nontoxic solvent result in a pH less than approximately 9.
3. The composition of claim 1, wherein the nontoxic solvent is capable of dissolving acrylic polymer and phenolic polymer in about 1 minute at a temperature between about 20 and about 80 C.
4. The composition of claim 1, wherein the concentration of the TMAH is selected to not attack refractory metals exposed to the nontoxic solvent for less than about 1 minute.
5. The composition of claim 1, wherein the nontoxic solvent has high polarity and mixes well with water.
6. The composition of claim 1, wherein the nontoxic solvent is N-(2-Hydroxyethyl)-2-Pyrrolidone.
7. The composition of claim 6, wherein the TMAH mixed with the nontoxic solvent provides the composition a concentration of approximately 2.5% of TMAH by volume.
8. The composition of claim 1, wherein the nontoxic solvent is Diethylene Glycol Monomethyl Ether.
9. The composition of claim 8, wherein the TMAH mixed with the nontoxic solvent provides the composition a concentration of no more than approximately 2.5% of TMAH by volume.
10. The composition of claim 1, wherein the nontoxic solvent is Dimethyl Sulfoxide.
11. The composition of claim 10, wherein the TMAH mixed with the nontoxic solvent provides the composition a concentration of no more than approximately 2.5% of TMAH by volume.
12. A composition, comprising:
an organic solvent capable of dissolving acrylic polymer and phenolic polymer; and
Tetramethylammonium Hydroxide (TMAH) mixed with the organic solvent.
13. The composition of claim 12, wherein the organic solvent is N-(2-Hydroxyethyl)-2-Pyrrolidone.
14. The composition of claim 13, wherein the TMAH mixed with the organic solvent provides the composition a concentration of approximately 2.5% of TMAH by volume.
15. The composition of claim 12, wherein the organic solvent is Diethylene Glycol Monomethyl Ether.
16. The composition of claim 15, wherein the TMAH mixed with the organic solvent provides the composition a concentration of no more than approximately 2.5% of TMAH by volume.
17. The composition of claim 12, wherein the organic solvent is Dimethyl Sulfoxide.
18. The composition of claim 17, wherein the TMAH mixed with the organic solvent provides the composition a concentration of no more than approximately 2.5% of TMAH by volume.
19. The composition of claim 12, wherein the TMAH mixed with the organic solvent provides the composition a pH less than about 9.
20. The composition of claim 12, wherein an amount and concentration of the TMAH is optimized to selectively etch a bottom anti-reflective coating (BARC) but not to over-etch a gate metal or a high-k dielectric.
US13/891,412 2010-12-16 2013-05-10 Composition And Method For Removing Photoresist And Bottom Anti-Reflective Coating For A Semiconductor Substrate Abandoned US20130244186A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/891,412 US20130244186A1 (en) 2010-12-16 2013-05-10 Composition And Method For Removing Photoresist And Bottom Anti-Reflective Coating For A Semiconductor Substrate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/970,421 US8449681B2 (en) 2010-12-16 2010-12-16 Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate
US13/891,412 US20130244186A1 (en) 2010-12-16 2013-05-10 Composition And Method For Removing Photoresist And Bottom Anti-Reflective Coating For A Semiconductor Substrate

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/970,421 Division US8449681B2 (en) 2010-12-16 2010-12-16 Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate

Publications (1)

Publication Number Publication Date
US20130244186A1 true US20130244186A1 (en) 2013-09-19

Family

ID=46235154

Family Applications (3)

Application Number Title Priority Date Filing Date
US12/970,421 Expired - Fee Related US8449681B2 (en) 2010-12-16 2010-12-16 Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate
US13/779,277 Expired - Fee Related US8926758B2 (en) 2010-12-16 2013-02-27 Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate
US13/891,412 Abandoned US20130244186A1 (en) 2010-12-16 2013-05-10 Composition And Method For Removing Photoresist And Bottom Anti-Reflective Coating For A Semiconductor Substrate

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US12/970,421 Expired - Fee Related US8449681B2 (en) 2010-12-16 2010-12-16 Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate
US13/779,277 Expired - Fee Related US8926758B2 (en) 2010-12-16 2013-02-27 Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate

Country Status (1)

Country Link
US (3) US8449681B2 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5910500B2 (en) * 2010-09-29 2016-04-27 Jsr株式会社 Pattern formation method
US8449681B2 (en) * 2010-12-16 2013-05-28 Intermolecular, Inc. Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate
US8735302B2 (en) * 2012-05-24 2014-05-27 Intermolecular, Inc. High productivity combinatorial oxide terracing and PVD/ALD metal deposition combined with lithography for gate work function extraction
US9182660B2 (en) * 2012-06-01 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for electron beam patterning
DE102014114176B4 (en) 2013-10-17 2023-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6379875B2 (en) * 2000-06-08 2002-04-30 Shipley Company, Llc Stripper pretreatment
US6455479B1 (en) * 2000-08-03 2002-09-24 Shipley Company, L.L.C. Stripping composition
US20020146647A1 (en) * 1999-09-10 2002-10-10 Nec Corporation, Tokyo Ohka Kogyo Co., Ltd. Composition of photoresist remover effective against etching residue without damage to corrodible metal layer and process using the same
US20080011714A1 (en) * 2001-08-03 2008-01-17 Shigeru Yokoi Photoresist stripping solution and a method of stripping photoresists using the same
US20090131295A1 (en) * 2007-11-16 2009-05-21 Hua Cui Compositions for Removal of Metal Hard Mask Etching Residues from a Semiconductor Substrate
US20100242998A1 (en) * 2009-03-27 2010-09-30 Eastman Chemical Company Compositions and methods for removing organic substances
US20130273479A9 (en) * 2009-03-27 2013-10-17 Eastman Chemical Company Processess and compositions for removing substances from substrates

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5407788A (en) 1993-06-24 1995-04-18 At&T Corp. Photoresist stripping method
JP2911792B2 (en) 1995-09-29 1999-06-23 東京応化工業株式会社 Stripper composition for resist
JP3606738B2 (en) * 1998-06-05 2005-01-05 東京応化工業株式会社 Treatment liquid after ashing and treatment method using the same
US7135445B2 (en) * 2001-12-04 2006-11-14 Ekc Technology, Inc. Process for the use of bis-choline and tris-choline in the cleaning of quartz-coated polysilicon and other materials
JP3410403B2 (en) * 1999-09-10 2003-05-26 東京応化工業株式会社 Photoresist stripping solution and photoresist stripping method using the same
JP4282054B2 (en) * 2002-09-09 2009-06-17 東京応化工業株式会社 Cleaning liquid used in dual damascene structure forming process and substrate processing method
US20060003910A1 (en) * 2004-06-15 2006-01-05 Hsu Jiun Y Composition and method comprising same for removing residue from a substrate
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
JP4463054B2 (en) * 2004-09-17 2010-05-12 東京応化工業株式会社 Photoresist stripping solution and substrate processing method using the same
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
JP2006106616A (en) * 2004-10-08 2006-04-20 Tokyo Ohka Kogyo Co Ltd Treating liquid for removing photoresist and substrate treatment method
WO2006058034A2 (en) * 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US7700533B2 (en) * 2005-06-23 2010-04-20 Air Products And Chemicals, Inc. Composition for removal of residue comprising cationic salts and methods using same
US7632796B2 (en) 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US7674755B2 (en) * 2005-12-22 2010-03-09 Air Products And Chemicals, Inc. Formulation for removal of photoresist, etch residue and BARC
WO2007095194A2 (en) * 2006-02-10 2007-08-23 Intermolecular, Inc. Method and apparatus for combinatorially varying materials, unit process and process sequence
US20080009127A1 (en) 2006-07-04 2008-01-10 Hynix Semiconductor Inc. Method of removing photoresist
US8236475B2 (en) 2008-05-19 2012-08-07 Advanced Micro Devices, Inc. Methods for removing a photoresist from a metal-comprising material
EP2166564B1 (en) 2008-09-19 2017-04-12 Imec Method for removing a hardened photoresist from a semiconductor substrate
US8216384B2 (en) * 2009-01-15 2012-07-10 Intermolecular, Inc. Combinatorial approach to the development of cleaning formulations for wet removal of high dose implant photoresist
US8309502B2 (en) * 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
US8512939B2 (en) * 2009-09-25 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist stripping technique
US8449681B2 (en) * 2010-12-16 2013-05-28 Intermolecular, Inc. Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate
US8835212B2 (en) * 2011-09-19 2014-09-16 Intermolecular, Inc. Combinatorial methods for developing superstrate thin film solar cells
US20130157897A1 (en) * 2011-12-15 2013-06-20 Intermolecular, Inc. Method and apparatus for magnetic stirring
US8603837B1 (en) * 2012-07-31 2013-12-10 Intermolecular, Inc. High productivity combinatorial workflow for post gate etch clean development

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020146647A1 (en) * 1999-09-10 2002-10-10 Nec Corporation, Tokyo Ohka Kogyo Co., Ltd. Composition of photoresist remover effective against etching residue without damage to corrodible metal layer and process using the same
US6379875B2 (en) * 2000-06-08 2002-04-30 Shipley Company, Llc Stripper pretreatment
US6455479B1 (en) * 2000-08-03 2002-09-24 Shipley Company, L.L.C. Stripping composition
US20080011714A1 (en) * 2001-08-03 2008-01-17 Shigeru Yokoi Photoresist stripping solution and a method of stripping photoresists using the same
US20090131295A1 (en) * 2007-11-16 2009-05-21 Hua Cui Compositions for Removal of Metal Hard Mask Etching Residues from a Semiconductor Substrate
US20100242998A1 (en) * 2009-03-27 2010-09-30 Eastman Chemical Company Compositions and methods for removing organic substances
US20130273479A9 (en) * 2009-03-27 2013-10-17 Eastman Chemical Company Processess and compositions for removing substances from substrates

Also Published As

Publication number Publication date
US20130167867A1 (en) 2013-07-04
US8449681B2 (en) 2013-05-28
US8926758B2 (en) 2015-01-06
US20120157367A1 (en) 2012-06-21

Similar Documents

Publication Publication Date Title
JP3048207B2 (en) Detergent composition containing nucleophilic amine compound having reduction and oxidation potential and method for cleaning substrate using the same
US6777380B2 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
JP3410403B2 (en) Photoresist stripping solution and photoresist stripping method using the same
US7456140B2 (en) Compositions for cleaning organic and plasma etched residues for semiconductor devices
TW201533549A (en) Resist-stripping liquid
JP2013540171A (en) Methods and compositions for removing materials from substrates
EP1488286A1 (en) Ph buffered compositions for cleaning semiconductor substrates
US8926758B2 (en) Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate
JP3514435B2 (en) Photoresist stripping solution and photoresist stripping method using the same
US6379875B2 (en) Stripper pretreatment
KR20010086161A (en) Non-corrosive cleaning composition and method for removing plasma etching residues
JP2003098691A (en) Resist removing composition and method for removing resist using the same
US6242400B1 (en) Method of stripping resists from substrates using hydroxylamine and alkanolamine
CN110777021A (en) Post etch residue cleaning compositions and methods of use thereof
JP3833176B2 (en) Photoresist remover composition
KR20070023004A (en) Remover of PR and pixel layer
JP2001183849A (en) Remover for photoresist and method for removing photoresist using same
JP2004533010A (en) Resist remover composition
JP3976160B2 (en) Treatment liquid after ashing and treatment method using the same
US8853081B2 (en) High dose ion-implanted photoresist removal using organic solvent and transition metal mixtures
TWI595331B (en) A resist stripping solution and a resist strip process
WO2002095501A1 (en) Resist remover composition
JP2001033988A (en) Rinsing solution for photolithography, and treatment of substrate using same
TW200424760A (en) Photoresist remover composition
KR100378551B1 (en) Resist remover composition

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION