DE102014114176B4 - Method of manufacturing a semiconductor device - Google Patents

Method of manufacturing a semiconductor device Download PDF

Info

Publication number
DE102014114176B4
DE102014114176B4 DE102014114176.8A DE102014114176A DE102014114176B4 DE 102014114176 B4 DE102014114176 B4 DE 102014114176B4 DE 102014114176 A DE102014114176 A DE 102014114176A DE 102014114176 B4 DE102014114176 B4 DE 102014114176B4
Authority
DE
Germany
Prior art keywords
group
photoresist
methyl
barc layer
ether
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102014114176.8A
Other languages
German (de)
Other versions
DE102014114176A1 (en
Inventor
Ching-Yu Chang
Chen-Yu Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/056,737 external-priority patent/US9245751B2/en
Priority claimed from US14/490,517 external-priority patent/US9256128B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102014114176A1 publication Critical patent/DE102014114176A1/en
Application granted granted Critical
Publication of DE102014114176B4 publication Critical patent/DE102014114176B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means

Abstract

Verfahren zur Herstellung einer Halbleitereinrichtung (100), wobei das Verfahren umfasst:Verteilen eines Antireflexionsmaterials über ein Substrat (101), um eine Antireflexionsbeschichtungsschicht (105) auszubilden, wobei das Antireflexionsmaterial eine erste Konzentration eines aufschwimmenden Bestandteils aufweist, wobei der aufschwimmende Bestandteil ein aufschwimmendes Vernetzungsagens oder einen aufschwimmenden Katalysator einer Vernetzungsreaktion umfasst;Ausbilden eines Aufschwimmbereichs (201) anliegend an eine obere Fläche der Antireflexionsbeschichtung (105), wobei der Aufschwimmbereich (201) eine zweite Konzentration des aufschwimmenden Bestandteils aufweist, die größer als die erste Konzentration ist; undAnwenden eines Fluids (601) auf das Antireflexionsmaterial zum Beseitigen des Antireflexionsmaterials und des Aufschwimmbereichs (201).A method of manufacturing a semiconductor device (100), the method comprising:dispensing an anti-reflective material over a substrate (101) to form an anti-reflective coating layer (105), the anti-reflective material having a first concentration of a floatable component, the floatable component comprising a floatable crosslinking agent or a buoyant catalyst of a crosslinking reaction;forming a buoyant region (201) adjacent a top surface of the anti-reflective coating (105), the buoyant region (201) having a second concentration of the buoyant component that is greater than the first concentration; and applying a fluid (601) to the anti-reflective material to eliminate the anti-reflective material and the floating area (201).

Description

ALLGEMEINER STAND DER TECHNIKBACKGROUND ART

Da die Verbrauchergeräte in Reaktion auf Verbraucheranforderungen immer kleiner geworden sind, haben auch die Abmessungen der einzelnen Bestandteile dieser Geräte zwangsläufig abgenommen. Halbleitereinrichtungen, die einen Hauptbestandteil dieser Geräte, wie z.B. der Mobiltelefone, Computer-Tablets und dergleichen, bilden, wurden komprimiert, um immer kleiner zu werden, wobei ein entsprechender Druck auf die einzelnen Bauelemente (z.B. Transistoren, Widerstände, Kondensatoren usw.) in den Halbleitereinrichtungen ausgeübt wurde, um auch deren Größe zu verringern.As consumer devices have become smaller and smaller in response to consumer demands, the dimensions of the individual components of these devices have inevitably been reduced. Semiconductor devices, which form a main part of these devices such as mobile phones, computer tablets and the like, have been compressed to become smaller and smaller, with a corresponding pressure on the individual components (e.g. transistors, resistors, capacitors, etc.) in the Semiconductor devices has been practiced to reduce their size as well.

Eine Grundlagentechnologie, die in den Herstellungsprozessen der Halbleitereinrichtungen verwendet wird, ist der Einsatz von fotolithografischen Materialien. Derartige Materialien werden auf eine Oberfläche aufgetragen und dann einer Energie ausgesetzt, die ihrerseits strukturiert worden ist. Eine derartige Exposition verändert die chemischen und physikalischen Eigenschaften der exponierten Bereiche des fotolithografischen Materials. Diese Veränderung kann zusammen mit dem Fehlen einer Veränderung in Bereichen des fotolithografischen Materials, die nicht exponiert wurden, verwendet werden, um einen Bereich zu beseitigen, ohne den anderen entfernen.A fundamental technology used in the manufacturing processes of semiconductor devices is the use of photolithographic materials. Such materials are applied to a surface and then subjected to energy that has itself been patterned. Such exposure changes the chemical and physical properties of the exposed areas of the photolithographic material. This change, together with the lack of change in areas of the photolithographic material that were not exposed, can be used to eliminate one area without removing the other.

Da jedoch die Größe der einzelnen Einrichtungen verringert wurde, sind die Prozessfenster für die fotolithografische Bearbeitung immer enger geworden. Von daher sind Fortschritte auf dem Gebiet der fotolithografischen Bearbeitung, wie z.B. der Einsatz von Antireflexionsschichten zum Verhindern unerwünschter Reflexionen des auftreffenden Lichts, erforderlich geworden, um weiter über die Fähigkeit zum Verkleinern der Geräte zu verfügen, und es werden weitere Verbesserungen benötigt, um den gewünschten Entwicklungskriterien derart zu genügen, dass der Fortschritt zu immer kleineren Komponenten hin weitergehen kann.However, as the size of individual devices has decreased, the process windows for photolithographic processing have become narrower. As such, advances in the field of photolithographic processing, such as the use of anti-reflection coatings to prevent unwanted reflections of incident light, have become necessary to further have the downsizing capability, and further improvements are needed to achieve the desired To meet development criteria in such a way that progress can continue towards smaller and smaller components.

US 2012 / 0 070 782 A1 offenbart eine obere Antireflexionsschicht, deren Zusammensetzung und Photoresist-Rezepturen. Dabei wird bei einem Auf-Spin-Prozess einer Antireflexionsschicht auf ein Substrat eine obere Schicht aufgrund zugesetzter Polymere abgetrennt und die gesamte Schicht nach einem Prozessierungsschritt von dem Substrat entfernt. U.S. 2012/0 070 782 A1 discloses a top antireflective layer, its composition, and photoresist formulations. In this case, in a spin-on process of an antireflection layer on a substrate, an upper layer is separated due to added polymers and the entire layer is removed from the substrate after a processing step.

US 2012 / 0 157 367 A1 offenbart eine Rezeptur und ein Verfahren, um Photoresist und eine Boden-Antireflexionsschicht auf einem Halbleiter-Substrat in unter einer Minute zu entfernen. U.S. 2012/0 157 367 A1 discloses a formulation and method for removing photoresist and a bottom anti-reflective coating on a semiconductor substrate in under a minute.

US 2012 / 0 157 367 A1 eine Boden-Antireflexionsschicht, welche in einem positiven Belichtungsprozess verwendet werden kann. Dabei werden zwei nicht mischbare Polymere verwendet, wobei das erste Polymer während eines Beschichtungsprozesses sich von dem zweiten Polymer trennt und eine obere Schicht bildet. U.S. 2012/0 157 367 A1 a bottom anti-reflection layer which can be used in a positive exposure process. Two immiscible polymers are used here, with the first polymer separating from the second polymer during a coating process and forming an upper layer.

US 2010 / 0 075 248 A1 offenbart Verfahren zum Bereitstellen von Boden-Antireflexionsbeschichtungen mit verbessertem Beseitigungsverhalten. Hierzu wird eine Kombination aus einem kurzkettigen Alkohol und Tetraethylenglycol verwendet, welche das Beseitigungsverhalten gegenüber Nass-chemischen Beseitigungslösungen verbessert. U.S. 2010/0 075 248 A1 discloses methods of providing ground anti-reflective coatings with improved cancellation performance. A combination of a short chain alcohol and tetraethylene glycol is used to improve removal performance over wet chemical removal solutions.

Figurenlistecharacter list

Für ein gründlicheres Verständnis der vorliegenden Ausführungsformen und ihrer Vorzüge wird nun auf die nachfolgenden Beschreibungen in Verbindung mit den beigefügten Zeichnungen Bezug genommen, in denen:

  • 1 ein anfängliches Verteilen einer Boden-Antireflexionsschicht auf einem Halbleitersubstrat gemäß einer Ausführungsform darstellt;
  • 2 ein Ausbilden eines Aufschwimmbereichs gemäß einer Ausführungsform darstellt;
  • 3 einen Ausheizvorgang gemäß einer Ausführungsform darstellt;
  • die 4A-4B ein Aufbringen, Exponieren und Entwickeln eines Fotoresists gemäß einer Ausführungsform darstellen;
  • 5 eine weitere Ausführungsform darstellt, in der die Antireflexionsbeschichtung in einem chemisch-mechanischen Poliervorgang gemäß einer Ausführungsform planarisiert wird;
  • 6 stellt einen Schritt beim Beseitigen der Boden-Antireflexionsschicht und des Aufschwimmbereichs gemäß einer Ausführungsform dar;
  • 7 stellt ein Beseitigen der Boden-Antireflexionsschicht und des Aufschwimmbereichs gemäß einer Ausführungsform dar;
  • 8 stellt eine Mittelschicht dar, die in Verbindung mit der Boden-Antireflexionsschicht gemäß einer Ausführungsform verwendet wird; und
  • 9 stellt einen Prozessablauf beim Verteilen der Boden-Antireflexionsschicht, Ausbilden des Aufschwimmbereichs und der Anwenden eines Fluids zum Beseitigen der Boden-Antireflexionsschicht gemäß einer Ausführungsform dar.
For a more thorough understanding of the present embodiments and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • 1 Figure 13 illustrates an initial spreading of a bottom anti-reflection layer on a semiconductor substrate according to an embodiment;
  • 2 Figure 12 illustrates forming a buoyancy zone according to an embodiment;
  • 3 12 illustrates an annealing process according to one embodiment;
  • the 4A-4B illustrate applying, exposing, and developing a photoresist according to one embodiment;
  • 5 Figure 14 illustrates another embodiment in which the anti-reflective coating is planarized in a chemical mechanical polishing process according to one embodiment;
  • 6 12 illustrates a step in eliminating the bottom anti-reflective coating and the flotation area, according to one embodiment;
  • 7 Figure 12 illustrates eliminating the bottom anti-reflective layer and the flotation area according to one embodiment;
  • 8th Figure 12 illustrates a middle layer used in conjunction with the bottom anti-reflective layer according to one embodiment; and
  • 9 12 illustrates a process flow in spreading the bottom anti-reflective coating, forming the floating region, and applying a fluid to eliminate the bottom anti-reflective coating, according to one embodiment.

Entsprechende Ziffern und Symbole in den verschiedenen Figuren beziehen sich allgemein auf entsprechende Teile, wenn es nicht anders angegeben ist. Die Figuren sind so gezeichnet, dass sie die wesentlichen Aspekte der Ausführungsformen deutlich darstellen und sind nicht unbedingt maßstabgerecht gezeichnet.Corresponding numerals and symbols in the different figures generally refer to corresponding parts unless otherwise indicated. The figures are drawn in order to clearly illustrate the essential aspects of the embodiments and are not necessarily drawn to scale.

AUSFÜHRLICHE BESCHREIBUNG VERANSCHAULICHENDER AUSFÜHRUNGSFORMENDETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS

Die erfindungsgemäße Lösung wird durch ein Verfahren nach den Ansprüchen 1, 8 und 16 bereitgestellt. Nachstehend wird die Herstellung und Anwendung der vorliegenden Ausführungsformen im Einzelnen erläutert. Es sollte jedoch anerkannt werden, dass die vorliegende Offenbarung viele anwendbare erfinderische Konzepte bereitstellt, die in einer breiten Vielfalt spezifischer Zusammenhänge ausgeführt werden können.The solution according to the invention is provided by a method according to claims 1, 8 and 16. The manufacture and application of the present embodiments will be explained in detail below. However, it should be appreciated that the present disclosure provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts.

Ausführungsformen werden bezugnehmend auf einen spezifischen Zusammenhang, nämlich eine Boden-Antireflexionsbeschichtung, die bei der Herstellung von Halbleitereinrichtungen eingesetzt wird, beschrieben. Bei anderen Beschichtungen können in unterschiedlichen Prozessen jedoch auch andere Ausführungsformen angewendet werden.Embodiments are described with reference to a specific context, namely a bottom anti-reflective coating used in the manufacture of semiconductor devices. In the case of other coatings, however, other embodiments can also be used in different processes.

Es wird nun auf 1 Bezug genommen, in der ein Substrat 101 mit Finnen 103, die über dem Substrat 101 ausgebildet sind, und eine Boden-Antireflexionsbeschichtungs-Schicht (BARC) 105 dargestellt sind, die über den Finnen 103 und dem Substrat 101 aufgetragen ist. Das Substrat 101 kann im Wesentlichen leitend oder halbleitend mit einem elektrischen Widerstand von weniger als 103 Ohm-Meter sein und kann Volumensilizium, dotiert oder undotiert, oder eine aktive Schicht eines Silizium-auf-Isolator-Substrats (SOI) aufweisen. Im Allgemeinen umfasst ein SOI-Substrat eine Schicht eines Halbleitermaterials, wie z.B. Silizium, Germanium, Silizium-Germanium, SOI, Silizium-Germanium auf Isolator (SGOI) oder Kombinationen derselben. Andere Substrate, die verwendet werden können, schließen Mehrschichtsubstrate, Gradientensubstrate oder Hybridorientierungssubstrate ein.It will now open 1 Referring now to FIG. 1, a substrate 101 having fins 103 formed over the substrate 101 and a bottom anti-reflective coating (BARC) layer 105 applied over the fins 103 and the substrate 101 are illustrated. The substrate 101 may be substantially conductive or semiconductive with an electrical resistivity of less than 10 3 ohm-meters and may comprise bulk silicon, doped or undoped, or a silicon-on-insulator (SOI) substrate active layer. In general, an SOI substrate includes a layer of semiconductor material such as silicon, germanium, silicon germanium, SOI, silicon germanium on insulator (SGOI), or combinations thereof. Other substrates that can be used include multilayer substrates, gradient substrates, or hybrid orientation substrates.

Die Finnen 103 dienen als eine Finnenstruktur für die letztendliche Ausbildung von FinFET- oder Mehrgatetransistoren (in 1 nicht gesondert dargestellt). In einer Ausführungsform können die Finnen 103 aus dem Material des Substrats 101 ausgebildet sein und können von daher auch Volumensilizium, dotiert oder undotiert, aufweisen, oder sie können eine aktive Schicht eines SOI-Substrats sein. Die Finnen
103 können ausgebildet werden, indem zuerst ein Maskenmaterial über dem Substrat 101 aufgetragen wird, das Maskenmaterial strukturiert wird und das Maskenmaterial dann als eine Maske verwendet wird, um in das Substrat 101 hinein zu ätzen, wodurch die Finnen 103 aus dem Material des Substrats 101 heraus ausgebildet werden.
The fins 103 serve as a fin structure for the eventual formation of FinFET or multi-gate transistors (in 1 not shown separately). In one embodiment, the fins 103 may be formed from the material of the substrate 101 and as such may also comprise bulk silicon, doped or undoped, or they may be an active layer of a SOI substrate. Finns
103 may be formed by first depositing a mask material over the substrate 101, patterning the mask material, and then using the mask material as a mask to etch into the substrate 101, excavating the fins 103 from the substrate 101 material be formed.

Die Verwendung von Material des Substrats 101 zum Ausbilden der Finnen 103 ist jedoch nur ein veranschaulichendes Verfahren, das zum Ausbilden der Finnen 103 verwendet werden kann. Alternativ können die Finnen 103 ausgebildet werden, indem zunächst ein Halbleitermaterial, wie z.B. Silizium, Silizium-Germanium oder dergleichen, über dem Substrat 101 abgeschieden wird und das Halbleitermaterial dann maskiert und geätzt wird, um die Finnen 103 über dem Substrat 101 auszubilden. In noch einer weiteren Alternative können die Finnen 103 durch Maskieren des Substrats 101 und z.B. durch Verwenden eines epitaxialen Wachstumsvorgangs ausgebildet werden, um die Finnen 103 auf dem Substrat 101 aufwachsen zu lassen. Diese und ein beliebiges anderes geeignetes Verfahren können alternativ zum Ausbilden der Finnen 103 eingesetzt werden, und es ist vollauf beabsichtigt, dass alle derartigen Verfahren im Umfang der Ausführungsformen enthalten sind.However, using substrate 101 material to form the fins 103 is only one illustrative method that can be used to form the fins 103 . Alternatively, the fins 103 may be formed by first depositing a semiconductor material such as silicon, silicon germanium, or the like over the substrate 101 and then masking and etching the semiconductor material to form the fins 103 over the substrate 101. In yet another alternative, the fins 103 may be formed by masking the substrate 101 and, for example, using an epitaxial growth process to grow the fins 103 on the substrate 101. FIG. These and any other suitable method may alternatively be used to form the fins 103, and all such methods are fully intended to be included within the scope of the embodiments.

Die BARC-Schicht 105 wird über den Finnen 103 aufgetragen und füllt die Bereiche zwischen den Finnen 103 in der Vorbereitung einer Anwendung eines Fotoresists 401 aus (in der 1 nicht dargestellt, aber nachfolgend mit Bezugnahme auf 4 dargestellt und beschrieben). Wie ihr Name andeutet, bewirkt die BARC-Schicht 105, dass die unkontrollierte und unerwünschte Reflexion von Energie (z.B. Licht), wie z.B. von Licht zurück in den darüber liegenden Fotoresist 401 hinein, während einer Exposition des Fotoresists 401 verhindert wird, wodurch verhindert wird, dass das zurückstrahlende Licht Reaktionen in einem unerwünschten Bereich des Fotoresists 401 verursacht. Außerdem kann die BARC-Schicht 105 verwendet werden, eine ebene Oberfläche über dem Substrat 101 und den Finnen 103 bereitzustellen, was dazu beiträgt, die negativen Einflüsse der Energie, die unter einem Winkel auftrifft, zu vermindern.The BARC layer 105 is applied over the fins 103 and fills in the areas between the fins 103 in preparation for an application of a photoresist 401 (in Fig 1 not shown, but below with reference to FIG 4 shown and described). As its name suggests, the BARC layer 105 acts to prevent the uncontrolled and undesired reflection of energy (eg, light), such as light, back into the overlying photoresist 401 during exposure of the photoresist 401, thereby preventing that the reflected light causes reactions in an undesired area of the photoresist 401. In addition, the BARC layer 105 can be used to provide a planar surface over the substrate 101 and fins 103, which helps to reduce the negative effects of energy impinging at an angle.

In einer Ausführungsform weist die BARC-Schicht 105 ein Polymerharz, einen Katalysator und ein vernetzendes Agens auf, die alle in ein Lösungsmittel eingebracht werden. Das Polymerharz kann ein Polymer mit verschiedenen aneinander gebundenen Monomeren umfassen. In einer Ausführungsform kann das Polymer unterschiedliche Monomere aufweisen, wie z.B. ein vernetzendes Monomer und ein Monomer mit Chromophor-Einheiten. In einer Ausführungsform kann das Monomer mit der Chromophor-Einheit Vinylverbindungen (z.B. mit konjugierten Doppelbindungen) aufweisen, die substituiertes und unsubstituiertes Phenyl, substituiertes und unsubstituiertes Anthracyl, substituiertes und unsubstituiertes Phenanthryl, substituiertes und unsubstituiertes Naphthyl, substituiertes und unsubstituiertes Acridin, substituiertes und unsubstituiertes Chinolinyl sowie ringsubstituierte Chinolinyle (z.B. Hydroxychinolinyl), substituierte und unsubstituierte heterozyklische Ringe, die Heteroatome, wie z.B. Sauerstoff, Stickstoff, Schwefel oder Kombinationen derselben, enthalten, wie z.B. Pyrrolidinyl, Pyranyl, Piperidinyl, Acridinyl, Chinolinyl umfassen. Die Substituenten in diesen Einheiten können eine beliebige Hydrocarbylgruppe sein und können ferner enthalten: Heteroatome, wie z.B. Sauerstoff, Stickstoff, Schwefel oder Kombinationen derselben, wie z.B. Alkylene, Ester, Ether, deren Kombinationen oder dergleichen, mit einer Anzahl von Kohlenstoffatomen zwischen 1 und 12.In one embodiment, the BARC layer 105 comprises a polymeric resin, a catalyst, and a crosslinking agent, all placed in a solvent. The polymeric resin may comprise a polymer having different monomers bonded together. In one embodiment, the polymer can have different monomers, such as a crosslinking monomer and a monomer containing chromophore units. In one embodiment, the monomer containing the chromophore moiety may include vinyl compounds (e.g., having conjugated double bonds) that are substituted and unsubstituted phenyl, substituted and unsubstituted anthracyl, substituted and unsubstituted phenanthryl, substituted and unsubstituted naphthyl, substituted and unsubstituted acridine, substituted and unsubstituted quinolinyl and ring-substituted quinolinyls (e.g., hydroxyquinolinyl), substituted and unsubstituted heterocyclic rings containing heteroatoms such as oxygen, nitrogen, sulfur, or combinations thereof, such as pyrrolidinyl, pyranyl, piperidinyl, acridinyl, quinolinyl. The substituents in these moieties can be any hydrocarbyl group and may also contain: heteroatoms such as oxygen, nitrogen, sulfur, or combinations thereof such as alkylenes, esters, ethers, combinations thereof, or the like, having a number of carbon atoms between 1 and 12 .

In spezifischen Ausführungsformen enthalten die Monomere mit Chromophor-Einheiten Styrol, Hydroxystyrol, Acetoxystyrol, Vinylbenzoat, Vinyl 4-tert-Butylbenzoat, Ethylenglycolphenyletheracrylat, Phenoxypropylacrylat, N-Methylmaleimid, 2-(4-Benzoyl-3-hydroxyphenoxy)ethylacrylat, 2-Hydroxy-3-phenoxypropylacrylat, Phenylmethacrylat, Benzylmethacrylat, 9-Anthracenyl-methylmethacrylat, 9-Vinylanthracen, 2-Vinylnaphthalen, N-Vinylphthalimid, N-(3-Hydroxy)phenylmethacrylamid, N-(3-Hydroxy-4-hydroxycarbonylphenylazo)phenylmethacrylamid, N-(3-Hydroxyl-4-ethoxycarbonylphenylazo)phenylmethacrylamid, N-(2,4-Dinitrophenylaminophenyl)maleimid, 3-(4-Acetoaminophenyl)azo-4-hydroxystyrol, 3-(4-Ethoxycarbonylphenyl)azo-acetoacetoxyethylmethacrylat, 3-(4-Hydroxyphenyl)azo-acetoacetoxyethylmethacrylat, Tetrahydroammoniumsulfat-Salz von 3-(4-Sulfophenyl)azo-acetoacetoxyethylmethacrylat, Kombinationen derselben oder dergleichen. Alternativ kann jedoch ein beliebiges geeignetes Monomer mit Chromophoreinheiten verwendet werden, um das einfallende Licht zu absorbieren und zu verhindern, dass das Licht reflektiert wird, und es ist vollauf beabsichtigt, dass alle derartigen Monomere im Umfang der Ausführungsformen enthalten sind.In specific embodiments, the monomers having chromophore units include styrene, hydroxystyrene, acetoxystyrene, vinyl benzoate, vinyl 4-tert-butyl benzoate, ethylene glycol phenyl ether acrylate, phenoxypropyl acrylate, N-methylmaleimide, 2-(4-benzoyl-3-hydroxyphenoxy)ethyl acrylate, 2-hydroxy- 3-phenoxypropyl acrylate, phenyl methacrylate, benzyl methacrylate, 9-anthracenyl methyl methacrylate, 9-vinyl anthracene, 2-vinyl naphthalene, N-vinyl phthalimide, N-(3-hydroxy)phenyl methacrylamide, N-(3-hydroxy-4-hydroxycarbonylphenylazo)phenyl methacrylamide, N- (3-Hydroxyl-4-ethoxycarbonylphenylazo)phenyl methacrylamide, N-(2,4-dinitrophenylaminophenyl)maleimide, 3-(4-acetoaminophenyl)azo-4-hydroxystyrene, 3-(4-ethoxycarbonylphenyl)azoacetoacetoxyethyl methacrylate, 3-(4 -hydroxyphenyl)azo-acetoacetoxyethyl methacrylate, tetrahydroammonium sulfate salt of 3-(4-sulfophenyl)azo-acetoacetoxyethyl methacrylate, combinations thereof, or the like. Alternatively, however, any suitable monomer containing chromophore units may be used to absorb the incident light and prevent the light from being reflected, and all such monomers are fully intended to be included within the scope of the embodiments.

Das vernetzende Monomer kann verwendet werden, um das Monomer mit anderen Polymeren im Polymerharz zu vernetzen, um die Löslichkeit der BARC-Schicht 105 zu verändern, und es kann wahlweise eine säurelabile Gruppe aufweisen. In einer speziellen Ausführungsform kann das vernetzende Monomer eine Kohlenwasserstoffkette aufweisen, die z.B. auch eine Hydroxylgruppe, eine Carboxylsäuregruppe, eine Carboxylestergruppe, Epoxygruppen, Urethangruppen, Amidgruppen, Kombination derselben und dergleichen umfasst. Spezifische Beispiele für vernetzende Monomere, die eingesetzt werden können, enthalten Polyhydroxystyrol, Poly(hydroxynaphthalen), Poly(meth)acrylate, Polyarylate, Polyester, Polyurethane, Alcydharze (aliphatische Polyester), Poly(hydroxystyrol-methylmethacrylat), Homopolymere und/oder Copolymere, die durch Polymerisation von mindestens einem der folgenden Monomere erhalten werden: Styrol, Hydroxystyrol, Hydroxyethyl(meth)acrylat, Hydroxypropyl(meth)acrylat, Methyl(meth)acrylat, Ethyl(meth)acrylat, (Meth)acrylsäure, Poly(hydroxystyrol-styrol-methacrylat), Poly(4-hydroxystyrol) und Poly(pyromellitsäuredianhydrid-ethylenglycolpropylenoxid).The crosslinking monomer can be used to crosslink the monomer to other polymers in the polymeric resin to alter the solubility of the BARC layer 105, and can optionally have an acid labile group. In a specific embodiment, the crosslinking monomer can have a hydrocarbon chain that also includes, for example, a hydroxyl group, a carboxylic acid group, a carboxylic ester group, epoxy groups, urethane groups, amide groups, combinations thereof, and the like. Specific examples of crosslinking monomers that can be used include polyhydroxystyrene, poly(hydroxynaphthalene), poly(meth)acrylates, polyarylates, polyesters, polyurethanes, alkyd resins (aliphatic polyesters), poly(hydroxystyrene-methyl methacrylate), homopolymers and/or copolymers, obtained by polymerizing at least one of the following monomers: styrene, hydroxystyrene, hydroxyethyl (meth)acrylate, hydroxypropyl (meth)acrylate, methyl (meth)acrylate, ethyl (meth)acrylate, (meth)acrylic acid, poly(hydroxystyrene-styrene). -methacrylate), poly(4-hydroxystyrene) and poly(pyromellitic dianhydride ethylene glycol propylene oxide).

Die verschiedenen Monomere werden miteinander polymerisiert, um eine Polymerstruktur mit einem Kohlenstoffkettengrundgerüst für das Polymerharz zu bilden. In einer Ausführungsform kann die Polymerstruktur ein Kohlenstoffkettengrundgerüst aufweisen, das ein Acryl, ein Polyester, ein Epoxy-Novolak, ein Polysaccharid, ein Polyether, ein Polyimid, ein Polyurethan und Gemische daraus ist. Ein Beispiel für ein spezielles Polymerharz, das eingesetzt werden kann, weist die folgende Struktur auf:

Figure DE102014114176B4_0001
wobei jedes R und R1 ein Wasserstoff oder eine substituierte oder unsubstituierte Alkylgruppe sein kann, die von 1 bis zu 8 Kohlenstoffatomen aufweist; jedes R2 kann ein substituiertes oder unsubstituiertes Alkyl sein, das von 1 bis zu 10 Kohlenstoffatomen aufweist, und jedes R3 kann ein substituiertes oder unsubstituiertes Alkyl, das von 1 bis 8 Kohlenstoffatomen aufweist, ein Alkoxy, das zwischen 1 bis 8 Kohlenstoffatomen aufweist, ein Alkenyl, das zwischen 2 bis 8 Kohlenstoffatomen aufweist, ein Alkinyl, das von 2 bis zu 8 Kohlenstoffatomen aufweist, Cyan, Nitro sein; m ist eine ganze Zahl von 0 bis 9; und x ist der Molenbruch in Prozent von Alkyleinheiten im Polymerharz und liegt zwischen circa 10% und circa 90%; und y ist der Molenbruch oder Prozentanteil von Anthraceneinheiten im Polymerharz und liegt zwischen circa 5% und circa 90%.The various monomers are polymerized together to form a polymer structure with a carbon chain backbone for the polymer resin. In one embodiment, the polymer structure may have a carbon chain backbone that is an acrylic, a polyester, an epoxy novolac, a polysaccharide, a polyether, a polyimide, a polyurethane, and mixtures thereof. An example of a specific polymer resin that can be used has the following structure:
Figure DE102014114176B4_0001
wherein each R and R 1 can be hydrogen or a substituted or unsubstituted alkyl group having from 1 up to 8 carbon atoms; each R 2 can be substituted or unsubstituted alkyl having from 1 up to 10 carbon atoms, and each R 3 can be substituted or unsubstituted alkyl having from 1 to 8 carbon atoms, alkoxy having from 1 to 8 carbon atoms, an alkenyl having from 2 to 8 carbon atoms, an alkynyl having from 2 up to 8 carbon atoms, cyano, nitro; m is an integer from 0 to 9; and x is the mole fraction percent of alkyl moieties in the polymer resin and is between about 10% and about 90%; and y is the mole fraction or percentage of anthracene units in the polymer resin and is between about 5% and about 90%.

In einer weiteren Ausführungsform kann das Polymerharz auch ein Oberflächenenergie-Modifikationsmonomer (z.B. mit einer Oberflächenenergie-Modifikationsgruppe) aufweisen. Das Oberflächenenergie-Modifikationsmonomer wird eingesetzt, um die Oberflächenenergie der BARC-Schicht 105 zu testen und an die Oberflächenenergie des Materials des Substrats 101 und der Finnen (103) (z.B. Silizium) anzupassen. Durch Anpassen der Oberflächenenergien können die Kapillarkräfte genutzt werden, um die Leistungsfähigkeit beim Lückenauffüllen der BARC-Schicht 105 zu erhöhen.In another embodiment, the polymeric resin may also include a surface energy modifying monomer (e.g., having a surface energy modifying group). The surface energy modification monomer is used to test and match the surface energy of the BARC layer 105 to the surface energy of the substrate 101 and fin (103) material (e.g., silicon). By adjusting the surface energies, capillary forces can be exploited to increase the BARC layer 105 gap filling performance.

In einer Ausführungsform kann das Oberflächenenergie-Modifikationsmonomer verwendet werden, um die Oberflächenenergie der BARC-Schicht 105 zu erhöhen. In einer derartigen Ausführungsform weist die Oberflächenenergie-Modifikationsgruppe im Oberflächenenergie-Modifikationsmonomer zur Erhöhung der Oberflächenenergie der BARC-Schicht 105 eine oder mehrere von einer Hydroxylgruppe, einer Carboxylgruppe, einer Amingruppe oder einer Amidgruppe auf. In einer speziellen Ausführungsform kann das Oberflächenenergie-Modifikationsmonomer eine Struktur, wie z.B. die folgende, aufweisen:

Figure DE102014114176B4_0002
wobei die R1- und R2-Gruppe gemeinsam die Oberflächenenergie-Modifikationsgruppe bilden und wobei R1 eine Alkylgruppe mit Wasserstoff ist, die an die Kohlenwasserstoffe angehängt ist, und wobei R1 eine gerade, verzweigte oder zyklische Struktur aufweisen kann. Die Alkylgruppe in R1 kann auch Heteroatome umfassen, so z.B. Stickstoff- oder Sauerstoffatome, enthalten. R2 kann mindestens eine von einer Hydroxyl-, Carboxyl-, Amin- oder Amidgruppe aufweisen.In one embodiment, the surface energy modification monomer can be used to increase the surface energy of the BARC layer 105 . In such an embodiment, the surface energy modifier group in the surface energy modifier monomer to increase the surface energy of the BARC layer 105 comprises one or more of a hydroxyl group, a carboxyl group, an amine group, or an amide group. In a specific embodiment, the surface energy modification monomer can have a structure such as the following:
Figure DE102014114176B4_0002
wherein the R 1 and R 2 groups together form the surface energy modifier group and wherein R 1 is an alkyl group having hydrogen attached to the hydrocarbons and wherein R 1 can have a straight, branched or cyclic structure. The alkyl group in R 1 can also contain heteroatoms, for example nitrogen or oxygen atoms. R 2 may have at least one of a hydroxyl, carboxyl, amine, or amide group.

In speziellen Ausführungsformen kann das Oberflächenenergie-Modifikationsmonomer ein Acrylsäure-Monomer, ein Methacrylsäure-Monomer, ein Hydrostyrol-Monomer oder ein Monomer aufweisen, das aus 2-Hydroxyethylacrylat abgeleitet ist. In einer Ausführungsform, in der die Oberflächenenergie-Modifikationsgruppe zum Beispiel ein Hydrostyrol-Monomer ist, kann das Oberflächenenergie-Modifikationsmonomer die folgende Struktur aufweisen:

Figure DE102014114176B4_0003
In specific embodiments, the surface energy modifier monomer may comprise an acrylic acid monomer, a methacrylic acid monomer, a hydrostyrene monomer, or a monomer derived from 2-hydroxyethyl acrylate. For example, in one embodiment where the surface energy modifying group is a hydrostyrene monomer, the surface energy modifying monomer may have the following structure:
Figure DE102014114176B4_0003

In einer Ausführungsform, in der das Oberflächenenergie-Modifikationsmonomer ein Acrylsäure-Monomer ist, kann das Oberflächenenergie-Modifikationsmonomer die folgende Struktur aufweisen:

Figure DE102014114176B4_0004
In an embodiment where the surface energy modification monomer is an acrylic acid monomer, the surface energy modification monomer can have the following structure:
Figure DE102014114176B4_0004

In einer Ausführungsform, in der die Oberflächenenergie-Modifikationsgruppe ein Monomer ist, das aus 2-Hydroxyethylacrylat abgeleitet ist, kann das Oberflächenenergie-Modifikationsmonomer die folgende Struktur aufweisen:

Figure DE102014114176B4_0005
In an embodiment where the surface energy modifying group is a monomer derived from 2-hydroxyethyl acrylate, the surface energy modifying monomer can have the following structure:
Figure DE102014114176B4_0005

Wie ein Fachmann jedoch einsehen wird, ist beabsichtigt, dass die genauen Strukturen und beschriebenen Beispiele zum Anheben der Oberflächenenergie der BARC-Schicht 105 der Veranschaulichung dienen und nicht zur Einschränkung gedacht sind. Vielmehr kann alternativ eine beliebige geeignete Funktionsgruppe mit einem beliebigen geeigneten Monomer eingesetzt werden, welche die Oberflächenenergie der BARC-Schicht 105 erhöhen würde. Es ist vollauf beabsichtigt, dass diese alle im Umfang der Ausführungsformen enthalten sind.However, as one skilled in the art will appreciate, the precise structures and examples described for raising the surface energy of the BARC layer 105 are intended to be illustrative and not limiting. Rather, any suitable functional group with any suitable monomer that would increase the surface energy of the BARC layer 105 may alternatively be employed. All of these are fully intended to be included within the scope of the embodiments.

Alternativ kann das Oberflächenenergie-Modifikationsmonomer verwendet werden, um die Oberflächenenergie der BARC-Schicht 105 zu verringern. In einer derartigen Ausführungsform weist die Oberflächenenergie-Modifikationsgruppe im Oberflächenenergie-Modifikationsmonomer zur Verringerung der Oberflächenenergie der BARC-Schicht 105 eine oder mehrere von einer Alkylgruppe, einer Fluorgruppe, einer Chlorgruppe oder einer Benzylgruppe auf. In speziellen Ausführungsformen kann die Oberflächenenergie-Modifikationsgruppe eine lineare, verzweigte oder zyklische Alkyl- oder Fluor-Funktionsgruppe aufweisen.Alternatively, the surface energy modification monomer can be used to reduce the surface energy of the BARC layer 105. In such an embodiment, the surface energy modifier group in the surface energy modifier monomer to reduce the surface energy of the BARC layer 105 comprises one or more of an alkyl group, a fluoro group, a chloro group, or a benzyl group. In specific embodiments, the surface energy modifying group can have a linear, branched, or cyclic alkyl or fluoro functional group.

In einer speziellen Ausführungsform kann das Oberflächenenergie-Modifikationsmonomer eine Struktur, wie z.B. die folgende, aufweisen:

Figure DE102014114176B4_0006
wobei die R3- und R4-Gruppe gemeinsam die Oberflächenenergie-Modifikationsgruppe bilden und wobei R3 eine Alkylgruppe mit Wasserstoff ist, die an die Kohlenwasserstoffe angehängt ist, und wobei R3 eine gerade, verzweigte oder zyklische Struktur aufweisen kann. Die Alkylgruppe in R3 kann auch Heteroatome umfassen, so z.B. Stickstoff- oder Sauerstoffatome, enthalten. In dieser Ausführungsform kann R4 jedoch mindestens eine von einer Alkyl-, Fluor- oder Benzylgruppe enthalten und kann eine lineare, verzweigte oder zyklische Alkyl- oder Fluorgruppe aufweisen. Zum Beispiel kann das Polymerharz mit dem Oberflächenenergie-Modifikationsmonomer in einigen Ausführungsformen die folgenden Strukturen aufweisen:
Figure DE102014114176B4_0007
Figure DE102014114176B4_0008
Figure DE102014114176B4_0009
In a specific embodiment, the surface energy modification monomer can have a structure such as the following:
Figure DE102014114176B4_0006
wherein the R 3 and R 4 groups together form the surface energy modifier group and wherein R 3 is an alkyl group having hydrogen attached to the hydrocarbons and wherein R 3 can have a straight, branched or cyclic structure. The alkyl group in R 3 can also contain heteroatoms, for example nitrogen or oxygen atoms. In this embodiment, however, R 4 may contain at least one of an alkyl, fluoro, or benzyl group and may have a linear, branched, or cyclic alkyl or fluoro group. For example, in some embodiments, the polymer resin with the surface energy modification monomer can have the following structures:
Figure DE102014114176B4_0007
Figure DE102014114176B4_0008
Figure DE102014114176B4_0009

Durch Verwenden des Oberflächenenergie-Modifikationsmonomers kann die Oberflächenenergie des Polymerharzes und somit der BARC-Schicht 105 derart modifiziert werden, dass sie stärker der Oberflächenenergie des Substrats 101 und der Finnen 103 gleicht. Statt durch das darunterliegende Material abgestoßen zu werden, wird die BARC-Schicht 105 mittels Anpassen der Oberflächenenergie durch Kapillarkräfte sogar in kleine Öffnungen zwischen den Strukturen hineingezogen. Das trägt dazu bei, dass die BARC-Schicht 105 derartige Lücken ohne Hohlräume ausfüllt.By using the surface energy modification monomer, the surface energy of the polymeric resin and thus the BARC layer 105 can be modified to more closely match the surface energy of the substrate 101 and fins 103 . Instead of being repelled by the underlying material, the BARC layer 105 is drawn into even small openings between the structures by capillary forces through surface energy matching. This helps the BARC layer 105 fill such gaps without voids.

Wie ein Fachmann außerdem einsehen wird, ist beabsichtigt, dass die obige Beschreibung der verschiedenen Monomere, die polymerisiert werden können, um das Polymerharz für die BARC-Schicht 105 zu bilden, der Veranschaulichung dienen und nicht dazu gedacht sind, die Ausführungsformen in irgendeiner Weise einzuschränken. Vielmehr kann auch ein beliebiges geeignetes Monomer oder es können Kombinationen von Monomeren, welche die gewünschten Funktionen der hier beschriebenen Monomere ausführen, eingesetzt werden. Es ist vollauf beabsichtigt, dass alle derartigen Monomere im Umfang der Ausführungsformen enthalten sind.Also, as one skilled in the art will appreciate, the above description of the various monomers that can be polymerized to form the polymeric resin for the BARC layer 105 is intended for purposes of illustration and is not intended to limit the embodiments in any way way to restrict. Rather, any suitable monomer or combinations of monomers that perform the desired functions of the monomers described herein can also be employed. It is fully intended that all such monomers are included within the scope of the embodiments.

In einer weiteren Ausführungsform kann ein Monomer von dem Oberflächenenergie-Modifikationsmonomer, dem vernetzenden Monomer oder dem Monomer mit der Chromophor-Einheit auch einen anorganischen Bestandteil aufweisen. In einer Ausführungsform kann der anorganische Bestandteil ein Siliziumatom enthalten, und die Oberflächenenergie-Modifikationsgruppe kann in dem Oberflächenenergie-Modifikationsmonomer an das Siliziumatom gebunden sein. Alternativ kann die Chromophor-Gruppe (in dem Monomer mit der Chromophor-Einheit) an den anorganischen Bestandteil im Chromophor-Monomer gebunden sein, oder die Vernetzungsgruppe kann an den anorganischen Bestandteil im vernetzenden Monomer gebunden sein. Es kann eine beliebige geeignete Kombination des anorganischen Bestandteils in irgendeinem von dem Oberflächenenergie-Modifikationsmonomer, dem Chromophor-Monomer oder dem vernetzenden Monomer eingesetzt werden.In another embodiment, one of the surface energy modifier monomer, the crosslinking monomer, or the monomer having the chromophore moiety may also have an inorganic component. In one embodiment, the inorganic component may contain a silicon atom and the surface energy modifying group may be bonded to the silicon atom in the surface energy modifying monomer. Alternatively, the chromophore group (in the monomer containing the chromophore moiety) can be attached to the inorganic moiety in the chromophore monomer, or the crosslinking group can be attached to the inorganic moiety in the crosslinking monomer. Any suitable combination of the inorganic component in any of the surface energy modification monomer, the chromophore monomer, or the crosslinking monomer can be employed.

Durch Verwenden eines anorganischen Materials in den Monomeren kann die Oberflächenenergie der BARC-Schicht 105 verändert werden. Wenn sie außerdem so verändert wird, dass die Oberflächenenergie der BARC-Schicht 105 ähnlich zu der Oberflächenenergie des darunterliegenden Materials (d.h. des Substrats 101 und der Finnen 103) ist, dann können die Kapillarkräfte verwendet werden, um die BARC-Schicht 105 in kleine Leerräume zwischen den Strukturen, wie z.B. den Finnen 103, hineinzuziehen. Das wird dann dazu beitragen, die Lücken zu füllen und Defekte zu vermeiden, die infolge eines uneinheitlichen Auffüllens der BARC-Schicht 105 auftreten können.By using an inorganic material in the monomers, the surface energy of the BARC layer 105 can be changed. If it is also modified so that the surface energy of BARC layer 105 is similar to the surface energy of the underlying material (i.e., substrate 101 and fins 103), then capillary forces can be used to force BARC layer 105 into small voids between structures such as fins 103. This will then help fill in the gaps and avoid defects that may occur as a result of non-uniform filling of the BARC layer 105.

In einer Ausführungsform kann das Oberflächenenergie-Modifikationsmonomer mit der Energiemodifikationsgruppe verwendet werden, um die Oberflächenenergie der BARC-Schicht 105 zu erhöhen. In einer derartigen Ausführungsform weist die Oberflächenenergie-Modifikationsgruppe zur Erhöhung der Oberflächenenergie der BARC-Schicht 105 eine oder mehrere von einer Hydroxylgruppe, einer Carboxylgruppe, einer Amingruppe oder einer Amidgruppe auf. In einer speziellen Ausführungsform kann das Oberflächenenergie-Modifikationsmonomer eine Struktur, wie z.B. die folgende, aufweisen:

Figure DE102014114176B4_0010
wobei R6 und R7 gemeinsam die Oberflächenenergie-Modifikationsgruppe bilden und wobei R6 eine Alkylgruppe mit Wasserstoff ist, die an die Kohlenwasserstoffe angehängt ist, und wobei R6 eine gerade, verzweigte oder zyklische Struktur aufweisen kann. Die Alkylgruppe in R6 kann auch Heteroatome umfassen, so z.B. Stickstoff- oder Sauerstoffatome enthalten. R7 kann mindestens eine von einer Hydroxyl-, Carboxyl-, Amin- oder Amidgruppe enthalten.In one embodiment, the surface energy modification monomer with the energy modification group can be used to increase the surface energy of the BARC layer 105 . In such an embodiment, the surface energy modifying group to increase the surface energy of the BARC layer 105 comprises one or more of a hydroxyl group, a carboxyl group, an amine group, or an amide group. In a specific embodiment, the surface energy modification monomer can have a structure such as the following:
Figure DE102014114176B4_0010
where R 6 and R 7 together form the surface energy modifier group and where R 6 is an alkyl group having hydrogen attached to the hydrocarbons and where R 6 can have a straight, branched or cyclic structure. The alkyl group in R 6 can also contain heteroatoms, for example nitrogen or oxygen atoms. R 7 may contain at least one of a hydroxyl, carboxyl, amine, or amide group.

In speziellen Ausführungsformen kann das Oberflächenenergie-Modifikationsmonomer eine Acrylsäure-Gruppe, eine Methacrylsäure-Gruppe oder eine Hydrostyrol-Gruppe sein. In einer Ausführungsform, in der das Oberflächenenergie-Modifikationsmonomer Silizium aufweist und die Oberflächenenergie-Modifikationsgruppe Hydrostyrol ist, kann das Oberflächenenergie-Modifikationsmonomer die folgende Struktur aufweisen:

Figure DE102014114176B4_0011
In specific embodiments, the surface energy modifier monomer can be an acrylic acid group, a methacrylic acid group, or a hydrostyrene group. In an embodiment where the surface energy modifying monomer comprises silicon and the surface energy modifying group is hydrostyrene, the surface energy modifying monomer can have the following structure:
Figure DE102014114176B4_0011

In einer Ausführungsform, in der das Oberflächenenergie-Modifikationsmonomer Silizium aufweist und die Oberflächenenergie-Modifikationsgruppe eine Hydroxylgruppe ist, kann das Oberflächenenergie-Modifikationsmonomer die folgende Struktur aufweisen:

Figure DE102014114176B4_0012
In an embodiment where the surface energy modifying monomer comprises silicon and the surface energy modifying group is a hydroxyl group, the surface energy modifying monomer may have the following structure:
Figure DE102014114176B4_0012

In einer weiteren Ausführungsform weist das Oberflächenenergie-Modifikationsmonomer Silizium auf und die Oberflächenenergie-Modifikationsgruppe ist eine Methacrylsäuregruppe. In einer weiteren Ausführungsform weist das Oberflächenenergie-Modifikationsmonomer Silizium auf und die Oberflächenenergie-Modifikationsgruppe ist ein Acrylsäuremonomer.In another embodiment, the surface energy modifying monomer comprises silicon and the surface energy modifying group is a methacrylic acid group. In another embodiment, the surface energy modifying monomer comprises silicon and the surface energy modifying group is an acrylic acid monomer.

Wie ein Fachmann jedoch einsehen wird, ist beabsichtigt, dass die genauen Strukturen und beschriebenen Beispiele zum Anheben der Oberflächenenergie der BARC-Schicht 105 der Veranschaulichung dienen und nicht zur Einschränkung gedacht sind. Vielmehr kann alternativ auch eine beliebige geeignete Funktionsgruppe, welche die Oberflächenenergie der BARC-Schicht 105 erhöhen würde, eingesetzt werden. Es ist vollauf beabsichtigt, dass diese alle im Umfang der Ausführungsformen enthalten sind.However, as one skilled in the art will appreciate, the precise structures and examples described for raising the surface energy of the BARC layer 105 are intended to be illustrative and not limiting. Instead, any suitable functional group that would increase the surface energy of the BARC layer 105 can alternatively be used. All of these are fully intended to be included within the scope of the embodiments.

Alternativ kann das Oberflächenenergie-Modifikationsmonomer mit einem anorganischen Bestandteil verwendet werden, um die Oberflächenenergie der BARC-Schicht 105 zu verringern. In einer derartigen Ausführungsform weist die Oberflächenenergie-Modifikationsgruppe im Oberflächenenergie-Modifikationsmonomer zum Verringern der Oberflächenenergie der BARC-Schicht 105 eine oder mehrere von einer Alkylgruppe, Fluorgruppe oder Benzylgruppe auf. In speziellen Ausführungsformen kann das Oberflächenenergie-Modifikationsmonomer eine lineare, verzweigte oder zyklische Alkyl- oder Fluor-Funktionsgruppe aufweisen.Alternatively, the surface energy modifier monomer can be used with an inorganic component to reduce the surface energy of the BARC layer 105. In such an embodiment, the surface energy modifier group in the surface energy modifier monomer to reduce the surface energy of the BARC layer 105 comprises one or more of an alkyl group, fluoro group, or benzyl group. In specific embodiments, the surface energy modification monomer can have a linear, branched, or cyclic alkyl or fluoro functional group.

In einer speziellen Ausführungsform kann das Oberflächenenergie-Modifikationsmonomer eine Struktur, wie z.B. die folgende, aufweisen

Figure DE102014114176B4_0013
wobei R8 und R9 gemeinsam die Oberflächenenergie-Modifikationsgruppe bilden und wobei R8 eine Alkylgruppe mit Wasserstoff ist, die an die Kohlenwasserstoffe angehängt ist, und wobei R8 eine gerade, verzweigte oder zyklische Struktur aufweisen kann. Die Alkylgruppe in R8 kann auch Heteroatome umfassen, so z.B. Stickstoff- oder Sauerstoffatome, enthalten. In dieser Ausführungsform kann R9 jedoch mindestens eine von einer Alkyl-, Fluor-, Benzylgruppe enthalten und kann eine lineare, verzweigte oder zyklische Alkyl- oder Fluorgruppe aufweisen. Zum Beispiel kann das Oberflächenenergie-Modifikationsmonomer in einigen Ausführungsformen eine der folgenden Strukturen aufweisen:
Figure DE102014114176B4_0014
Figure DE102014114176B4_0015
Figure DE102014114176B4_0016
wobei R10 ein Alkyl mit einer Anzahl von einem bis zu sechs Kohlenstoffatomen ist.In a specific embodiment, the surface energy modification monomer can have a structure such as the following
Figure DE102014114176B4_0013
where R 8 and R 9 together form the surface energy modifier group and where R 8 is an alkyl group having hydrogen attached to the hydrocarbons and where R 8 can have a straight, branched or cyclic structure. The alkyl group in R 8 can also contain heteroatoms, for example nitrogen or oxygen atoms. In this embodiment, however, R 9 may contain at least one of an alkyl, fluoro, benzyl group, and may have a linear, branched, or cyclic alkyl or fluoro group. For example, in some embodiments, the surface energy modification monomer can have one of the following structures:
Figure DE102014114176B4_0014
Figure DE102014114176B4_0015
Figure DE102014114176B4_0016
where R10 is an alkyl of one to six carbon atoms.

Zusätzlich ist das anorganische Element (z.B. Silizium) in dieser Ausführungsform nicht darauf beschränkt, nur auf dem Polymergrundgerüst vorzuliegen. Stattdessen kann das anorganische Element im Polymerharz angeordnet sein. Als ein Beispiel kann das vernetzende Polymer mit einer anorganischen Funktionsgruppe, wie z.B. Siliziumethoxyl oder Siliziummethoxyl, ausgebildet sein, obwohl auch ein beliebiges anderes geeignetes Vernetzungsmaterial eingesetzt werden kann.In addition, in this embodiment, the inorganic element (e.g., silicon) is not limited to being present only on the polymer backbone. Instead, the inorganic element can be arranged in the polymer resin. As an example, the crosslinking polymer may be formed with an inorganic functional group such as silicon ethoxyl or silicon methoxyl, although any other suitable crosslinking material may be employed.

Der Katalysator kann eine Verbindung sein, die verwendet wird, um eine Vernetzungsreaktion zwischen den Polymeren im Polymerharz einzuleiten, und er kann z.B. ein thermischer Säuregenerator, ein Fotosäuregenerator, ein Fotobasengenerator, geeignete Kombinationen derselben oder dergleichen sein. In einer Ausführungsform, in welcher der Katalysator ein thermischer Säuregenerator ist, erzeugt der Katalysator eine Säure, wenn auf die BARC-Schicht 105 ausreichend Wärme angewendet wird. Spezielle Beispiele für den thermischen Säuregenerator schließen Butansulfonsäure, Triflic-Säure, Nanofluorbutansulfonsäure, Nitrobenzyltosylate, wie z.B. 2-Nitrobenzyltosilat, 2,4-Dinitrobenzyltosilat, 2,6-Nitrobenzyltosilat; 4-Nitrobenzyltosilat; Benzensulfonate, wie z.B. 2-Trifluormethyl-6-nitrobenzyl-4-chlorbenzensulfonat, 2-Trifluormethyl-6-nitrobenzyl-4-nitrobenzensulfonat; Phenolsulfonatester, wie z.B. Phenyl, 4-Methoxybenzensulfonat; Alkylammoniumsalze von organischen Säuren, wie z.B. Triethylammoniumsalz von 10-Camphersulfonsäure, Kombinationen derselben oder dergleichen, ein.The catalyst can be a compound used to initiate a crosslinking reaction between the polymers in the polymer resin and can be, for example, a thermal acid generator, a photoacid generator, a photobase generator, suitable combinations thereof, or the like. In an embodiment where the catalyst is a thermal acid generator, the catalyst generates an acid when sufficient heat is applied to the BARC layer 105 . Specific examples of the thermal acid generator include butanesulfonic acid, triflic acid, nanofluorobutanesulfonic acid, nitrobenzyl tosylates such as 2-nitrobenzyl tosylate, 2,4-dinitrobenzyl tosylate, 2,6-nitrobenzyl tosylate; 4-nitrobenzyl tosylate; benzenesulfonates such as 2-trifluoromethyl-6-nitrobenzyl-4-chlorobenzenesulfonate, 2-trifluoromethyl-6-nitrobenzyl-4-nitrobenzenesulfonate; phenolsulfonate esters such as phenyl, 4-methoxybenzenesulfonate; alkylammonium salts of organic acids such as triethylammonium salt of 10-camphorsulfonic acid, combinations thereof, or the like.

In einer Ausführungsform, in welcher der Katalysator ein Fotosäuregenerator ist, kann der Katalysator aufweisen: halogenierte Triazine, Oniumsalze, Diazoniumsalze, aromatische Diazoniumsalze, Phosphoniumsalze, Sulfoniumsalze, Iodoniumsalze, Imid-Aufschwimmkatalysatorsulfonat, Oximsulfonat, Diazodisulfon, Disulfon, o-Nitrobenzylsulfonat, sulfonierte Ester, halogenierte Sulfonyloxydicarboximide, Diazodisulfone, α-Cyanoxyaminsulfonate, Imidsulfonate, Ketodiazosulfone, Sulfonyldiazoester, 1,2-Di(arylsulfonyl)hydrazine, Nitrobenzylester und die s-Triazinderivate, geeignete Kombinationen derselben und dergleichen.In an embodiment in which the catalyst is a photoacid generator, the catalyst may comprise: halogenated triazines, onium salts, diazonium salts, aromatic diazonium salts, phosphonium salts, sulfonium salts, iodonium salts, imide floating catalyst sulfonate, oxime sulfonate, diazodisulfone, disulfone, o-nitrobenzyl sulfonate, sulfonated esters, halogenated sulfonyloxydicarboximides, diazodisulfones, α-cyanoxyamine sulfonates, imide sulfonates, ketodiazosulfones, sulfonyldiazoesters, 1,2-di(arylsulfonyl)hydrazines, nitrobenzyl esters, and the s-triazine derivatives, suitable combinations thereof, and the like.

Spezifische Beispiele für Fotosäuregeneratoren, die verwendet werden können, schließen ein: α-(Trifluormethylsulfonyloxy)-bicyclo[2.2.i]hept-5-en-2,3-dicarboximid (MDT), N-Hydroxynaphthalimid (DDSN), Benzointosylat, t-Butylphenyl-α-(p-toluensulfonyloxy)-acetat und t-Butyl-α-(p-toluensulfonyloxy)-acetat, Triarylsulfonium- und Diaryliodonium-Hexafluorantimonate, Hexafluorarsenate, Trifluormethansulfonate, Iodoniumperfluoroctansulfonat, N-Camphersulfonyloxynaphthalimid, N-Pentafluorphenylsulfonyloxynaphthalimid, ionische Iodoniumsulfonate, wie z.B. Diaryliodonium(alkyl- oder aryl-)sulfonat und Bis-(di-t-Butylphenyl)iodoniumcampherylsulfonat, Perfluoralkansulfonate, wie z.B. Perfluorpentansulfonat, Perfluoroctansulfonat, Perfluormethansulfonat, Aryl-(z.B. Phenyl- oder Benzyl-)triflate, wie z.B. Triphenylsulfoniumtriflat oder Bis-(t-butylphenyl)iodoniumtriflat, Pyrogallol-Derivate (z.B. Trimesylat von Pyrogallol), Trifluormethansulfonatester von Hydroxyimiden, α,α'-Bis-sulfonyl-diazomethane, Sulfonatester von nitrosubstituierten Benzylalkoholen, Naphtochinon-4-diazide, Alkyldisulfone und dergleichen.Specific examples of photoacid generators that can be used include: α-(trifluoromethylsulfonyloxy)bicyclo[2.2.i]hept-5-ene-2,3-dicarboximide (MDT), N-hydroxynaphthalimide (DDSN), Ben zoin tosylate, t-butylphenyl-α-(p-toluenesulfonyloxy)-acetate and t-butyl-α-(p-toluenesulfonyloxy)-acetate, triarylsulfonium and diaryliodonium hexafluoroantimonates, hexafluoroarsenates, trifluoromethanesulfonates, iodonium perfluorooctanesulfonate, N-camphorsulfonyloxynaphthalimide, N-pentafluorophenylsulfonyloxynaphthalimide , ionic iodonium sulfonates such as diaryliodonium (alkyl or aryl) sulfonate and bis (di-t-butylphenyl) iodonium camphoryl sulfonate, perfluoroalkane sulfonates such as perfluoropentane sulfonate, perfluorooctane sulfonate, perfluoromethane sulfonate, aryl (eg phenyl or benzyl) triflates such as eg triphenylsulfonium triflate or bis-(t-butylphenyl)iodonium triflate, pyrogallol derivatives (eg trimesylate of pyrogallol), trifluoromethanesulfonate esters of hydroxyimides, α,α'-bis-sulfonyl-diazomethanes, sulfonate esters of nitro-substituted benzyl alcohols, naphthoquinone-4-diazides, alkyldisulfones and the like.

In einer anderen Ausführungsform kann der Katalysator ein Fotobasengenerator sein. In einer derartigen Ausführungsform kann der Fotobasengenerator quaternäre Ammonium-Dithiocarbamate, α-Aminoketone, Oximurethan enthaltende Moleküle, wie z.B. Dibenzophenonoxim-Hexamethylendiurethan, Ammoniumtetraorganylborat-Salze und zyklische N-(2-Nitrobenzyloxycarbonyl)amine, geeignete Kombinationen derselben oder dergleichen, aufweisen.In another embodiment, the catalyst can be a photobase generator. In such an embodiment, the photobase generator may include quaternary ammonium dithiocarbamates, α-aminoketones, oximurethane-containing molecules such as dibenzophenone oxime hexamethylenediurethane, ammonium tetraorganylborate salts, and cyclic N-(2-nitrobenzyloxycarbonyl)amines, suitable combinations thereof, or the like.

In einer Ausführungsform ist das aufschwimmende Vernetzungsagens auch mit dem Polymerharz und dem Katalysator enthalten. Das aufschwimmende Vernetzungsagens reagiert mit den Polymeren im Polymerharz und bildet lineare oder verzweigte Strukturen von Polymeren, die Moleküle mit einem größeren Molekulargewicht aufweisen, wodurch die Vernetzungsdichte verbessert wird. In einer Ausführungsform kann das aufschwimmende Vernetzungsagens ein aliphatischer Polyether, wie z.B. ein Polyether-Polyol, ein Polyglycidylether, ein Vinylether, ein Glycoluril, ein Triazin, Kombinationen derselben oder dergleichen, sein.In one embodiment, the buoyant crosslinking agent is also included with the polymer resin and the catalyst. The floating crosslinking agent reacts with the polymers in the polymer resin and forms linear or branched structures of polymers having larger molecular weight molecules, thereby improving the crosslink density. In one embodiment, the buoyant crosslinking agent can be an aliphatic polyether, such as a polyether polyol, a polyglycidyl ether, a vinyl ether, a glycoluril, a triazine, combinations thereof, or the like.

In einer Ausführungsform, in der das aufschwimmende Vernetzungsagens ein Polyether-Polyol ist, weist das aufschwimmende Vernetzungsagens die folgende Struktur auf:

Figure DE102014114176B4_0017
wobei n eine ganze Zahl von 1 bis 300 darstellt; m eine ganze Zahl von 2 bis 6 darstellt; R1 ein Wasserstoffatom oder eine Alkylgruppe darstellt, die 1 bis 10 Kohlenstoffatome aufweist; und R2 eine Alkylgruppe mit 1 bis 10 Kohlenstoffatomen, eine Alkenylgruppe mit 2 bis 6 Kohlenstoffatomen, eine Alkinylgruppe mit 2 bis 10 Kohlenstoffatomen, eine Alkylcarbonylgruppe mit 2 bis 10 Kohlenstoffatomen, eine Alkylcarbonylaminogruppe mit 2 bis 10 Kohlenstoffatomen, eine Alkyloxyalkylgruppe mit 2 bis 10 Kohlenstoffatomen, eine Alkylaminogruppe mit 1 bis 10 Kohlenstoffatomen, eine Alkyldiaminogruppe mit 1 bis 10 Kohlenstoffatomen oder eine Kombination derselben darstellt und eine organische Gruppe ist, die in der Lage ist, eine Valenzzahl von 2 bis 6 entsprechend der Anzahl m der Polyoxyalkylengruppen aufzuweisen. Spezifische Beispiele für Alkylgruppen, die für R1 verwendet werden können, schließen eine Methylgruppe, Ethylgruppe, Propylgruppe, Isopropylgruppe, n-Butylgruppe und n-Pentylgruppe ein.In one embodiment where the buoyant crosslinking agent is a polyether polyol, the buoyant crosslinking agent has the following structure:
Figure DE102014114176B4_0017
wherein n represents an integer from 1 to 300; m represents an integer from 2 to 6; R 1 represents a hydrogen atom or an alkyl group having 1 to 10 carbon atoms; and R 2 is an alkyl group having 1 to 10 carbon atoms, an alkenyl group having 2 to 6 carbon atoms, an alkynyl group having 2 to 10 carbon atoms, an alkylcarbonyl group having 2 to 10 carbon atoms, an alkylcarbonylamino group having 2 to 10 carbon atoms, an alkyloxyalkyl group having 2 to 10 carbon atoms , an alkylamino group having 1 to 10 carbon atoms, an alkyldiamino group having 1 to 10 carbon atoms or a combination thereof and is an organic group capable of having a valence number of 2 to 6 corresponding to the number m of the polyoxyalkylene groups. Specific examples of alkyl groups that can be used for R 1 include methyl group, ethyl group, propyl group, isopropyl group, n-butyl group and n-pentyl group.

Spezifische Beispiele für eine Alkylgruppe, die für R2 verwendet werden kann, schließen eine Methylgruppe, Ethylgruppe, n-Propylgruppe, Isopropylgruppe, Cyclopropylgruppe, n-Butylgruppe, Isobutylgruppe, s-Butylgruppe, tert-Butylgruppe, Cyclobutylgruppe, 1-Methyl-Cyclopropylgruppe, 2-Methyl-Cyclopropylgruppe, n-Pentylgruppe, 1-Methyl-n-Butylgruppe, 2-Methyl-n-Butylgruppe, 3-Methyl-n-Butylgruppe, 1,1-Dimethyl-n-Propylgruppe, 1,2-Dimethyl-n-Propylgruppe, 2,2-Dimethyl-n-Propylgruppe, 1-Ethyl-n-Propylgruppe, Cyclopentylgruppe, 1-Methyl-Cyclobutylgruppe, 2-Methyl-Cyclobutylgruppe, 3-Methyl-Cyclobutylgruppe, 1,2-Dimethyl-Cyclopropylgruppe, 2,3-Dimethyl-Cyclopropylgruppe, 1-Ethyl-Cyclopropylgruppe, 2-Ethyl-Cyclopropylgruppe, n-Hexylgruppe, 1-Methyl-n-Pentylgruppe, 2-Methyl-n-Pentylgruppe, 3-Methyl-n-Pentylgruppe, 4-Methyl-n-Pentylgruppe, 1,1-Dimethyl-n-Butylgruppe, 1,2-Dimethyl-n-Butylgruppe, 1,3-Dimethyl-n-Butylgruppe, 2,2-Dimethyl-n-Butylgruppe, 2,3-Dimethyl-n-Butylgruppe, 3,3-Dimethyl-n-Butylgruppe, 1-Ethyl-n-Butylgruppe, 2-Ethyl-n-Butylgruppe, 1,1,2-Trimethyl-n-Propylgruppe, 1,2,2-Trimethyl-n-Proylgruppe, 1-Ethyl-1-Methyl-n-Propylgruppe, 1-Ethyl-2-Methyl-n-Propylgruppe, Cyclohexylgruppe, 1,4-Dimethyl-Cyclohexylgruppe, 1-Methyl-Cyclopentylgruppe, 2-Methyl-Cyclopentylgruppe, 3-Methyl-Cyclopentylgruppe, 1-Ethyl-Cyclobutylgruppe, 2-Ethyl-Cyclobutylgruppe, 3-Ethyl-Cyclobutylgruppe, 1,2-Dimethyl-Cyclobutylgruppe, 1,3-Dimethyl-Cyclobutylgruppe, 2,2-Dimethyl-Cyclobutylgruppe, 2,3-Dimethyl-Cyclobutylgruppe, 2,4-Dimethyl-Cyclobutylgruppe, 3,3-Dimethyl-Cyclobutylgruppe, 1-n-Propyl-Cyclopropylgruppe, 2-n-Propyl-Cyclopropylgruppe, 1-Isopropyl-Cyclopropylgruppe, 2-Isopropyl-Cyclopropylgruppe, 1,2,2-Trimethyl-Cyclopropylgruppe, 1,2,3-Trimethyl-Cyclopropylgruppe, 2,2,3-Trimethyl-Cyclopropylgruppe, 1-Ethyl-2-Methyl-Cyclopropylgruppe, 2-Ethyl-1-Methyl-Cyclopropylgruppe, 2-Ethyl-2-Methyl-Cyclopropylgruppe und 2-Ethyl-3-Methyl-Cyclopropylgruppe ein.Specific examples of an alkyl group that can be used for R 2 include methyl group, ethyl group, n-propyl group, isopropyl group, cyclopropyl group, n-butyl group, isobutyl group, s-butyl group, tert-butyl group, cyclobutyl group, 1-methylcyclopropyl group, 2-methylcyclopropyl group, n-pentyl group, 1-methyl-n-butyl group, 2-methyl-n-butyl group, 3-methyl-n-butyl group, 1,1-dimethyl-n-propyl group, 1,2-dimethyl- n-propyl group, 2,2-dimethyl-n-propyl group, 1-ethyl-n-propyl group, cyclopentyl group, 1-methyl cyclobutyl group, 2-methyl cyclobutyl group, 3-methyl cyclobutyl group, 1,2-dimethyl cyclopropyl group, 2,3-dimethylcyclopropyl group, 1-ethylcyclopropyl group, 2-ethylcyclopropyl group, n-hexyl group, 1-methyl-n-pentyl group, 2-methyl-n-pentyl group, 3-methyl-n-pentyl group, 4- methyl n-pentyl group, 1,1-dimethyl-n-butyl group, 1,2-dimethyl-n-butyl group, 1,3-dimethyl-n-butyl group, 2,2-dimethyl-n-butyl group, 2,3- dimethyl-n-butyl group, 3,3-dimethyl-n-butyl group, 1-ethyl-n-butyl group, 2-ethyl-n-butyl group, 1,1,2-trimethyl-n-propyl group, 1,2,2- Trimethyl-n-propyl group, 1-ethyl-1-methyl-n-propyl group, 1-ethyl-2-methyl-n-propyl group, cyclohexyl group, 1,4-dimethyl-cyclohexyl group, 1-methyl-cyclopentyl group, 2-methyl- cyclopentyl group, 3-methyl cyclopentyl group, 1-ethyl cyclobutyl group, 2-ethyl cyclobutyl group, 3-ethyl cyclobutyl group, 1,2-dimethyl cyclobutyl group, 1,3-dimethyl cyclobutyl group, 2,2-dimethyl cyclobutyl group, 2,3-dimethylcyclobutyl group, 2,4-dimethyl cyclobutyl group, 3,3-dimethyl cyclobutyl group, 1-n-propyl cyclopropyl group, 2-n-propyl cyclopropyl group, 1-isopropyl cyclopropyl group, 2-isopropyl cyclopropyl group, 1,2,2-trimethyl cyclopropyl group, 1, 2,3-trimethylcyclopropyl group, 2,2,3-trimethylcyclopropyl group, 1-ethyl-2-methylcyclopropyl group, 2-ethyl-1-methylcyclopropyl group, 2-ethyl-2-methylcyclopropyl group and 2- ethyl-3-methyl-cyclopropyl group.

Spezifische Beispiele für die Alkenylgruppe, die für R2 verwendet werden kann, schließen eine Ethenylgruppe, 1-Propenylgruppe, 2-Propenylgruppe, 1-Methyl-1-Ethenylgruppe, 1-Butenylgruppe, 2-Butenylgruppe, 3-Butenylgruppe, 2-Methyl-1-Propenylgruppe, 2-Methyl-2-Propenylgruppe, 1-Ethyl-Ethenylgruppe, 1-Methyl-1-Propenylgruppe, 1-Methyl-2-Propenylgruppe, 1-Pentenylgruppe, 2-Pentenylgruppe, 3-Pentenylgruppe, 4-Pentenylgruppe, 1-n-Propyl-Ethenylgruppe, 1-Methyl-1-Butenylgruppe, 1-Methyl-2-Butenylgruppe, 1-Methyl-3-Butenylgruppe, 2-Ethyl-2-Propenylgruppe, 2-Methyl-1-Butenylgruppe, 2-Methyl-2-Butenylgruppe, 2-Methyl-3-Butenylgruppe, 3-Methyl-1-Butenylgruppe, 3-Methyl-2-Butenylgruppe, 3-Methyl-3-Butenylgruppe, 1,1-Dimethyl-2-Propenylgruppe, 1-Isopropyl-Ethenylgruppe, 1,2-Dimethyl-1-Propenylgruppe, 1,2-Dimethyl-2-Propenylgruppe, 1-Cyclopentenylgruppe, 2-Cyclopentenylgruppe, 3-Cyclopentenylgruppe, 1-Hexenylgruppe, 2-Hexenylgruppe, 3-Hexenylgruppe, 4-Hexenylgruppe, 5-Hexenylgruppe, 1-Methyl-1-Pentenylgruppe, 1-Methyl-2-Pentenylgruppe, 1-Methyl-3-Pentenylgruppe, 1-Methyl-4-Pentenylgruppe, 1-n-Butyl-Ethenylgruppe, 2-Methyl-1-Pentenylgruppe, 2-Methyl-2-Pentenylgruppe, 2-Methyl-3-Pentenylgruppe, 2-Methyl-4-Pentenylgruppe, 2-n-Propyl-2-Propenylgruppe, 3-Methyl-i-Pentenylgruppe, 3-Methyl-2-Pentenylgruppe, 3-Methyl-3-Pentenylgruppe, 3-Methyl-4-Pentenylgruppe, 3-Ethyl-3-Butenylgruppe, 4-Methyl-1-Pentenylgruppe, 4-Methyl-2-Pentenylgruppe, 4-Methyl-3-Pentenylgruppe, 4-Methyl-4-Pentenylgruppe, 1,1-Dimethyl-2-Butenylgruppe, 1,1-Dimethyl-3-Butenylgruppe, 1,2-Dimethyl-1-Butenylgruppe, 1,2-Dimethyl-2-Butenylgruppe, 1,2-Dimethyl-3-Butenylgruppe, 1-Methyl-2-Ethyl-2-Propenylgruppe, 1-s-Butyl-Ethenylgruppe, 1,3-Dimethyl-1-Butenylgruppe, 1,3-Dimethyl-2-Butenylgruppe, 1,3-Dimethyl-3-Butenylgruppe, 1-Isobutyl-Ethenylgruppe, 2,2-Dimethyl-3-Butenylgruppe, 2,3-Dimethyl-1-Butenylgruppe, 2,3-Dimethyl-2-Butenylgruppe, 2,3-Dimethyl-3-Butenylgruppe, 2-Isopropyl-2-Propenylgruppe, 3,3-Dimethyl-1-Butenylgruppe, 1-Ethyl-1-Butenylgruppe, 1-Ethyl-2-Butenylgruppe, 1-Ethyl-3-Butenylgruppe, 1-n-Propyl-1-Propenylgruppe, 1-n-Propyl-2-Propenylgruppe, 2-Ethyl-1-Butenylgruppe, 2-Ethyl-2-Butenylgruppe, 2-Ethyl-3-Butenylgruppe, 1,1,2-Trimethyl-2-Propenylgruppe, 1-tert-Butyl-Ethenylgruppe, 1-Methyl-1-Ethyl-2-Propenylgruppe, 1-Ethyl-2-Methyl-1-Propenylgruppe, 1-Ethyl-2-Methyl-2-Propenylgruppe, 1-Isopropyl-1-Propenylgruppe, 1-Isopropyl-2-Propenylgruppe, 1-Methyl-2-Cyclopentenylgruppe, 1-Methyl-3-Cyclopentenylgruppe, 2-Methyl-1-Cyclopentenylgruppe, 2-Methyl-2-Cyclopentenylgruppe, 2-Methyl-3-Cyclopentenylgruppe, 2-Methyl-4-Cyclopentenylgruppe, 2-Methyl-5-Cyclopentenylgruppe, 2-Methylen-Cyclopentylgruppe, 3-Methyl-1-Cyclopentenylgruppe, 3-Methyl-2-Cyclopentenylgruppe, 3-Methyl-3-Cyclopentenylgruppe, 3-Methyl-4-Cyclopentenylgruppe, 3-Methyl-5-Cyclopentenylgruppe, 3-Methylen-Cyclopentylgruppe, 1-Cyclohexenylgruppe, 2-Cyclohexenylgruppe und 3-Cyclohexenylgruppe ein.Specific examples of the alkenyl group that can be used for R 2 include ethenyl group, 1-propenyl group, 2-propenyl group, 1-methyl-1-ethenyl group, 1-butenyl group, 2-butenyl group, 3-butenyl group, 2-methyl- 1-propenyl group, 2-methyl-2-propenyl group, 1-ethyl-ethenyl group, 1-methyl-1-propenyl group, 1-methyl-2-propenyl group, 1-pentenyl group, 2-pentenyl group, 3-pentenyl group, 4-pentenyl group, 1-n-propyl-ethenyl group, 1-methyl-1-butenyl group, 1-methyl-2-butenyl group, 1-methyl-3-butenyl group, 2-ethyl-2-propenyl group, 2-methyl-1-butenyl group, 2- methyl-2-butenyl group, 2-methyl-3-butenyl group, 3-methyl-1-butenyl group, 3-methyl-2-butenyl group, 3-methyl-3-butenyl group, 1,1-dimethyl-2-propenyl group, 1- isopropyl ethenyl group, 1,2-dimethyl-1-propenyl group, 1,2-dimethyl-2-propenyl group, 1-cyclopentenyl group, 2-cyclopentenyl group, 3-cyclopentenyl group, 1-hexenyl group, 2-hexenyl group, 3-hexenyl group, 4- hexenyl group, 5-hexenyl group, 1-methyl-1-pentenyl group, 1-methyl-2-pentenyl group, 1-methyl-3-pentenyl group, 1-methyl-4-pentenyl group, 1-n-butyl-ethenyl group, 2-methyl- 1-pentenyl group, 2-methyl-2-pentenyl group, 2-methyl-3-pentenyl group, 2-methyl-4-pentenyl group, 2-n-propyl-2-propenyl group, 3-methyl-i-pentenyl group, 3-methyl- 2-pentenyl group, 3-methyl-3-pentenyl group, 3-methyl-4-pentenyl group, 3-ethyl-3-butenyl group, 4-methyl-1-pentenyl group, 4-methyl-2-pentenyl group, 4-methyl-3- pentenyl group, 4-methyl-4-pentenyl group, 1,1-dimethyl-2-butenyl group, 1,1-dimethyl-3-butenyl group, 1,2-dimethyl-1-butenyl group, 1,2-dimethyl-2-butenyl group, 1,2-dimethyl-3-butenyl group, 1-methyl-2-ethyl-2-propenyl group, 1-s-butyl-ethenyl group, 1,3-dimethyl-1-butenyl group, 1,3-dimethyl-2-butenyl group, 1,3-dimethyl-3-butenyl group, 1-isobutyl-ethenyl group, 2,2-dimethyl-3-butenyl group, 2,3-dimethyl-1-butenyl group, 2,3-dimethyl-2-butenyl group, 2,3- dimethyl-3-butenyl group, 2-isopropyl-2-propenyl group, 3,3-dimethyl-1-butenyl group, 1-ethyl-1-butenyl group, 1-ethyl-2-butenyl group, 1-ethyl-3-butenyl group, 1- n-propyl-1-propenyl group, 1-n-propyl-2-propenyl group, 2-ethyl-1-butenyl group, 2-ethyl-2-butenyl group, 2-ethyl-3-butenyl group, 1,1,2-trimethyl 2-propenyl group, 1-tert-butyl-ethenyl group, 1-methyl-1-ethyl-2-propenyl group, 1-ethyl-2-methyl-1-propenyl group, 1-ethyl-2-methyl-2-propenyl group, 1- isopropyl-1-propenyl group, 1-isopropyl-2-propenyl group, 1-methyl-2-cyclopentenyl group, 1-methyl-3-cyclopentenyl group, 2-methyl-1-cyclopentenyl group, 2-methyl-2-cyclopentenyl group, 2-methyl- 3-cyclopentenyl group, 2-methyl-4-cyclopentenyl group, 2-methyl-5-cyclopentenyl group, 2-methylene cyclopentyl group, 3-methyl-1-cyclopentenyl group, 3-methyl-2-cyclopentenyl group, 3-methyl-3-cyclopentenyl group, 3-methyl-4-cyclopentenyl group, 3-methyl-5-cyclopentenyl group, 3-methylenecyclopentyl group, 1-cyclohexenyl group, 2-cyclohexenyl group and 3-cyclohexenyl group.

Spezifische Beispiele für die Alkinylgruppe, die für R2 verwendet werden kann, schließen eine Ethinylgrupe, 1-Propinylgruppe, 2-Propinylgruppe, 1-Butinylgruppe, 2-Butinylgruppe, 3-Butinylgruppe, 1-Methyl-2-Propinylgruppe, 1-Pentinylgruppe, 2-Pentinylgruppe, 3-Pentinylgruppe, 4-Pentinylgruppe, 1-Methyl-2-Butinylgruppe, 1-Methyl-3-Butinylgruppe, 2-Methyl-3-Butinylgruppe, 3-Methyl-1-Butinylgruppe, 1,1-Dimethyl-2-Propinylgruppe, 2-Ethyl-2-Propinylgruppe, 1-Hexinylgruppe, 2-Hexinylgruppe, 3-Hexinylgruppe, 4-Hexinylgruppe, 5-Hexinylgruppe, 1-Methyl-2-Pentinylgruppe, 1-Methyl-3-Pentinylgruppe, 1-Methyl-4-Pentinylgruppe, 2-Methyl-3-Pentinylgruppe, 2-Methyl-4-Pentinylgruppe, 3-Methyl-1-Pentinylgruppe, 3-Methyl-4-Pentinylgruppe, 4-Methyl-1-Pentinylgruppe, 4-Methyl-2-Pentinylgruppe, 1,1-Dimethyl-2-Butinylgruppe, 1,1-Dimethyl-3-Butinylgruppe, 1,2-Dimethyl-3-Butinylgruppe, 2,2-Dimethyl-3-Butinylgruppe, 3,3-Dimethyl-1-Butinylgruppe, 1-Ethyl-2-Butinylgruppe, 1-Ethyl-3-Butinylgruppe, 1-n-Propyl-2-Propinylgruppe, 2-Ethyl-3-Butinylgruppe, 1-Methyl-1-Ethyl-2-Propinylgruppe und 1-Isopropyl-2-Propinylgruppe ein.Specific examples of the alkynyl group that can be used for R 2 include ethynyl group, 1-propynyl group, 2-propynyl group, 1-butynyl group, 2-butynyl group, 3-butynyl group, 1-methyl-2-propynyl group, 1-pentynyl group, 2-pentynyl group, 3-pentynyl group, 4-pentynyl group, 1-methyl-2-butynyl group, 1-methyl-3-butynyl group, 2-methyl-3-butynyl group, 3-methyl-1-butynyl group, 1,1-dimethyl- 2-propynyl group, 2-ethyl-2-propynyl group, 1-hexynyl group, 2-hexynyl group, 3-hexynyl group, 4-hexynyl group, 5-hexynyl group, 1-methyl-2-pentynyl group, 1-methyl-3-pentynyl group, 1- Methyl-4-Pentynyl Group, 2-Methyl-3-Pentynyl Group, 2-Methyl-4-Pentynyl Group, 3-Methyl-1-Pentynyl Group, 3-Methyl-4-Pentynyl Group, 4-Methyl-1-Pentynyl Group, 4-Methyl- 2-pentynyl group, 1,1-dimethyl-2-butynyl group, 1,1-dimethyl-3-butynyl group, 1,2-dimethyl-3-butynyl group, 2,2-dimethyl-3-butynyl group, 3,3-dimethyl- 1-butynyl group, 1-ethyl-2-butynyl group, 1-ethyl-3-butynyl group, 1-n-propyl-2-propynyl group, 2-ethyl-3-butynyl group, 1-methyl-1-ethyl-2-propynyl group and 1-isopropyl-2-propynyl group.

Spezifische Beispiele für die Alkylcarbonylgruppe, die für R2 verwendet werden kann, schließen eine Methylcarbonylgruppe, Ethylcarbonylgruppe, n-Propylcarbonylgruppe, Isopropylcarbonylgruppe, Cyclopropylcarbonylgruppe, n-Butylcarbonylgruppe, Isobutylcarbonylgruppe, s-Butylcarbonylgruppe, tert-Butylcarbonylgruppe, Cyclobutylcarbonylgruppe, 1-Methyl-Cyclopropylcarbonylgruppe, 2-Methyl-Cyclopropylcarbonylgruppe, n-Pentylcarbonylgruppe, 1-Methyl-n-Butylcarbonylgruppe, 2-Methyl-n-Butylcarbonylgruppe, 3-Methyl-n-Butylcarbonylgruppe, 1,1-Dimethyl-n-Propylcarbonylgruppe, 1,2-Dimethyl-n-Propylcarbonylgruppe, 2,2-Dimethyl-n-Propylcarbonylgruppe, 1-Ethyl-n-Propylcarbonylgruppe, Cyclopentylcarbonylgruppe, 1-Methyl-Cyclobutylcarbonylgruppe, 2-Methyl-Cyclobutylcarbonylgruppe, 3-Methyl-Cyclobutylcarbonylgruppe, 1,2-Dimethyl-Cyclopropylcarbonylgruppe, 2,3-Dimethyl-Cyclopropylcarbonylgruppe, 1-Ethyl-Cyclopropylcarbonylgruppe, 2-Ethyl-Cyclopropylcarbonylgruppe, n-Hexylcarbonylgruppe, 1-Methyl-n-Pentylcarbonylgruppe, 2-Methyl-n-Pentylcarbonylgruppe, 3-Methyl-n-Pentylcarbonylgruppe, 4-Methyl-n-Pentylcarbonylgruppe, 1,1-Dimethyl-n-Butylcarbonylgruppe, 1,2-Dimethyl-n-Butylcarbonylgruppe, 1,3-Dimethyl-n-Butylcarbonylgruppe, 2,2-Dimethyl-n-Butylcarbonylgruppe, 2,3-Dimethyl-n-Butylcarbonylgruppe, 3,3-Dimethyl-n-Butylcarbonylgruppe, 1-Ethyl-n-Butylcarbonylgruppe, 2-Ethyl-n-Butylcarbonylgruppe, 1,1,2-Trimethyl-n-Propylcarbonylgruppe, 1,2,2-Trimethyl-n-Propylcarbonylgruppe, 1-Ethyl-1-Methyl-n-Propylcarbonylgruppe, 1-Ethyl-2-Methyl-n-Propylcarbonylgruppe, Cyclohexylcarbonylgruppe, 1-Methyl-Cyclopentylcarbonylgruppe, 2-Methyl-Cyclopentylcarbonylgruppe, 3-Methyl-Cyclopentylcarbonylgruppe, 1-Ethyl-Cyclobutylcarbonylgruppe, 2-Ethyl-Cyclobutylcarbonylgruppe, 3-Ethyl-Cyclobutylcarbonylgruppe, 1,2-Dimethyl-Cyclobutylcarbonylgruppe, 1,3-Dimethyl-Cyclobutylcarbonylgruppe, 2,2-Dimethyl-Cyclobutylcarbonylgruppe, 2,3-Dimethyl-Cyclobutylcarbonylgruppe, 2,4-Dimethyl-Cyclobutylcarbonylgruppe, 3,3-Dimethyl-Cyclobutylcarbonylgruppe, 1-n-Propyl-Cyclopropylcarbonylgruppe, 2-n-Propyl-Cyclopropylcarbonylgruppe, 1-Isopropyl- Cyclopropylcarbonylgruppe, 2-Isopropyl-Cyclopropylcarbonylgruppe, 1,2,2-Trimethyl-Cyclopropylcarbonylgruppe, 1,2,3-Trimethyl-Cyclopropylcarbonylgruppe, 2,2,3-Trimethyl-Cyclopropylcarbonylgruppe, 1-Ethyl-2-Methyl-Cyclopropylcarbonylgruppe, 2-Ethyl-1-Methyl-Cyclopropylcarbonylgruppe, 2-Ethyl-2-Methyl-Cyclopropylcarbonylgruppe und 2-Ethyl-3-Methyl-Cyclopropylcarbonylgruppe ein.Specific examples of the alkylcarbonyl group which can be used for R 2 include methylcarbonyl group, ethylcarbonyl group, n-propylcarbonyl group, isopropylcarbonyl group, cyclopropylcarbonyl group, n-butylcarbonyl group, isobutylcarbonyl group, s-butylcarbonyl group, tert-butylcarbonyl group, cyclobutylcarbonyl group, 1-methylcyclopropylcarbonyl group, 2-methylcyclopropylcarbonyl group, n-pentylcarbonyl group, 1-methyl-n-butylcarbonyl group, 2-methyl-n-butylcarbonyl group, 3-methyl-n-butylcarbonyl group, 1,1-dimethyl-n-propylcarbonyl group, 1,2-dimethyl- n-propylcarbonyl group, 2,2-dimethyl-n-propylcarbonyl group, 1-ethyl-n-propylcarbonyl group, cyclopentylcarbonyl group, 1-methyl-cyclobutylcarbonyl group, 2-methyl-cyclobutylcarbonyl group, 3-methyl-cyclobutylcarbonyl group, 1,2-dimethyl-cyclopropylcarbonyl group, 2,3-dimethylcyclopropylcarbonyl group, 1-ethylcyclopropylcarbonyl group, 2-ethylcyclopropylcarbonyl group, n-hexylcarbonyl group, 1-methyl-n-pentylcarbonyl group, 2-methyl-n-pentylcarbonyl group, 3-methyl-n-pentylcarbonyl group, 4- methyl-n-pentylcarbonyl group, 1,1- dimethyl-n-butylcarbonyl group, 1,2-dimethyl-n-butylcarbonyl group, 1,3-dimethyl-n-butylcarbonyl group, 2,2-dimethyl-n-butylcarbonyl group, 2,3-dimethyl-n-butylcarbonyl group, 3,3- dimethyl-n-butylcarbonyl group, 1-ethyl-n-butylcarbonyl group, 2-ethyl-n-butylcarbonyl group, 1,1,2-trimethyl-n-propylcarbonyl group, 1,2,2-trimethyl-n-propylcarbonyl group, 1-ethyl- 1-methyl-n-propylcarbonyl group, 1-ethyl-2-methyl-n-propylcarbonyl group, cyclohexylcarbonyl group, 1-methyl-cyclopentylcarbonyl group, 2-methyl-cyclopentylcarbonyl group, 3-methyl-cyclopentylcarbonyl group, 1-ethyl-cyclobutylcarbonyl group, 2-ethyl- cyclobutylcarbonyl group, 3-ethylcyclobutylcarbonyl group, 1,2-dimethylcyclobutylcarbonyl group, 1,3-dimethylcyclobutylcarbonyl group, 2,2-dimethylcyclobutylcarbonyl group, 2,3-dimethylcyclobutylcarbonyl group, 2,4-dimethylcyclobutylcarbonyl group, 3, 3-dimethylcyclobutylcarbonyl group, 1-n-propylcyclopropylcarbonyl group, 2-n-propylcyclopropylcarbonyl group, 1-isopropylcyclopropylcarbonyl group, 2-isopropylcyclopropylcarbonyl group, 1,2,2-trimethylcyclopropylcarbonyl group, 1,2,3- Trimethylcyclopropylcarbonyl group, 2,2,3-trimethylcyclopropylcarbonyl group, 1-ethyl-2-methylcyclopropylcarbonyl group, 2-ethyl-1-methylcyclopropylcarbonyl group, 2-ethyl-2-methylcyclopropylcarbonyl group and 2-ethyl-3- methylcyclopropylcarbonyl group.

Spezifische Beispiele für die Alkylcarbonylaminogruppe, die für R2 verwendet werden kann, schließen eine Methylcarbonylaminogruppe, Ethylcarbonylaminogruppe, n-Propylcarbonylaminogruppe, Isopropylcarbonylaminogruppe, Cyclopropylcarbonylaminogruppe, n-Butylcarbonylaminogruppe, Isobutylcarbonylaminogruppe, s-Butylcarbonylaminogruppe, tert-Butylcarbonylaminogruppe, Cyclobutylcarbonylaminogruppe, 1-Methyl-Cyclopropylcarbonylaminogruppe, 2-Methyl-Cyclopropylcarbonylaminogruppe, n-Pentylcarbonylaminogruppe, 1-Methyl-n-Butylcarbonylaminogruppe, 2-Methyl-n-Butylcarbonylaminogruppe, 3-Methyl-n-Butylcarbonylaminogruppe, 1,1-Dimethyl-n-Propylcarbonylaminogruppe, 1,2-Dimethyl-n-Propylcarbonylaminogruppe, 2,2-Dimethyl-n-Propylcarbonylaminogruppe, 1-Ethyl-n-Propylcarbonylaminogruppe, Cyclopentylcarbonylaminogruppe, 1-Methyl-Cyclobutylcarbonylaminogruppe, 2-Methyl-Cyclobutylcarbonylaminogruppe, 3-Methyl-Cyclobutylcarbonylaminogruppe, 1,2-Dimethyl- Cyclopropylcarbonylaminogruppe, 2,3-Dimethyl-Cyclopropylcarbonylaminogruppe, 1-Ethyl-Cyclopropylcarbonylaminogruppe, 2-Ethyl-Cyclopropylcarbonylaminogruppe, n-Hexylcarbonylaminogruppe, 1-Methyl-n-Pentylcarbonylaminogruppe, 2-Methyl-n-Pentylcarbonylaminogruppe, 3-Methyl-n-Pentylcarbonylaminogruppe, 4-Methyl-n-Pentylcarbonylaminogruppe, 1,1-Dimethyl-n-Butylcarbonylaminogruppe, 1,2-Dimethyl-n-Butylcarbonylaminogruppe, 1,3-Dimethyl-n-Butylcarbonylaminogruppe, 2,2-Dimethyl-n-Butylcarbonylaminogruppe, 2,3-Dimethyl-n-Butylcarbonylaminogruppe, 3,3-Dimethyl-n-Butylcarbonylaminogruppe, 1-Ethyl-n-Butylcarbonylaminogruppe, 2-Ethyl-n-Butylcarbonylaminogruppe, 1,1,2-Trimethyl-n-Propylcarbonylaminogruppe, 1,2,2-Trimethyl-n-Propylcarbonylaminogruppe, 1-Ethyl-1-Methyl-n-Propylcarbonylaminogruppe, 1-Ethyl-2-Methyl-n-Propylcarbonylaminogruppe, Cyclohexylcarbonylaminogruppe, 1-Methyl-Cyclopentylcarbonylaminogruppe, 2-Methyl-Cyclopentylcarbonylaminogruppe, 3-Methyl-Cyclopentylcarbonylaminogruppe, 1-Ethyl-Cyclobutylcarbonylaminogruppe, 2-Ethyl-Cyclobutylcarbonylaminogruppe, 3-Ethyl-Cyclobutylcarbonylaminogruppe, 1,2-Dimethyl-Cyclobutylcarbonylaminogruppe, 1,3-Dimethyl-Cyclobutylcarbonylaminogruppe, 2,2-Dimethyl-Cyclobutylcarbonylaminogruppe, 2,3-Dimethyl-Cyclobutylcarbonylaminogruppe, 2,4-Dimethyl-Cyclobutylcarbonylaminogruppe, 3,3-Dimethyl-Cyclobutylcarbonylaminogruppe, 1-n-Propyl-Cyclopropylcarbonylaminogruppe, 2-n-Propyl-Cyclopropylcarbonylaminogruppe, 1-Isopropyl- Cyclopropylcarbonylaminogruppe, 2-Isopropyl-Cyclopropylcarbonylaminogruppe, 1,2,2-Trimethyl-Cyclopropylcarbonylaminogruppe, 1,2,3-Trimethyl-Cyclopropylcarbonylaminogruppe, 2,2,3-Trimethyl-Cyclopropylcarbonylaminogruppe, 1-Ethyl-2-Methyl-Cyclopropylcarbonylaminogruppe, 2-Ethyl-1-Methyl-Cyclopropylcarbonylaminogruppe, 2-Ethyl-2-Methyl-Cyclopropylcarbonylaminogruppe und 2-Ethyl-3-Methyl-Cyclopropylcarbonylaminogruppe ein.Specific examples of the alkylcarbonylamino group which can be used for R 2 include methylcarbonylamino group, ethylcarbonylamino group, n-propylcarbonylamino group, isopropylcarbonylamino group, cyclopropylcarbonylamino group, n-butylcarbonylamino group, isobutylcarbonylamino group, s-butylcarbonylamino group, tert-butylcarbonylamino group, cyclobutylcarbonylamino group, 1-methylcyclopropylcarbonylamino group, 2-methylcyclopropylcarbonylamino group, n-pentylcarbonylamino group, 1-methyl-n-butylcarbonylamino group, 2-methyl-n-butylcarbonylamino group, 3-methyl-n-butylcarbonylamino group, 1,1-dimethyl-n-propylcarbonylamino group, 1,2-dimethyl- n-propylcarbonylamino group, 2,2-dimethyl-n-propylcarbonylamino group, 1-ethyl-n-propylcarbonylamino group, cyclopentylcarbonylamino group, 1-methyl-cyclobutylcarbonylamino group, 2-methyl-cyclobutylcarbonylamino group, 3-methyl-cyclobutylcarbonylamino group, 1,2-dimethyl-cyclopropylcarbonylamino group, 2,3-dimethylcyclopropylcarbonylamino group, 1-ethylcyclopropylcarbonylamino group, 2-ethylcyclopropylcarbonylamino group, n-hexylcarbonylamino group, 1-methyl-n-pentylcarbonylamino group, 2-methyl-n-pentylcarbonylamino group, 3-methyl-n-pentylcarbonylamino group, 4- methyl-n-pentylcarbonylamino group, 1,1-dimethyl-n-butylcarbonylamino group, 1,2-dimethyl-n-butylcarbonylamino group, 1,3-dimethyl-n-butylcarbonylamino group, 2,2-dimethyl-n-butylcarbonylamino group, 2,3- dimethyl-n-butylcarbonylamino group, 3,3-dimethyl-n-butylcarbonylamino group, 1-ethyl-n-butylcarbonylamino group, 2-ethyl-n-butylcarbonylamino group, 1,1,2-trimethyl-n-propylcarbonylamino group, 1,2,2- Trimethyl-n-propylcarbonylamino group, 1-ethyl-1-methyl-n-propylcarbonylamino group, 1-ethyl-2-methyl-n-propylcarbonylamino group, cyclohexylcarbonylamino group, 1-methyl-cyclopentylcarbonylamino group, 2-methyl-cyclopentylcarbonylamino group, 3-methyl-cyclopentylcarbonylamino group, 1-ethylcyclobutylcarbonylamino group, 2-ethylcyclobutylcarbonylamino group, 3-ethylcyclobutylcarbonylamino group, 1,2-dimethylcyclobutylcarbonylamino group, 1,3-dimethylcyclobutylcarbonylamino group, 2,2-dimethylcyclobutylcarbonylamino group, 2,3-dimethylcyclobutylcarbonylamino group, 2,4-dimethylcyclobutylcarbonylamino group, 3,3-dimethylcyclobutylcarbonylamino group, 1-n-propylcyclopropylcarbonylamino group, 2-n-propylcyclopropylcarbonylamino group, 1-isopropylcyclopropylcarbonylamino group, 2-isopropylcyclopropylcarbonylamino group, 1,2,2- trimethylcyclopropylcarbonylamino group, 1,2,3-trimethylcyclopropylcarbonylamino group, 2,2,3-trimethylcyclopropylcarbonylamino group, 1-ethyl-2-methylcyclopropylcarbonylamino group, 2-ethyl-1-methylcyclopropylcarbonylamino group, 2-ethyl-2- methyl-cyclopropylcarbonylamino group and 2-ethyl-3-methyl-cyclopropylcarbonylamino group.

Spezifische Beispiele für die Alkyloxyalkylgruppe, die für R2 verwendet werden kann, schließen eine Methyloxymethylgruppe, Ethyloxyethylgruppe, Ethyloxymethylgruppe, Propyloxypropylgruppe, Propyloxymethylgruppe, tert-Butyloxy-tert-Butylgruppe und Methyltert-Butylgruppe ein.Specific examples of the alkyloxyalkyl group that can be used for R 2 include methyloxymethyl group, ethyloxyethyl group, ethyloxymethyl group, propyloxypropyl group, propyloxymethyl group, tert-butyloxy-tert-butyl group and methyl-tert-butyl group.

Spezifische Beispiele für die Alkylaminogruppe, die für R2 verwendet werden kann, schließen eine Methylaminogruppe, Ethylaminogruppe, n-Propylaminogruppe, Isopropylaminogruppe, Cyclopropylaminogruppe, n-Butylaminogruppe, Isobutylaminogruppe, s-Butylaminogruppe, tert-Butylaminogruppe, Cyclobutylaminogruppe, 1-Methyl-Cyclopropylaminogruppe, 2-Methyl-Cyclopropylaminogruppe, n-Pentylaminogruppe, 1-Methyl-n-Butylaminogruppe, 2-Methyl-n-Butylaminogruppe, 3-Methyl-n-Butylaminogruppe und 1,1-Dimethyl-n-Propylaminogruppe ein.Specific examples of the alkylamino group which can be used for R 2 include methylamino group, ethylamino group, n-propylamino group, isopropylamino group, cyclopropylamino group, n-butylamino group, isobutylamino group, s-butylamino group, tert-butylamino group, cyclobutylamino group, 1-methylcyclopropylamino group, 2-methylcyclopropylamino group, n-pentylamino group, 1-methyl-n-butylamino group, 2-methyl-n-butylamino group, 3-methyl-n-butylamino group and 1,1-dimethyl-n-propylamino group.

Spezifische Beispiele für die Alkyldiaminogruppe, die für R2 verwendet werden kann, schließen eine Methyldiaminogruppe, Ethyldiaminogruppe, n-Propyldiaminogruppe, Isopropyldiaminogruppe, Cyclopropyldiaminogruppe, n-Butyldiaminogruppe, Isobutyldiaminogruppe, s-Butyldiaminogruppe, tert-Butyldiaminogruppe, Cyclobutyldiaminogruppe, 1-Methyl-Cyclopropyldiaminogruppe, 2-Methyl-Cyclopropyldiaminogruppe, n-Pentyldiaminogruppe, 1-Methyl-n-Butyldiaminogruppe, 2-Methyl-n-Butyldiaminogruppe, 3-Methyl-n-Butyldiaminogruppe und 1,1-Dimethyl-n-Propyldiaminogruppe ein.Specific examples of the alkyldiamino group which can be used for R 2 include methyldiamino group, ethyldiamino group, n-propyldiamino group, isopropyldiamino group, cyclopropyldiamino group, n-butyldiamino group, isobutyldiamino group, s-butyldiamino group, tert-butyldiamino group, cyclobutyldiamino group, 1-methylcyclopropyldiamino group, 2-methylcyclopropyldiamino group, n-pentyldiamino group, 1-methyl-n-butyldiamino group, 2-methyl-n-butyldiamino group, 3-methyl-n-butyldiamino group and 1,1-dimethyl-n-propyldiamino group.

In einer Ausführungsform, in der das aufschwimmende Vernetzungsagens ein Polyglycidylether ist, weist das aufschwimmende Vernetzungsagens die folgende Struktur auf:

Figure DE102014114176B4_0018
wobei m eine ganze Zahl von 2 bis 6 darstellt und R2 (ähnlich zu den oben mit Bezug auf Polyether-Polyol beschriebenen Gruppen) eine Alkylgruppe mit 1 bis 10 Kohlenstoffatomen, eine Alkenylgruppe mit 2 bis 6 Kohlenstoffatomen, eine Alkinylgruppe mit 2 bis 10 Kohlenstoffatomen, eine Alkylcarbonylgruppe mit 2 bis 10 Kohlenstoffatomen, eine Alkylcarbonylaminogruppe mit 2 bis 10 Kohlenstoffatomen, eine Alkyloxyalkylgruppe mit 2 bis 10 Kohlenstoffatomen, eine Alkylaminogruppe mit 1 bis 10 Kohlenstoffatomen, eine Alkyldiaminogruppe mit 1 bis 10 Kohlenstoffatomen oder Kombinationen derselben darstellt, eine gerade, verzweigte oder zyklische Struktur aufweist und eine organische Gruppe ist, die eine Valenzzahl von 2 bis 6 entsprechend der Anzahl von m Glycidylethergruppen aufweist.In one embodiment where the buoyant crosslinking agent is a polyglycidyl ether, the buoyant crosslinking agent has the following structure:
Figure DE102014114176B4_0018
where m is an integer from 2 to 6 and R 2 is (similar to the groups described above with respect to polyether polyol) an alkyl group of 1 to 10 carbon atoms, an alkenyl group of 2 to 6 carbon atoms, an alkynyl group of 2 to 10 carbon atoms , an alkylcarbonyl group having 2 to 10 carbon atoms, an alkylcarbonylamino group having 2 to 10 carbon atoms, an alkyloxyalkyl group having 2 to 10 carbon atoms, an alkylamino group having 1 to 10 carbon atoms, an alkyldiamino group having 1 to 10 carbon atoms, or combinations thereof, a straight, branched or has cyclic structure and is an organic group having a valence number of 2 to 6 corresponding to the number of m glycidyl ether groups.

In einer Ausführungsform, in der das aufschwimmende Vernetzungsagens ein Vinylether ist, weist das aufschwimmende Vernetzungsagens die folgende Struktur auf:

Figure DE102014114176B4_0019
wobei n von eins bis sechs geht, R eine Arylgruppe oder eine Alkylgruppe ist und X ein Alkyl, Alkoxys, Carboxys oder Kombinationen derselben ist.In one embodiment where the buoyant crosslinking agent is a vinyl ether, the buoyant crosslinking agent has the following structure:
Figure DE102014114176B4_0019
where n is from one to six, R is an aryl group or an alkyl group, and X is alkyl, alkoxy, carboxy, or combinations thereof.

In speziellen Ausführungsformen, in denen das aufschwimmende Vernetzungsagens ein Vinylether ist, weist das aufschwimmende Vernetzungsagens eine der folgenden Strukturen auf:

Figure DE102014114176B4_0020
Figure DE102014114176B4_0021
In specific embodiments where the buoyant crosslinking agent is a vinyl ether, the buoyant crosslinking agent has one of the following structures:
Figure DE102014114176B4_0020
Figure DE102014114176B4_0021

In einer Ausführungsform, in der das aufschwimmende Vernetzungsagens ein Glycoluril ist, kann das aufschwimmende Vernetzungsagens ein methyliertes Glycoluril, wie z.B. methoxy-methyliertes Glycoluril, sein. In einer speziellen Ausführungsform, in der das aufschwimmende Vernetzungsagens ein methoxy-methyliertes Glycoluril ist, weist das aufschwimmende Vernetzungsagens die folgende Struktur auf:

Figure DE102014114176B4_0022
In an embodiment where the buoyant crosslinking agent is a glycoluril, the buoyant crosslinking agent can be a methylated glycoluril such as methoxymethylated glycoluril. In a specific embodiment where the buoyant crosslinking agent is a methoxymethylated glycoluril, the buoyant crosslinking agent has the following structure:
Figure DE102014114176B4_0022

In einer Ausführungsform, in welcher der aufschwimmende Vernetzer ein Triazen ist, kann der aufschwimmende Vernetzer ein solches Triazen wie 3,3-Dimethyl-1-phenylentriazen, eine 3,3-Dimethyl-1-phylentriazen enthaltende Arylgruppe oder Bis(triazen) sein. In einer speziellen Ausführungsform ist das aufschwimmende Vernetzungsagens ein Triazen mit der folgenden Struktur:

Figure DE102014114176B4_0023
In an embodiment where the buoyant crosslinker is a triazene, the buoyant crosslinker can be a triazene such as 3,3-dimethyl-1-phenylene triazene, a 3,3-dimethyl-1-phylene triazene containing aryl group, or bis(triazene). In a specific embodiment, the floating crosslinking agent is a triazene having the following structure:
Figure DE102014114176B4_0023

In einer Ausführungsform weist das aufschwimmende Vernetzungsagens auch ein substituiertes Fluoratom auf, das in die Struktur des aufschwimmenden Vernetzungsagens eingebaut wurde. In einer speziellen Ausführungsform kann das Fluoratom in die Vernetzungsstruktur als ein oder mehrere Fluoratom(e) eingebaut werden, die z.B. für ein Wasserstoffatom in einer Alkylgruppe substituiert sind, die in der Struktur des aufschwimmenden Vernetzungsagens angeordnet ist.In one embodiment, the buoyant crosslinking agent also has a substituted fluorine atom that has been incorporated into the structure of the buoyant crosslinking agent. In a specific embodiment, the fluorine atom can be incorporated into the crosslinking structure as one or more fluorine atoms substituted, for example, for a hydrogen atom in an alkyl group located in the structure of the buoyant crosslinking agent.

Alternativ kann das Fluoratom Teil einer Alkylfluoridgruppe sein, die in die Struktur des aufschwimmenden Vernetzungsagens substituiert ist. Als spezielle Beispiele kann das Fluoratom in eine Alkylfluoridgruppe eingebaut sein, die eine der folgenden Strukturen aufweist:

Figure DE102014114176B4_0024
Figure DE102014114176B4_0025
Figure DE102014114176B4_0026
Alternatively, the fluorine atom may be part of an alkyl fluoride group substituted into the structure of the buoyant crosslinking agent. As specific examples, the fluorine atom can be incorporated into an alkyl fluoride group having one of the following structures:
Figure DE102014114176B4_0024
Figure DE102014114176B4_0025
Figure DE102014114176B4_0026

Alternativ kann jedoch eine beliebige geeignete Zahl von Kohlenstoff- und Fluoratomen eingesetzt werden.However, any suitable number of carbon and fluorine atoms may alternatively be employed.

Wie ein Fachmann außerdem einsehen wird, ist beabsichtigt, dass die oben aufgeführten genauen Beispiele bezüglich der Strukturen und Gruppen, die in einem aufschwimmenden Vernetzungsagens verwendet werden können, lediglich der Veranschaulichung dienen und nicht dazu gedacht sind, jede mögliche Struktur oder Gruppe, die zum Ausbilden des aufschwimmenden Vernetzungsagens eingesetzt werden kann, aufzuführen. Es können beliebige geeignete alternative Strukturen und beliebige geeignete alternative Gruppen zum Ausbilden des aufschwimmenden Vernetzungsagens eingesetzt werden. Es ist vollauf beabsichtigt, dass alle derartigen Strukturen und Gruppen im Umfang der Ausführungsformen enthalten sind.As one skilled in the art will also appreciate, it is intended that the specific examples given above regarding the structures and groups that can be used in a buoyant crosslinking agent are for illustration only and are not intended to represent every possible structure or group that may be used to form of the floating crosslinking agent can be used. Any suitable alternative structure and any suitable alternative Groups can be used to form the floating crosslinking agent. All such structures and groups are fully intended to be included within the scope of the embodiments.

Die einzelnen Bestandteile der BARC-Schicht 105 können in das BARC-Lösungsmittel eingebracht werden, um das Mischen und Platzieren der BARC-Schicht 105 zu unterstützen. Um das Mischen und Platzieren der BARC-Schicht 105 zu unterstützen, wird das Lösungsmittel mindestens zum Teil auf Basis der Materialien und Monomere ausgewählt, die für das Polymerharz der BARC-Schicht 105 sowie den Katalysator ausgewählt wurden. Insbesondere wird das BARC-Lösungsmittel derart ausgewählt, dass das Polymerharz, die Katalysatoren und das aufschwimmende Vernetzungsagens gleichmäßig im BARC-Lösungsmittel gelöst und auf das Substrat 101 und die Finnen 103 verteilt werden können.The individual components of the BARC layer 105 can be incorporated into the BARC solvent to aid in the mixing and placement of the BARC layer 105. To aid in the mixing and placement of the BARC layer 105, the solvent is selected based at least in part on the materials and monomers selected for the polymeric resin of the BARC layer 105 as well as the catalyst. In particular, the BARC solvent is selected such that the polymer resin, catalysts, and floating crosslinking agent can be evenly dissolved in the BARC solvent and distributed over the substrate 101 and the fins 103 .

In einer Ausführungsform kann das BARC-Lösungsmittel ein organisches Lösungsmittel sein, und es kann ein beliebiges geeignetes Lösungsmittel, wie z.B. Ketone, Alkohole, Polyalkohole, Ether, Glycolether, zyklische Ether, aromatische Kohlenwasserstoffe, Ester, Propionate, Lactate, lactische Ester, Alkylenglycolmonoalkylether, Alkyllactate, Alkylalkoxypropionate, zyklische Lactone, einen Ring enthaltende Monoketon-Verbindungen, Alkylencarbonate, Alkylenalkoxyacetat, Alkylpyrovate, Lactatester, Ethylenglycolalkyletheracetate, Diethylenglycole, Propylenglycolalkyletheracetate, Alkylenglycolalkyletherester, Alkylenglycolmonoalkylester oder dergleichen aufweisen.In one embodiment, the BARC solvent can be an organic solvent and can be any suitable solvent such as ketones, alcohols, polyalcohols, ethers, glycol ethers, cyclic ethers, aromatic hydrocarbons, esters, propionates, lactates, lactic esters, alkylene glycol monoalkyl ethers, alkyl lactates, alkyl alkoxy propionates, cyclic lactones, ring-containing monoketone compounds, alkylene carbonates, alkylene alkoxy acetate, alkyl pyrovates, lactate esters, ethylene glycol alkyl ether acetates, diethylene glycols, propylene glycol alkyl ether acetates, alkylene glycol alkyl ether esters, alkylene glycol monoalkyl esters, or the like.

Spezifische Beispiele für Materialien, die als das BARC-Lösungsmittel verwendet werden können, schließen Aceton, Methanol, Ethanol, Toluen, Xylen, 4-Hydroxy-4-methyl-2-pentanon, Tetrahydrofuran, Methylethylketon, Cyclohexanon, Methylisoamylketon, 2-Heptanon, Ethylenglycol, Ethylenglycolmonoacetat, Ethylenglycoldimethylether, Ethylenglycoldiethylether, Ethylenglycolmethylethylether, Ethylenglycolmonoetherylether, Methyl-Cellosolve-Acetat, Ethyl-Cellosolve-Acetat, Diethylenglycol, Diethylenglycolmonoacetat, Diethylenglycolmonomethylether Diethylenglycoldiethylether, Diethylenglycoldimethylether, Diethylenglycolethylmethylether, Diethylenglycolmonoethylether, Diethylenglycolmonobutylether, Ethyl-2-hydroxypropionat, Methyl-2-hydroxy-2-methylpropionat, Ethyl-2-hydroxy-2-methylpropionat, Ethylethoxyacetat, Ethylhydroxyacetat, Methyl-2-hydroxy-2-methylbutanat, Methyl-3-methoxypropionat, Ethyl-3-methoxypropionat, Methyl-3-ethoxypropionat, Ethyl-3-ethoxypropionat, Ethylacetat, Butylacetat, Methyllactat und Ethyllactat, Propylenglycol, Propylenglycolmonoacetat, Propylenglycolmonoethyletheracetat, Propylenglycolmonomethyletheracetat, Propylenglycolmonopropylmethyletheracetat, Propylenglycolmonobutyletheracetat, Propylenglycolmonomethyletherpropionat, Propylenglycolmonoethyletherpropionat, Propylenglycolmethyletheracetat, Propylenglycolethyletheracetat, Ethylenglycolmonomethyletheracetat, Ethylenglycolmonoethyletheracetat, Propylenglycolmonomethylether, Propylenglycolmonoethylether, Propylenglycolmonopropylether, Propylenglycolmonobutylether, Ethylenglycolmonomethylether, Ethylenglycolmonoethylether, Methyllactat, Ethyllactat, Propyllactat und Butyllactat, Ethyl-3-ethoxypropionat, Methyl-3-methoxypropionat, Methyl-3-ethoxypropionat und Ethyl-3-methoxypropionat, β-Propiolacton, β-Butyrolacton, γ-Butyrolacton, α-Methyl-γ-butyrolacton, β-Methyl-γ-butyrolacton, γ-Valerolacton, γ-Caprolacton, γ-Octanolacton, α-Hydroxy-γ-butyrolacton, 2-Butanon, 3-Methylbutanon, Pinakolon, 2-Pentanon, 3-Pentanon, 4-Methyl-2-pentanon, 2-Methyl-3-pentanon, 4,4-Dimethyl-2-pentanon, 2,4-Dimethyl-3-pentanon, 2,2,4,4-Tetramethyl-3-pentanon, 2-Hexanon, 3-Hexanon, 5-Methyl-3-hexanon, 2-Heptanon, 3-Heptanon, 4-Heptanon, 2-Methyl-3-heptanon, 5-Methyl-3-heptanon, 2,6-Dimethyl-4-heptanon, 2-Oktanon, 3-Oktanon, 2-Nonanon, 3-Nonanon, 5-Nonanon, 2-Decanon, 3-Decanon, 4-Decanon, 5-Hexen-2-on, 3-Penten-2-on, Cyclopentanon, 2-Methylcyclopentanon, 3-Methylcyclopentanon, 2,2-Dimethylcyclopentanon, 2,4,4-Trimethylcyclopentanon, Cyclohexanon, 3-Methylcyclohexanon, 4-Methylcyclohexanon, 4-Ethylcyclohexanon, 2,2-Dimethylcyclohexanon, 2,6-Dimethylcyclohexanon, 2,2,6-Trimethylcyclohexanon, Cycloheptanon, 2-Methylcycloheptanon, 3-Methylcycloheptanon, Pylencarbonat, Vinylencarbonat, Ethylencarbonat und Butylencarbonat, Acetat-2-methoxyethyl, Acetat-2-ethoxyethyl, Acetat-2-(2-ethoxyethoxy)ethyl, Acetat-3-methoxy-3-methylbutyl, Acetat-1-methoxy-2-propyl, Dipropylenglycol, Monomethylether, Monoethylether, Monopropylether, Monobutylether, Monophenylether, Dipropylenglycolmonoacetat, Dioxan, Methyllactat, Ethyllactat, Methylacetat, Ethylacetat, Butylacetat, Methylpuruvat, Ethylpuruvat, Propylpuruvat, Methylmethoxypropionat, Ethylethoxypropionat, n-Methylpyrrolidon (NMP), 2-Methoxymethylether (Diglyme), Ethylenglycolmonomethylether, Propylenglycolmonomethylether; Ethyllactat oder Methyllactat, Methylpropionat, Ethylpropionat und Ethylethoxypropionat, Methylethylketon, Cyclohexanon, 2-Heptanon, Kohlenstoffdioxid, Cyclopentanon, Cyclohexanon, Ethyl-3-Ethoxypropionat, Ethyllactat, Propylenglycolmethyletheracetat (PGMEA), Methylen-Cellosolve, Butylacetat und 2-Ethoxyethanol, N-Methylformamid, N,N-Dimethylformamid, N-Methylformanilid, N-Methylacetamid, N,N-Dimethylacetamid, N-Methylpyrrolidon, Dimethylsulfoxid, Benzylethylether, Dihexylether, Acetonylaceton, Isophoron, Capronsäure, Caprylsäure, 1-Octanol, 1-Nonanol, Benzylalkohol, Benzylacetat, Ethylbenzoat, Diethyloxalat, Diethylmaleat, γ-Butyrolacton, Ethylencarbonat, Propylencarbonat, Phenyl-Cellosolve-Acetat oder dergleichen ein.Specific examples of materials that can be used as the BARC solvent include acetone, methanol, ethanol, toluene, xylene, 4-hydroxy-4-methyl-2-pentanone, tetrahydrofuran, methyl ethyl ketone, cyclohexanone, methyl isoamyl ketone, 2-heptanone, Ethylene glycol, ethylene glycol monoacetate, ethylene glycol dimethyl ether, ethylene glycol diethyl ether, ethylene glycol methyl ethyl ether, ethylene glycol monoetheryl ether, methyl cellosolve acetate, ethyl cellosolve acetate, diethylene glycol, diethylene glycol monoacetate, diethylene glycol monomethyl ether, diethylene glycol diethyl ether, diethylene glycol dimethyl ether, diethylene glycol ethyl methyl ether, diethylene glycol monoethyl ether, diethylene glycol monobutyl ether, ethyl 2-hydroxypropion at, methyl-2-hydroxy -2-methylpropionate, ethyl 2-hydroxy-2-methylpropionate, ethyl ethoxyacetate, ethyl hydroxyacetate, methyl 2-hydroxy-2-methylbutanate, methyl 3-methoxypropionate, ethyl 3-methoxypropionate, methyl 3-ethoxypropionate, ethyl-3 -ethoxypropionate, ethyl acetate, butyl acetate, methyl lactate and ethyl lactate, propylene glycol, propylene glycol monoacetate, propylene glycol monoethyl ether acetate, propylene glycol monomethyl ether acetate, propylene glycol monopropyl methyl ether acetate, propylene glycol monobutyl ether acetate, propylene glycol monomethyl ether propionate, propylene glycol monoethyl ether propionate, propylene glycol methyl ether acetate, propylene glycol ethyl ether acetate, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, propylene glycol monomethyl ether, propylene glycol monoethyl ether, propylene glycol monopropyl ether, propylene glycol monobutyl ether, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, methyl lactate, ethyl lactate , propyl lactate and butyl lactate, ethyl 3-ethoxypropionate, methyl 3-methoxypropionate, methyl 3-ethoxypropionate and ethyl 3-methoxypropionate, β-propiolactone, β-butyrolactone, γ-butyrolactone, α-methyl-γ-butyrolactone, β -Methyl-γ-butyrolactone, γ-valerolactone, γ-caprolactone, γ-octanolactone, α-hydroxy-γ-butyrolactone, 2-butanone, 3-methylbutanone, pinacolone, 2-pentanone, 3-pentanone, 4-methyl-2 -pentanone, 2-methyl-3-pentanone, 4,4-dimethyl-2-pentanone, 2,4-dimethyl-3-pentanone, 2,2,4,4-tetramethyl-3-pentanone, 2-hexanone, 3 -Hexanone, 5-methyl-3-hexanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-methyl-3-heptanone, 5-methyl-3-heptanone, 2,6-dimethyl-4-heptanone, 2 -octanone, 3-octanone, 2-nonanone, 3-nonanone, 5-nonanone, 2-decanone, 3-decanone, 4-decanone, 5-hexen-2-one, 3-penten-2-one, cyclopentanone, 2 -Methylcyclopentanone, 3-methylcyclopentanone, 2,2-dimethylcyclopentanone, 2,4,4-trimethylcyclopentanone, cyclohexanone, 3-methylcyclohexanone, 4-methylcyclohexanone, 4-ethylcyclohexanone, 2,2-dimethylcyclohexanone, 2,6-dimethylcyclohexanone, 2,2 ,6-trimethylcyclohexanone, cycloheptanone, 2-methylcycloheptanone, 3-methylcycloheptanone, pylene carbonate, vinylene carbonate, ethylene carbonate and butylene carbonate, acetate-2-methoxyethyl, acetate-2-ethoxyethyl, acetate-2-(2-ethoxyethoxy)ethyl, acetate-3- methoxy-3-methylbutyl, acetate-1-methoxy-2-propyl, dipropylene glycol, monomethyl ether, monoethyl ether, monopropyl ether, monobutyl ether, monophenyl ether, dipropylene glycol monoacetate, dioxane, methyl lactate, ethyl lactate, methyl acetate, ethyl acetate, butyl acetate, methyl puruvate, ethyl puruvate, propyl puruvate, methyl methoxypropionate, ethyl ethoxypropionate, n-methylpyrrolidone (NMP), 2-methoxymethyl ether (diglyme), ethylene glycol monomethyl ether, propylene glycol monomethyl ether; Ethyl Lactate or Methyl Lactate, Methyl Propionate, Ethyl Propionate and Ethyl Ethoxypropionate, Methyl Ethyl Ketone, Cyclohexanone, 2-Heptanone, Carbon Dioxide, Cyclopentanone, Cyclohexanone, Ethyl 3-Ethoxypropionate, Ethyl Lactate, Propylene Glycol Methyl Ether Acetate (PGMEA), Methylene Cellosolve, Butyl Acetate and 2-Ethoxyethanol, N-Methylformamide , N,N-dimethylformamide, N-methylformanilide, N-methylacetamide, N,N-dimethylacetamide, N-methylpyrrolidone, dimethyl sulfoxide, benzyl ethyl ether, dihexyl ether, acetonylacetone, isophorone, caproic acid, caprylic acid, 1-octanol, 1-nonanol, benzyl alcohol, benzyl acetate , ethyl benzoate, diethyl oxalate, diethyl maleate, γ-butyrolactone, ethylene carbonate, propylene carbonate, phenyl cellosolve acetate or the like.

Wie ein Fachmann jedoch einsehen wird, dienen die Materialien, die aufgeführt und oben als Beispiele für Materialien beschrieben sind, welche für den Lösungsmittelbestandteil der BARC-Schicht 105 eingesetzt werden können, lediglich der Veranschaulichung und sind nicht zur Einschränkung der Ausführungsformen gedacht. Vielmehr kann alternativ ein beliebiges geeignetes Material, welches das Polymerharz, den Katalysator und die aufschwimmende vernetzende Schicht lösen kann, eingesetzt werden, um zum Mischen und Auftragen der BARC-Schicht 105 beizutragen. Es ist vollauf beabsichtigt, dass alle derartigen Materialien im Umfang der Ausführungsformen enthalten sind.However, as one skilled in the art will appreciate, the materials listed and described above as examples of materials that may be employed for the solvent component of the BARC layer 105 are for purposes of illustration only and are not intended to limit the embodiments. Rather, any suitable material capable of dissolving the polymeric resin, catalyst, and floating crosslinking layer may alternatively be employed to aid in the mixing and application of the BARC layer 105 . All such materials are fully intended to be included within the scope of the embodiments.

Zusätzlich können, wenn gewünscht, auch andere Bestandteile dem Material der BARC-Schicht 105 hinzugefügt werden. Zum Beispiel können alternativ in einer Ausführungsform die monomeren Farbstoffe, oberflächennivellierenden Mittel, adhäsionsfördernden Mittel, schaumhemmenden Mittel und dergleichen eingesetzt werden. Dem Material für die BARC-Schicht 105 kann ein beliebiger geeigneter Zusatzstoff hinzugefügt werden, und es ist vollauf beabsichtigt, dass alle derartigen Zusatzstoffe im Umfang der Ausführungsformen enthalten sind.In addition, other ingredients may also be added to the BARC layer 105 material if desired. For example, in one embodiment, the monomeric dyes, surface-leveling agents, adhesion-promoting agents, anti-foaming agents, and the like may alternatively be employed. Any suitable additive may be added to the material for the BARC layer 105, and all such additives are fully intended to be included within the scope of the embodiments.

In einer Ausführungsform werden das Polymerharz, die Katalysatoren und das aufschwimmende Vernetzungsagens zusammen mit beliebigen gewünschten Zusatzstoffen oder anderen Agenzien zum BARC-Lösungsmittel hinzugefügt, um das Material der BARC-Schicht 105 auszubilden. Nach dem Hinzufügen wird die Mischung dann gemischt, um eine gleichmäßige und konstante Zusammensetzung über das Material für die BARC-Schicht 105 hinweg zu erreichen, um zu gewährleisten, dass es keine Defekte gibt, die durch ein ungleichmäßiges Mischen oder eine nicht konstante Zusammensetzung des Materials für die BARC-Schicht 105 verursacht werden. Sobald es zusammengemischt ist, kann das Material für die BARC-Schicht 105 entweder vor seiner Verwendung gelagert oder sofort verwendet werden.In one embodiment, the polymer resin, catalysts, and floating crosslinking agent are added to the BARC solvent along with any desired additives or other agents to form the BARC layer 105 material. Once added, the mixture is then mixed to achieve an even and constant composition throughout the material for the BARC layer 105 to ensure that there are no defects caused by uneven mixing or non-constant composition of the material for the BARC layer 105 are caused. Once mixed together, the BARC layer 105 material can either be stored prior to use or used immediately.

In seiner ursprünglichen gemischten Form kann das Material für die BARC-Schicht 105 eine konstante Komponentenzusammensetzung aufweisen, wobei das Polymerharz eine Konzentration zwischen circa 0,1% und circa 60%, der Katalysator eine Konzentration zwischen circa 0,01% und circa 10% und das aufschwimmende Vernetzungsagens eine Konzentration zwischen circa 0,01% und circa 30% aufweist. Obwohl diese Konzentrationen zur Veranschaulichung dienen, können j edoch beliebige geeignete Kombinationen der verschiedenen Bestandteile des Materials für die BARC-Schicht 105 verwendet werden, und es ist vollauf beabsichtigt, dass alle derartigen Kombinationen im Umfang der Ausführungsformen enthalten sind.In its original mixed form, the material for the BARC layer 105 can have a constant component composition, with the polymer resin having a concentration between about 0.1% and about 60%, the catalyst having a concentration between about 0.01% and about 10%, and the buoyant crosslinking agent has a concentration of between about 0.01% and about 30%. However, although these concentrations are illustrative, any suitable combination of the various constituents of the material for the BARC layer 105 may be used, and all such combinations are fully intended to be included within the scope of the embodiments.

Sobald das Material für die BARC-Schicht 105 präpariert worden ist, kann das Material für die BARC-Schicht 105 eingesetzt werden, indem das Material für die BARC-Schicht 105 auf das Substrat 101 und die Finnen 103 aufgetragen wird. Das Material für die BARC-Schicht 105 kann so auf das Substrat 101 und die Finnen 103 aufgetragen werden, dass das Material für die BARC-Schicht 105 eine obere freiliegende Fläche des Substrats 101 und der Finnen 103 abdeckt, und es kann unter Verwendung eines Prozesses, wie z.B. eines Rotationsbeschichtungsprozesses, Tauchbeschichtungsverfahrens, Luftmesserbeschichtungsverfahrens, Vorhangbeschichtungsverfahrens, Drahtbarrenbeschichtungsverfahrens, Gravurbeschichtungsverfahrens, Laminierungsverfahrens, Extrusionsbeschichtungsverfahrens, von Kombinationen derselben oder dergleichen, ausgeführt werden. In einer Ausführungsform kann das Material für die BARC-Schicht 105 zunächst derart aufgetragen werden, dass es eine konstante Konzentration und eine Dicke über einer Oberseite der Finnen 103 zwischen circa 10 nm und circa 1000 nm, wie z.B. circa 100 nm, aufweist.Once the BARC layer 105 material has been prepared, the BARC layer 105 material can be deployed by applying the BARC layer 105 material to the substrate 101 and the fins 103 . The BARC layer 105 material may be applied to the substrate 101 and the fins 103 such that the BARC layer 105 material covers an upper exposed surface of the substrate 101 and the fins 103, and may be applied using a process such as a spin coating process, dip coating process, air knife coating process, curtain coating process, wire bar coating process, gravure coating process, lamination process, extrusion coating process, combinations thereof, or the like. In one embodiment, the material for the BARC layer 105 may first be deposited such that it has a constant concentration and a thickness across a top of the fins 103 between about 10 nm and about 1000 nm, such as about 100 nm.

2 zeigt den aufschwimmenden Vernetzer, der einen Aufschwimmbereich 201 längs einer oberen Fläche der BARC-Schicht 105 ausbildet. In einer Ausführungsform bewegt sich der aufschwimmende Vernetzer zur Oberseite der BARC-Schicht 105 hin, wenn die BARC-Schicht 105 z.B. im Aufschleuderungsprozess aufgetragen wird. Diese Bewegung wird eingeleitet, weil das Hinzufügen von Fluoratomen dazu führt, dass der aufschwimmende Vernetzer eine hohe Oberflächenenergie aufweist. Zusammen mit der schwachen Wechselwirkung zwischen den Fluoratome und den anderen Atomen in der BARC-Schicht 105 löst diese hohe Oberflächenenergie die Bewegung des aufschwimmenden Vernetzers zur oberen Fläche der BARC-Schicht 105 hin aus. 2 12 shows the floating crosslinker forming a floating region 201 along a top surface of the BARC layer 105. FIG. In one embodiment, the floating crosslinker moves toward the top of the BARC layer 105 when the BARC layer 105 is applied, for example, in the spin-on process. This movement is initiated because the addition of fluorine atoms causes the floating crosslinker to have a high surface energy. This high surface energy, together with the weak interaction between the fluorine atoms and the other atoms in the BARC layer 105, triggers the movement of the floating crosslinker toward the top surface of the BARC layer 105.

In einer Ausführungsform mit der Ausbildung des Aufschwimmbereichs 201 weist der Aufschwimmbereich 201 eine höhere Konzentration des aufschwimmenden Vernetzers auf als ein Rest der BARC-Schicht 105, wobei er z.B. eine Konzentration zwischen circa 0,01% und circa 10%, so z.B. circa 2%, aufweist, während der Rest der BARC-Schicht 105 (außerhalb des Aufschwimmbereichs 201) eine Konzentration des aufschwimmenden Vernetzers aufweisen wird, die nicht größer als circa 5% ist. Außerdem weist der Aufschwimmbereich 201 eine Dicke T1 von weniger als circa 50% der Gesamtdicke der BARC-Schicht 105 auf, so z.B. zwischen circa 1 nm und circa 100 nm, so z.B. circa 10 nm. Diese Abmessungen und Konzentrationen können jedoch variieren und sind nur zur Veranschaulichung gedacht, und es können beliebige Vorteile aus geeigneten Konzentrationen abgeleitet werden, die sich von den hier aufgeführten unterscheiden.In an embodiment with the formation of the floating region 201, the floating region 201 has a higher concentration of the floating crosslinker than a remainder of the BARC layer 105, eg having a concentration between about 0.01% and about 10%, such as about 2%. , while the remainder of the BARC layer 105 (outside the floating region 201) will have a concentration of the floating crosslinker no greater than about 5%. Additionally, the floating region 201 has a thickness T 1 less than about 50% of the total thickness of the BARC layer 105, such as between about 1 nm and about 100 nm, such as about 10 nm However, figures may vary and are for illustration only, and any benefits can be derived from suitable concentrations other than those listed herein.

3 zeigt ein Vorheizen der BARC-Schicht 105 (in 3 dargestellt durch die Wellenlinien, die mit 301 bezeichnet sind), das sowohl das Ausheizen selbst als auch seine sich ergebenden Auswirkungen einschließt. In einer Ausführungsform wird, sobald die die BARC-Schicht 105 auf dem Substrat 101 und den Finnen 103 aufgetragen ist, das Vorheizen 301 der BARC-Schicht 105 ausgeführt, um die BARC-Schicht 105 vor einem Auftragen des Fotoresists 401 auszuhärten und zu trocknen. Das Aushärten und Trocknen der BARC-Schicht 105 beseitigt einen Teil der BARC-Lösungsmittelbestandteile, lässt aber das Polymerharz, die Katalysatoren, das Vernetzungsagens und andere Zusatzstoffe zurück. In einer Ausführungsform kann das Vorheizen 301 bei einer Temperatur ausgeführt werden, die geeignet ist, das BARC-Lösungsmittel zu verdampfen, wie z.B. zwischen circa 40 °C und 400 °C (wie z.B. zwischen circa 100 °C und 150 °C), obwohl die genaue Temperatur von den Materialien abhängt, die für die BARC-Schicht 105 ausgewählt wurden. Das Vorheizen wird für eine Zeit ausgeführt, die ausreichend ist, die BARC-Schicht 105 auszuhärten und zu trocknen, wie z.B. zwischen circa 10 Sekunden bis zu circa 5 Minuten, wie z.B. circa 90 Sekunden. Außerdem bewirkt das Vorheizen, dass das aufschwimmende Vernetzungsagens mit dem Polymerharz reagiert und das Verbinden und Vernetzen der einzelnen Polymere des Polymerharzes in größere Molekülpolymere beginnt. 3 shows a preheating of the BARC layer 105 (in 3 represented by the wavy lines labeled 301) which includes both the anneal itself and its consequent effects. In one embodiment, once the BARC layer 105 is deposited on the substrate 101 and the fins 103 , the preheating 301 of the BARC layer 105 is performed to cure and dry the BARC layer 105 prior to application of the photoresist 401 . The curing and drying of the BARC layer 105 removes some of the BARC solvent components but leaves behind the polymer resin, catalysts, crosslinking agent, and other additives. In one embodiment, the preheating 301 may be performed at a temperature suitable to vaporize the BARC solvent, such as between about 40°C and 400°C (such as between about 100°C and 150°C), although the exact temperature depends on the materials chosen for the BARC layer 105. The preheating is performed for a time sufficient to cure and dry the BARC layer 105, such as from about 10 seconds to about 5 minutes, such as about 90 seconds. In addition, the preheating causes the floating crosslinking agent to react with the polymeric resin and begin bonding and crosslinking of the individual polymers of the polymeric resin into larger molecular polymers.

Wie jedoch für einen Fachmann ersichtlich sein wird, ist der oben beschriebene Aushärtungsvorgang (in dem ein thermisches Ausheizen ausgeführt wird, um die BARC-Schicht 105 auszuhärten) lediglich ein veranschaulichender Vorgang, der verwendet werden kann, um die BARC-Schicht 105 auszuhärten und die Vernetzungsreaktionen einzuleiten, und er ist nicht dazu gedacht, die Ausführungsformen zu beschränken. Vielmehr kann alternativ ein beliebiger geeigneter Aushärtungsvorgang eingesetzt werden, so z.B. ein Exponieren der BARC-Schicht 105 in einer Energiequelle (z.B. fotolithographische Exposition mit einer Wellenlänge zwischen circa 10 nm bis circa 1000 nm), ein Bestrahlen der BARC-Schicht 105, um die BARC-Schicht 105 auszuhärten, oder sogar ein elektrisches Aushärten der BARC-Schicht 105 oder dergleichen. Es ist vollauf beabsichtigt, dass alle derartigen Aushärtungsvorgänge im Umfang der Ausführungsformen enthalten sind.However, as will be apparent to one skilled in the art, the curing process described above (in which a thermal anneal is performed to cure the BARC layer 105) is merely an illustrative process that can be used to cure the BARC layer 105 and the to initiate crosslinking reactions and is not intended to limit the embodiments. Rather, any suitable curing process may alternatively be employed, such as exposing the BARC layer 105 to an energy source (e.g., photolithographic exposure at a wavelength between about 10 nm to about 1000 nm), irradiating the BARC layer 105 to cure the BARC -cure layer 105, or even electro-cure the BARC layer 105, or the like. All such curing operations are fully intended to be included within the scope of the embodiments.

Wenn alle Bestandteile des Materials der BARC-Schicht 105 eine konstante Konzentration über die BARC-Schicht 105 hinweg aufweisen, dann kann während des Vorheizens 301, bei dem das Lösungsmittel verdampft und die Vernetzung stattfindet, eine Reihe von Problemen beim Auffüllen der Lücke zwischen den Finnen 103 auftreten. Insbesondere können wegen des Verdampfens des Lösungsmittels an der Oberfläche der BARC-Schicht 105 die Konzentrationen der verbleibenden Bestandteile zunehmen, was die Vernetzungsreaktionen dazu bringt, schneller abzulaufen als in dem Rest der BARC-Schicht 105, wie z.B. zwischen den Finnen 103. Von daher können wegen dieser uneinheitlichen Reaktion zwischen der Oberseite der BARC-Schicht 105 und dem Rest der BARC-Schicht 105 Hohlräume innerhalb der BARC-Schicht 105 auftreten.If all components of the BARC layer 105 material have a constant concentration throughout the BARC layer 105, then during preheat 301, where the solvent evaporates and crosslinking occurs, a number of problems can occur in filling the gap between the fins 103 occur. In particular, because of the evaporation of the solvent at the surface of the BARC layer 105, the concentrations of the remaining components can increase, which causes the crosslinking reactions to proceed faster than in the rest of the BARC layer 105, such as between the fins 103 voids within BARC layer 105 occur because of this non-uniform reaction between the top of BARC layer 105 and the rest of BARC layer 105 .

Außerdem kann die Vernetzungsreaktion selbst das Ausbilden von Hohlräumen verursachen. Insbesondere wird die Vernetzungsreaktion eine Anzahl von Reaktionsnebenprodukten erzeugen, während sich die Polymere des Polymerharzes aneinander binden. Diese Reaktionsnebenprodukte können während des Vorheizens 301 verdampfen und ausgasen, was die Herausbildung von Hohlräumen zwischen den vernetzten Polymeren über die BARC-Schicht 105 hinweg bewirkt.In addition, the crosslinking reaction itself can cause void formation. In particular, the crosslinking reaction will produce a number of reaction by-products as the polymers of the polymeric resin bond to one another. These reaction byproducts can vaporize and outgas during preheat 301 , causing voids to form between the crosslinked polymers across BARC layer 105 .

Die Vernetzung der Polymere verursacht, sobald sie voll ausgeprägt ist, auch das Auftreten eines Schwunds. Insbesondere wird die Vernetzungsdichte der BARC-Schicht 105 ansteigen, während sich die Polymere miteinander vernetzen, was ein geringeres Gesamtvolumen der BARC-Schicht 105 zur Folge hat. Dieses geringere Volumen wird entlang der Flächen, auf welche die BARC-Schicht 105 geschichtet ist (d.h. das Substrat 101 und die Finnen 103), Spannungen erzeugen. Diese Spannungen können die BARC-Schicht 105 von den Flächenstrukturen wegreißen und verursachen, dass sich angrenzend an die Flächen, wie z.B. die Finnen 103, Hohlräume bilden.The crosslinking of the polymers, once fully developed, also causes shrinkage to occur. In particular, the crosslink density of the BARC layer 105 will increase as the polymers crosslink with each other, resulting in a lower overall BARC layer 105 volume. This reduced volume will create stresses along the surfaces on which the BARC layer 105 is coated (i.e., the substrate 101 and the fins 103). These stresses can tear the BARC layer 105 away from the surface structures and cause voids to form adjacent to the surfaces such as the fins 103 .

Außerdem wird die Vernetzungsreaktion auch die Polymerharze verändern, die stärker hydrophob werden. Diese Veränderung wird auch die Adhäsion zwischen der BARC-Schicht 105 und dem Substrat 101 verringern. Eine derartige Abnahme der Adhäsion kann, wenn die Abnahme groß genug ist, zur Folge haben, dass ein Schichtablösen und Abblättern zwischen der BARC-Schicht 105 und dem Substrat 101 auftritt, was die Leistungsfähigkeit der BARC-Schicht 105 während der weiteren Verarbeitung nachteilig beeinflussen kann.In addition, the crosslinking reaction will also change the polymer resins, which will become more hydrophobic. This change will also decrease the adhesion between the BARC layer 105 and the substrate 101. Such a decrease in adhesion, if the decrease is large enough, can result in delamination and delamination occurring between the BARC layer 105 and the substrate 101, which can adversely affect the performance of the BARC layer 105 during further processing .

Obwohl all das obige eintritt, um in der BARC-Schicht 105 Hohlräume und ein Ablösen auszubilden, wird schließlich die Kombination aus der Vernetzungsreaktion und dem Beseitigen des Lösungsmittels auch dazu führen, die Materialien in der BARC-Schicht 105 auszuhärten und zu verfestigen. Dieses Aushärten verhindert, dass die Materialien in die Hohlräume oder Ablösestellen hineinfließen, was die Materialien der BARC-Schicht 105 daran hindert, die Hohlräume und Ablösestellen auszubessern.Although all of the above occurs to form voids in the BARC layer 105 and delamination, eventually the combination of the crosslinking reaction and the elimination of the solvent will also cause the materials in the BARC layer 105 to cure and solidify. This curing prevents the materials from flowing into the voids or delaminations, which prevents the BARC layer 105 materials from patching the voids and delaminations.

Mit der Einbeziehung des aufschwimmenden Vernetzungsagens und der Ausbildung des Aufschwimmbereichs 201 wird das aufschwimmende Vernetzungsagens jedoch entlang der oberen Fläche der BARC-Schicht 105 lokalisiert. Von daher wird die Vernetzungsreaktion in erster Linie im Aufschwimmbereich 201 stattfinden, wobei der Rest der BARC-Schicht 105, der nicht im Aufschwimmbereich 201 liegt, weniger Vernetzungsreaktionen und somit weniger sich vernetzende Polymere aufweist.However, with the inclusion of the buoyant crosslinking agent and the formation of the buoyant region 201, the buoyant crosslinking agent becomes localized along the top surface of the BARC layer 105. Therefore, the crosslinking reaction will primarily take place in the floating area 201, with the rest of the BARC layer 105, which is not in the floating area 201, having fewer crosslinking reactions and thus fewer crosslinking polymers.

Bei diesen Gegebenheiten wird die Vernetzungsreaktion in erster Linie entlang der oberen Fläche der BARC-Schicht 105 auftreten, wodurch der gewünschte Schutz gegen den Fotoresist 401, der nachfolgend aufgetragen wird, sowie die gewünschten Antireflexeigenschaften bereitgestellt werden. Jedoch wird die Vernetzungsreaktion an anderer Stelle in der BARC-Schicht 105 gedrosselt, was zu einer Abschwächung aller Nachfolgeprobleme führt, die durch die übermäßige Vernetzung verursacht werden. Insbesondere tritt kein erhebliches Filmschrumpfen außerhalb des Aufschwimmbereichs 201 auf, und es gibt keine wesentlichen Nebenprodukte der Vernetzungsreaktion zum Ausgasen außerhalb des Aufschwimmbereichs 201, wodurch die Ausbildung von Hohlräumen vermieden wird. Außerdem bleiben durch Vermeidung der Vernetzungsreaktionen entlang der Grenzfläche der BARC-Schicht 105 und des Substrats 101 die hydrophilen Eigenschaften der BARC-Schicht 105 unverändert, wodurch die Adhäsion die gleiche bleibt und Adhäsionsprobleme zwischen der BARC-Schicht 105 und dem Substrat 101 vermieden oder vermindert werden. Da der Rest der BARC-Schicht 105 weniger vernetzte Polymere aufweist, kann die BARC-Schicht 105 schließlich noch in der Lage sein, während des Ablaufs der Vernetzungsreaktionen zu fließen, wodurch ein paar Hohlräume, die sich in einer frühen Stufe der Vernetzungsreaktion vor dem Abschluss des Vorheizens 301 gebildet haben können, aufgefüllt werden.In these circumstances, the crosslinking reaction will occur primarily along the top surface of the BARC layer 105, thereby providing the desired protection against the photoresist 401 that is subsequently applied, as well as the desired anti-reflective properties. However, elsewhere in the BARC layer 105, the crosslinking response is throttled, resulting in an alleviation of any subsequent problems caused by the overcrosslinking. In particular, there is no significant film shrinkage outside of the flotation region 201 and there are no significant by-products of the crosslinking reaction for outgassing outside of the flotation region 201, thereby avoiding void formation. In addition, by avoiding the crosslinking reactions along the interface of the BARC layer 105 and the substrate 101, the hydrophilic properties of the BARC layer 105 remain unchanged, whereby the adhesion remains the same and adhesion problems between the BARC layer 105 and the substrate 101 are avoided or reduced . Finally, because the remainder of the BARC layer 105 has fewer crosslinked polymers, the BARC layer 105 may still be able to flow during the course of the crosslinking reactions, creating a few voids that form at an early stage of the crosslinking reaction before completion of preheating 301 may have formed.

Die Verwendung eines aufschwimmenden Vernetzungsagens ist jedoch nicht das einzige Verfahren oder Material, das verwendet werden kann, um den Aufschwimmbereich 201 auszubilden. Vielmehr kann ein beliebiges geeignetes Material, das in die Vernetzungsreaktion einbezogen ist und das veranlasst werden kann, zur oberen Fläche der BARC-Schicht 105 hin aufzuschwimmen und den Aufschwimmbereich 201 zu bilden, alternativ verwendet werden.The use of a floating crosslinking agent is not the only method or material that can be used to form the floating region 201, however. Rather, any suitable material that is involved in the crosslinking reaction and that can be caused to float toward the top surface of the BARC layer 105 and form the floating region 201 may alternatively be used.

Zum Beispiel kann in einem alternativen Beispiel anstelle der Verwendung eines aufschwimmenden Vernetzungsagens ein aufschwimmendes Polymerharz eingesetzt werden. In diesem Beispiel kann das aufschwimmende Polymerharz ein Polymerharz aufweisen, wie es oben mit Bezugnahme auf 1 beschrieben wurde, in dem aber ein Fluoratom in die Struktur substituiert wurde. Zum Beispiel kann in einem Beispiel, in der das aufschwimmende Polymerharz eine Alkylgruppe aufweist, das Fluoratom für ein Wasserstoffatom in einer oder mehreren der Alkylgruppen des Polymers substituiert werden.For example, in an alternative example, instead of using a buoyant crosslinking agent, a buoyant polymer resin can be employed. In this example, the floating polymeric resin may comprise a polymeric resin as described above with reference to FIG 1 was reported, but in which a fluorine atom was substituted into the structure. For example, in an example where the floating polymer resin has an alkyl group, the fluorine atom can be substituted for a hydrogen atom in one or more of the polymer's alkyl groups.

In einem weiteren Beispiel kann das Fluoratom Teil einer Fluoralkylgruppe sein, die in das Polymer des Polymerharzes substituiert ist. Als ein spezielles Beispiel kann das Fluoratom in eine der Fluoralkylgruppen, wie z.B. die oben mit Bezugnahme auf das aufschwimmende Vernetzungsagens erörterten Fluoralkylgruppen (z.B. CF3, C2F5, C3F7 usw.), eingebaut sein. In einem Beispiel, in der das Polymerharz eine Alkylgruppe aufweist, kann die Fluoralkylgruppe in das Polymerharz substituiert werden, um das aufschwimmende Polymerharz auszubilden, indem eine der Alkylgruppen durch die Fluoralkylgruppe ersetzt wird, um das aufschwimmende Polymerharz zu bilden.In another example, the fluorine atom can be part of a fluoroalkyl group substituted into the polymer of the polymeric resin. As a specific example, the fluorine atom can be incorporated into one of the fluoroalkyl groups, such as the fluoroalkyl groups discussed above with reference to the floating crosslinking agent (eg, CF 3 , C 2 F 5 , C 3 F 7 , etc.). In an example where the polymeric resin has an alkyl group, the fluoroalkyl group can be substituted into the polymeric resin to form the buoyant polymeric resin by replacing one of the alkyl groups with the fluoroalkyl group to form the buoyant polymeric resin.

Anstelle des aufschwimmenden Vernetzungsagens, das oben mit Bezugnahme auf 1 beschrieben wurde, kann in diesem Beispiel das Vernetzungsagens ähnlich zu dem Vernetzungsagens sein, das oben für das aufschwimmende Vernetzungsagens (ohne das Hinzufügen des Fluoratoms) beschrieben wurde. Alternativ kann das Vernetzungsagens ein Agens auf Melaminbasis, ein Agens auf Harnstoffbasis, ein Agens auf Basis von Ethylenharnstoff, ein Agens auf Basis von Propylenharnstoff, ein Agens auf Basis von Glycoluril, ein aliphatischer zyklischer Kohlenwasserstoff, der eine Hydroxylgruppe, eine Hydroxyalkylgruppe oder eine Kombination derselben aufweist, Sauerstoff enthaltende Derivate des aliphatischen zyklischen Kohlenwasserstoffs, Glycolurilverbindungen, verätherte Aminoharze, Kombinationen derselben oder dergleichen sein.Instead of the floating crosslinking agent described above with reference to 1 in this example, the crosslinking agent can be similar to the crosslinking agent described above for the floating crosslinking agent (without the addition of the fluorine atom). Alternatively, the crosslinking agent may be a melamine-based agent, a urea-based agent, an ethylene urea-based agent, a propylene urea-based agent, a glycoluril-based agent, an aliphatic cyclic hydrocarbon containing a hydroxyl group, a hydroxyalkyl group, or a combination thereof comprises, oxygen-containing aliphatic cyclic hydrocarbon derivatives, glycoluril compounds, etherified amino resins, combinations thereof, or the like.

Spezifische Beispiele für Materialien, die als Vernetzungsagens verwendet werden können, schließen Melamin, Acetoguanamin, Benzoguanamin, Harnstoff, Ethylenharnstoff oder Glycoluril mit Formaldehyd, Glycoluril mit einer Kombination aus Formaldehyd und einem niederen Alkohol, Hexamethoxymethylmelamin, Bismethoxymethylharnstoff, Bismethoxymethylbismethoxyethylenharnstoff, Tetramethoxymethylglycoluril und Tetrabutoxymethyglycoluril, mono-, di-, tri- oder tetrahydroxymethyliertes Glycoluril, mono-, di-, tri- und/oder tetramethoxyethyliertes Glycoluril, mono-, di-, tri- und/oder tetraethoxymethyliertes Glycoluril, mono-, di-, tri- und/oder tetrapropoxymethyliertes Glycoluril und mono-, di-, tri- und/oder tetrabutoxymethyliertes Glycoluril, 2,3-Dihydroxy-5-hydroxymethylnorbornan, 2-Hydroxy-5,6-bis(hydroxymethyl)norbornan, Cyclohexandimethanol, 3,4,8(oder 9)-Trihydroxytricyclodecan, 2-Methyl-2-adamantanol, 1,4-Dioxan-2,3-diol und 1,3,5-Trihydroxycyclohexan, Tetramethoxymethylglycoluril, Methylpropyltetramethoxymethylglycoluril und Methylphenyltetramethoxymethylglycoluril, 2,6-Bis(hydroxymethyl)p-kresol, N-Methoxymethyl- oder N-Butoxymethylmelamin ein. Außerdem Verbindungen, die erhalten wurden durch Reaktion von Formaldehyd oder Formaldehyd und niederen Alkoholen mit Aminogruppen enthaltenden Verbindungen, wie z.B. Melamin, Acetoguanamin, Benzoguanamin, Harnstoff, Ethylenharnstoff und Glycoluril, und Substituieren der Wasserstoffatome der Aminogruppe mit der Hydroxymethylgruppe oder der niederen Alkoxymethylgruppe, wobei Hexamethoxymethylmelamin, Bismethoxymethylharnstoff, Bismethoxymethylbismethoxyethylenharnstoff, Tetramethoxymethylglycoluril und Tetrabutoxymethyglycoluril, Copolymere von 3-Chlor-2-hydroxypropylmethacrylat und Methacrylsäure, Copolymere von 3-Chlor-2-hydroxypropylmethacrylat und Cyclohexylmethacrylat und Methacrylsäure, Copolymere von 3-Chlor-2-hydroxypropylmethacrylat und Benzylmethacrylat und Methacrylsäure, Bisphenol A-di(3-chlor-2-hydroxypropyl)ether, Poly(3-chlor-2-hydroxypropyl)ether eines Phenol-Novolak-Harzes, Pentaerythritol Tetra(3-chlor-2-hydroxypropyl)ether, Trimethylolmethan Tri(3-chlor-2-hydroxypropyl)etherphenol, Bisphenol A-di(3-acetoxy-2-hydroxypropyl)ether, Poly(3-acetoxy-2-hydroxypropyl)ether eines Phenol-Novolak-Harzes, Pentaerythritol Tetra(3-acetoxy-2-hydroxypropyl)ether, Pentaerythritol Poly(3-chloracetoxy-2-hydroxypropyl)ether, Trimethylolmethan Tri(3-acetoxy-2-hydroxypropyl)ether, Kombinationen derselben oder dergleichen Beispiele sind.Specific examples of materials that can be used as the crosslinking agent include melamine, acetoguanamine, benzoguanamine, urea, ethylene urea or glycoluril with formaldehyde, glycoluril with a combination of formaldehyde and a lower alcohol, hexamethoxymethylmelamine, bismethoxymethyl urea, bismethoxymethylbismethoxyethylene urea, tetramethoxymethyl glycoluril and tetrabutoxymethyl glycoluril, mono- , di-, tri- or tetrahydroxymethylated glycoluril, mono-, di-, tri- and/or tetramethoxyethylated glycoluril, mono-, di-, tri- and/or tetraethoxymethylated glycoluril, mono-, di-, tri- and/or tetrapropoxymethylated Glycoluril and mono-, di-, tri- and/or tetrabutoxymethylated glycoluril, 2,3-dihydroxy-5-hydroxymethylnorbornane, 2-hydroxy-5,6-bis(hydroxymethyl)norbornane, cyclohexanedimethanol, 3,4,8(or 9 )-trihydroxytricyclodecane, 2-methyl-2-adamantanol, 1,4-dioxane-2,3-diol and 1,3,5-trihydroxycyclohexane, tetramethoxymethylglycoluril, methylpropyltetramethoxymethylglycoluril and methylphenyltetramethoxymethylglycoluril, 2,6-bis(hydroxymethyl)p-cresol, N-methoxymethyl or N-butoxymethyl melamine. Also, compounds obtained by reacting formaldehyde or formaldehyde and lower alcohols with amino group-containing compounds such as melamine, acetoguanamine, benzoguanamine, urea, ethylene urea and glycoluril, and substituting the hydrogen atoms of the amino group with the hydroxymethyl group or the lower alkoxymethyl group, whereby hexamethoxymethylmelamine , bismethoxymethyl urea, bismethoxymethyl bismethoxyethylene urea, tetramethoxymethyl glycoluril and tetrabutoxymethyl glycoluril, copolymers of 3-chloro-2-hydroxypropyl methacrylate and methacrylic acid, copolymers of 3-chloro-2-hydroxypropyl methacrylate and cyclohexyl methacrylate and methacrylic acid, copolymers of 3-chloro-2-hydroxypropyl methacrylate and benzyl methacrylate and methacrylic acid, bisphenol A-di(3-chloro-2-hydroxypropyl) ether, Poly(3-chloro-2-hydroxypropyl) ether of a phenol novolak resin, pentaerythritol tetra(3-chloro-2-hydroxypropyl) ether, trimethylolmethane tri(3- chloro-2-hydroxypropyl) ether phenol, bisphenol A di(3-acetoxy-2-hydroxypropyl) ether, poly(3-acetoxy-2-hydroxypropyl) ether of a phenol novolak resin, pentaerythritol tetra(3-acetoxy-2- hydroxypropyl)ether, pentaerythritol poly(3-chloroacetoxy-2-hydroxypropyl)ether, trimethylolmethane tri( 3- acetoxy- 2 -hydroxypropyl)ether, combinations thereof or the like are examples.

In diesem Beispiel, in der das aufschwimmende Polymerharz anstelle des aufschwimmenden Vernetzungsagens eingesetzt wird, kann das aufschwimmende Polymerharz eine Anfangskonzentration in dem Material für die BARC-Schicht 105 zwischen circa 0,1% und circa 60% aufweisen, während das aufschwimmende Vernetzungsagens eine Anfangskonzentration zwischen circa 0,01% und circa 30% aufweisen kann. Das Material der BARC-Schicht 105 kann verteilt werden, wie oben mit Bezugnahme auf 1 beschrieben wurde (z.B. ein Aufschleuderungsprozess), sodass die BARC-Schicht 105 anfänglich eine konstante Konzentration aufweist, wenn es verteilt ist.In this example where the buoyant polymeric resin is used in place of the buoyant crosslinking agent, the buoyant polymeric resin can have an initial concentration in the material for the BARC layer 105 between about 0.1% and about 60%, while the buoyant crosslinking agent has an initial concentration between about 0.01% and about 30%. The BARC layer 105 material can be distributed as described above with reference to FIG 1 (eg, a spin-on process) such that the BARC layer 105 initially has a constant concentration as it is distributed.

Ähnlich zu der oben mit Bezug auf 2 beschriebenen Beispiel wird das aufschwimmende Polymerharz mit der Hinzufügung des Fluoratoms jedoch, sobald es verteilt ist, zur Oberseite der BARC-Schicht 105 hin aufsteigen, wobei sich der Aufschwimmbereich 201 (siehe 2) während des Abgabevorgangs ausbildet. Mit dem Aufschwimmbereich 201 an der Oberseite der BARC-Schicht 105 leitet der Vorheizprozess die Vernetzungsreaktion vorwiegend im Aufschwimmbereich 201 ein, und beliebige Vernetzungsreaktionen außerhalb des Aufschwimmbereiches 201 werden eingeschränkt. Durch Ausführen der Vernetzungsreaktion angrenzend an die obere Fläche der BARC-Schicht 105 können Defekte, die durch Hohlräume und Schichtablösung erzeugt werden, verringert oder vermieden werden.Similar to the one referred to above 2 However, in the example described, with the addition of the fluorine atom, the floating polymer resin, once dispersed, will rise toward the top of the BARC layer 105, with the floating region 201 (see Fig 2 ) forms during the delivery process. With the floating region 201 at the top of the BARC layer 105, the preheating process initiates the crosslinking reaction predominantly in the floating region 201 and any crosslinking reactions outside of the floating region 201 are restricted. By performing the crosslinking reaction adjacent to the top surface of the BARC layer 105, defects created by voids and delamination can be reduced or avoided.

In noch einer weiteren Ausführungsform kann der Aufschwimmbereich 201 statt durch Verwendung des aufschwimmenden Vernetzungsagens oder des aufschwimmenden Polymers durch Verwendung eines aufschwimmenden Katalysators gebildet werden. In dieser Ausführungsform kann der aufschwimmende Katalysator einen Trifluor-Katalysator umfassen, wie oben mit Bezugnahme auf 1 beschrieben wurde, in dem aber ein Fluoratom in die Struktur substituiert wurde. Zum Beispiel kann in einer Ausführungsform, in welcher der aufschwimmende Katalysator eine Alkylgruppe aufweist, das Fluoratom für ein Wasserstoffatom in einer oder mehreren der Alkylgruppen des Katalysators substituiert werden.In yet another embodiment, the buoyant region 201 may be formed by using a buoyant catalyst instead of using the buoyant crosslinking agent or the buoyant polymer. In this embodiment, the floating catalyst may comprise a trifluoro catalyst, as referred to above with reference to FIG 1 was reported, but in which a fluorine atom was substituted into the structure. For example, in an embodiment in which the levitating catalyst has an alkyl group, the fluorine atom can be substituted for a hydrogen atom in one or more of the catalyst's alkyl groups.

In einer weiteren Ausführungsform kann das Fluoratom Teil einer Fluoralkylgruppe sein, die in den Katalysator substituiert ist. Als ein spezielles Beispiel kann das Fluoratom in eine der Fluoralkylgruppe, wie z.B. die oben mit Bezugnahme auf das aufschwimmende Vernetzungsagens erörterten Fluoralkylgruppen (z.B. CF3, C2F5, C3F7 usw.), eingebaut sein. In einer Ausführungsform, in welcher der Katalysator eine Alkylgruppe aufweist, kann die Fluoralkylgruppe in den Katalysator substituiert werden, um den aufschwimmenden Katalysator auszubilden, indem eine der Alkylgruppen durch die Fluoralkylgruppe ersetzt wird, um den aufschwimmenden Katalysator zu bilden.In another embodiment, the fluorine atom can be part of a fluoroalkyl group substituted into the catalyst. As a specific example, the fluorine atom can be incorporated into one of the fluoroalkyl groups, such as the fluoroalkyl groups discussed above with reference to the floating crosslinking agent (eg, CF 3 , C 2 F 5 , C 3 F 7 , etc.). In an embodiment where the catalyst has an alkyl group, the fluoroalkyl group can be substituted into the catalyst to form the levitating catalyst by replacing one of the alkyl groups with the fluoroalkyl group to form the levitating catalyst.

In spezifischen Ausführungsformen kann das Fluoratom oder können die Fluoralkylgruppen in die Katalysatoren wie folgt substituiert werden:

Figure DE102014114176B4_0027
Figure DE102014114176B4_0028
In specific embodiments, the fluorine atom or fluoroalkyl groups can be substituted into the catalysts as follows:
Figure DE102014114176B4_0027
Figure DE102014114176B4_0028

In dieser Ausführungsform, in welcher der aufschwimmende Katalysator anstelle des aufschwimmenden Vernetzungsagens oder des aufschwimmenden Polymerharzes verwendet wird, kann der aufschwimmende Katalysator eine Ausgangskonzentration im Material der BARC-Schicht 105 zwischen circa 0,01% und circa 10% aufweisen. Das Material für die BARC-Schicht 105 kann verteilt werden, wie oben mit Bezugnahme auf 1 beschrieben wurde (z.B. ein Aufschleuderungsprozess), sodass das Material der BARC-Schicht 105 anfänglich eine konstante Konzentration aufweist, wenn es verteilt ist.In this embodiment, where the buoyant catalyst is used in place of the buoyant crosslinking agent or the buoyant polymer resin, the buoyant catalyst may have an initial concentration in the BARC layer 105 material of between about 0.01% and about 10%. The material for the BARC layer 105 can be distributed as referenced above in FIG 1 (eg, a spin-on process) such that the BARC layer 105 material initially has a constant concentration as it is dispersed.

Ähnlich zu der oben mit Bezug auf 2 beschriebenen Ausführungsform wird das aufschwimmende Polymerharz mit der Hinzufügung des Fluoratoms jedoch, sobald es verteilt ist, zur Oberseite der BARC-Schicht 105 hin aufsteigen, wobei sich der Aufschwimmbereich 201 (siehe 2) während des Abgabevorgangs ausbildet. Mit dem Aufschwimmbereich 201 an der Oberseite der BARC-Schicht 105 leitet der Vorheizprozess die Vernetzungsreaktion nur im Aufschwimmbereich 201 ein, und beliebige Vernetzungsreaktionen außerhalb des Aufschwimmbereiches 201 werden eingeschränkt oder verhindert, wodurch Hohlräume oder Schichtablösungsprobleme verringert oder vermieden werden.Similar to the one referred to above 2 However, in the described embodiment, with the addition of the fluorine atom, the floating polymer resin, once dispersed, will rise toward the top of the BARC layer 105, with the floating region 201 (see Fig 2 ) forms during the delivery process. With the floating region 201 at the top of the BARC layer 105, the preheating process initiates the crosslinking reaction only in the floating region 201 and any crosslinking reactions outside of the floating region 201 are limited or prevented, thereby reducing or avoiding voids or delamination problems.

Die 4A-4B zeigen ein Aufbringen, Exponieren und Entwickeln eines Fotoresists 401 über der BARC-Schicht 105. In einer Ausführungsform weist der Fotoresist 401 ein Fotoresist-Polymerharz zusammen mit einer oder mehreren fotoaktiven Verbindungen (PACs) in einem Fotoresistlösungsmittel auf. In einer Ausführungsform kann das Fotoresist-Polymerharz eine Kohlenwasserstoffstruktur (wie z.B. eine alizyklische Kohlenwasserstoffstruktur) umfassen, die eine oder mehrere Gruppen enthält, die aufspalten (z.B. eine säurelabile Gruppe) oder auf andere Weise reagieren, wenn sie mit Säuren, Basen oder freien Radikalen gemischt werden, die durch die PACs erzeugt werden (wie nachfolgend weiter beschrieben wird). In einer Ausführungsform weist die Kohlenwasserstoffstruktur eine Wiederholungseinheit auf, die ein Skelettgrundgerüst des Fotoresist-Polymerharzes bildet. Diese Wiederholungseinheit kann Acrylester, Methacrylester, Crotonester, Vinylester, Maleinsäurediester, Fumarsäurediester, Itaconsäurediester, (Meth)acrylnitril, (Meth)acrylamide, Styrole, Vinylether, Kombinationen derselben und dergleichen enthalten.The 4A-4B 12 show applying, exposing, and developing a photoresist 401 over the BARC layer 105. In one embodiment, the photoresist 401 comprises a photoresist polymer resin along with one or more photoactive compounds (PACs) in a photoresist solvent. In one embodiment, the photoresist polymer resin may comprise a hydrocarbon structure (such as an alicyclic hydrocarbon structure) containing one or more groups that cleave (eg, an acid labile group) or otherwise react when mixed with acids, bases, or free radicals generated by the PACs (as further described below). In one embodiment, the hydrocarbon structure has a repeating unit that forms a skeletal backbone of the photoresist polymer resin. This repeating unit may contain acrylic esters, methacrylic esters, crotonic esters, vinyl esters, maleic diesters, fumaric diesters, itaconic diesters, (meth)acrylonitrile, (meth)acrylamides, styrenes, vinyl ethers, combinations thereof, and the like.

Spezifische Strukturen, die für die Wiederholungseinheit der Kohlenwasserstoffstruktur eingesetzt werden können, schließen Methylacrylat, Ethylacrylat, n-Propylacrylat, Isopropylacrylat, n-Butylacrylat, Isobutylacrylat, tert-Butylacrylat, n-Hexylacrylat, 2-Ethylhexylacrylat, Acetoxyethylacrylat, Phenylacrylat, 2-Hydroxyethylacrylat, 2-Methoxyethylacrylat, 2-Ethoxyethylacrylat, 2-(2-Methoxyethoxy)ethylacrylat, Cyclohexylacrylat, Benzylacrylat, 2-Alkyl-2-adamantyl(meth)acrylat oder Dialkyl(1-adamantyl)methyl(meth)acrylat, Methylmethacrylat, Ethylmethacrylat, n-Propylmethacrylat, Isopropylmethacrylat, n-Butylmethacrylat, Isobutylmethacrylat, tert-Butylmethacrylat, n-Hexylmethacrylat, 2-Ethylhexylmethacrylat, Acetoxyethylmethacrylat, Phenylmethacrylat, 2-Hydroxyethylmethacrylat, 2-Methoxyethylmethacrylat, 2-Ethoxyethylmethacrylat, 2-(2-Methoxyethoxy)ethylmethacrylat, Cyclohexylmethacrylat, Benzylmethacrylat, 3-Chlor-2-hydroxypropylmethacrylat, 3-Acetoxy-2-hydroxypropylmethacrylat, 3-Chloracetoxy-2-hydroxypropylmethacrylat, Butylcrotonat, Hexylcrotonat und dergleichen ein. Beispiele für die Vinylester schließen Vinylacetat, Vinylpropionat, Vinylbutylat, Vinylmethoxyacetat, Vinylbenzoat, Dimethylmaleat, Diethylmaleat, Dibutylmaleat, Dimethylfumarat, Diethylfumarat, Dibutylfumarat, Dimethylitaconat, Diethylitaconat, Dibutylitaconat, Acrylamid, Methylacrylamid, Ethylacrylamid, Propylacrylamid, n-Butylacrylamid, tert-Butylacrylamid, Cyclohexylacrylamid, 2-Methoxyethylacrylamid, Dimethylacrylamid, Diethylacrylamid, Phenylacrylamid, Benzylacrylamid, Methacrylamid, Methylacrylamid, Methylmethacrylamid, Ethylmethacrylamid, Propylmethacrylamid, n-Butylmethacrylamid, tert-Butylmethacrylamid, Cyclohexylmethacrylamid, 2-Methoxyethylmethacrylamid, Dimethylmethacrylamid, Diethylmethacrylamid, Phenylmethacrylamid, Benzylmethacrylamid, Methylvinylether, Butylvinylether, Hexylvinylether, Methoxyethylvinylether, Dimethylaminoethylvinylether und dergleichen ein. Beispiele für Styrole schließen Styrol, Methylstyrol, Dimethylstyrol, Trimethylstyrol, Ethylstyrol, Isopropylstyrol, Butylstyrol, Methoxystyrol, Butoxystyrol, Acetoxystyrol, Chlorstyrol, Dichlorstyrol, Bromstyrol, Vinylmethylbenzoat, α-Methylstyrol, Maleimid, Vinylpyridin, Vinylpyrrolidon, Vinylcarbazol, Kombinationen derselben oder dergleichen ein.Specific structures that can be employed for the repeating unit of the hydrocarbon structure include methyl acrylate, ethyl acrylate, n-propyl acrylate, isopropyl acrylate, n-butyl acrylate, isobutyl acrylate, tert-butyl acrylate, n-hexyl acrylate, 2-ethylhexyl acrylate, acetoxyethyl acrylate, phenyl acrylate, 2-hydroxyethyl acrylate, 2-Methoxyethyl acrylate, 2-ethoxyethyl acrylate, 2-(2-methoxyethoxy)ethyl acrylate, cyclohexyl acrylate, benzyl acrylate, 2-alkyl-2-adamantyl (meth)acrylate or dialkyl(1-adamantyl)methyl (meth)acrylate, methyl methacrylate, ethyl methacrylate, n -Propyl methacrylate, isopropyl methacrylate, n-butyl methacrylate, isobutyl methacrylate, tert-butyl methacrylate, n-hexyl methacrylate, 2-ethylhexyl methacrylate, acetoxyethyl methacrylate, phenyl methacrylate, 2-hydroxyethyl methacrylate, 2-methoxyethyl methacrylate, 2-ethoxyethyl methacrylate, 2-(2-methoxyethoxy)ethyl methacrylate, cyclohexyl methacrylate, benzyl methacrylate, 3-chloro-2-hydroxypropyl methacrylate, 3-acetoxy-2-hydroxypropyl methacrylate, 3-chloroacetoxy-2-hydroxypropyl methacrylate, butyl crotonate, hexyl crotonate and the like. Examples of the vinyl esters include vinyl acetate, vinyl propionate, vinyl butylate, vinyl methoxyacetate, vinyl benzoate, dimethyl maleate, diethyl maleate, dibutyl maleate, dimethyl fumarate, diethyl fumarate, dibutyl fumarate, dimethyl itaconate, diethyl itaconate, dibutyl itaconate, acrylamide, methyl acrylamide, ethyl acrylamide, propyl acrylamide, n-butyl acrylamide, tert-butyl acrylamide, cyclohexyl acrylamide , 2-Methoxyethylacrylamide, dimethylacrylamide, diethylacrylamide, phenylacrylamide, benzylacrylamide, methacrylamide, methylacrylamide, methyl methacrylamide, ethyl methacrylamide, propyl methacrylamide, n-butyl methacrylamide, tert-butyl methacrylamide, cyclohexyl methacrylamide, 2-methoxyethyl methacrylamide, dimethyl methacrylamide, diethyl methacrylamide, phenyl methacrylamide, benzyl methacrylamide, methyl vinyl ether, butyl vinyl ether, hexyl vinyl ether, methoxyethyl vinyl ether, dimethylaminoethyl vinyl ether, and the like. Examples of styrenes include styrene, methyl styrene, dimethyl styrene, trimethyl styrene, ethyl styrene, isopropyl styrene, butyl styrene, methoxy styrene, butoxy styrene, acetoxy styrene, chlorostyrene, dichlorostyrene, bromostyrene, vinyl methyl benzoate, α-methyl styrene, maleimide, vinyl pyridine, vinyl pyrrolidone, vinyl carbazole, combinations thereof or the like.

In einer Ausführungsform kann die Wiederholungseinheit der Kohlenwasserstoffstruktur auch entweder eine monozyklische oder eine polyzyklische Kohlenwasserstoffstruktur aufweisen, die in sie substituiert ist, oder anderenfalls kann die monozyklische oder polyzyklische Kohlenwasserstoffstruktur die Wiederholungseinheit sein, um eine alizyklische Wasserstoffstruktur zu bilden. Spezifische Beispiel für monozyklische Strukturen, die verwendet werden können, schließen Bicycloalkan, Tricycloalkan, Tetracycloalkan, Cyclopentan, Cyclohexan oder dergleichen ein.In one embodiment, the hydrocarbon structure repeating unit may also have either a monocyclic or a polycyclic hydrocarbon structure substituted into it, or else the monocyclic or polycyclic hydrocarbon structure may be the repeating unit to form an alicyclic hydrogen structure. Specific examples of monocyclic structures that can be used include bicycloalkane, tricycloalkane, tetracycloalkane, cyclopentane, cyclohexane, or the like.

Die Gruppe, welche zerfallen wird, die sonst auch bekannt ist als eine Abgangsgruppe oder -in einer Ausführungsform, in welcher die PAC ein Fotosäuregenerator ist- als eine säurelabile Gruppe, ist an der Kohlenwasserstoffstruktur so angebracht, dass sie mit den Säuren/Basen/freien Radikalen reagiert, die durch PACs während der Exposition erzeugt werden. In einer Ausführungsform kann die Gruppe, welche zerfallen wird, eine Carbonsäuregruppe, Fluoralkoholgruppe, Phenolalkoholgruppe, Sulfongruppe, Sulfonamidgruppe, Sulfonylimidgruppe, (Alkylsulfonyl)(Alkylcarbonyl)-Methylengruppe, (Alkylsulfonyl)(Alkylcarbonyl)-Imidgruppe, Bis(alkylcarbonyl)-Methylengruppe, Bis(alkylcarbonyl)-Imidgruppe, Bis(alkylsulfonyl)-Methylengruppe, Bis(alkylsulfonyl)-Imidgruppe, Tris(alkylcarbonyl)-Methylengruppe, Tris(alkylsulfonyl)-Methylengruppe, Kombinationen derselben oder dergleichen sein. Spezifische Gruppen, die für die Fluoralkoholgruppe eingesetzt werden können, schließen Fluor-Hydroxyalkylgruppen, wie z.B. eine Hexafluorisopropanolgruppe, ein. Spezifische Gruppen, die für die Carboxylsäuregruppen eingesetzt werden können, schließen Akrylsäuregruppen, Methacrylsäuregruppen oder dergleichen ein.The group which will decompose, otherwise known as a leaving group or - in one embodiment where the PAC is a photoacid generator - an acid labile group, is attached to the hydrocarbon structure so that it interacts with the acid/base/free Responds to radicals generated by PACs during exposure. In one embodiment, the group which will decompose, a carboxylic acid group, fluoroalcohol group, phenol alcohol group, sulfone group, sulfonamide group, sulfonylimide group, (alkylsulfonyl) (alkylcarbonyl) methylene group, (alkylsulfonyl) (alkylcarbonyl) imide group, bis (alkylcarbonyl) methylene group, bis (alkylcarbonyl)imide group, bis(alkylsulfonyl)methylene group, bis(alkylsulfonyl)imide group, tris(alkylcarbonyl)methylene group, tris(alkylsulfonyl)methylene group, combinations thereof, or the like. Specific groups that can be used for the fluoroalcohol group include fluorohydroxyalkyl groups such as hexafluoroisopropanol group. Specific groups that can be used for the carboxylic acid groups include acrylic acid groups, methacrylic acid groups or the like.

In einer Ausführungsform kann das Fotoresist-Polymerharz auch andere an die Kohlenwasserstoffstruktur angeschlossene Gruppen aufweisen, die zur Verbesserung verschiedener Eigenschaften des polymerisierbaren Harzes beitragen. Zum Beispiel trägt das Einbeziehen einer Lactongruppe in die Kohlenwasserstoffstruktur dazu bei, den Grad der Zeilenkantenrauigkeit nach dem Entwickeln des Fotoresists 401 herabzusetzen, was dazu beiträgt, die Anzahl der Defekte, die während des Entwickelns auftreten, zu verringern. In einer Ausführungsform können die Lactongruppen Ringe enthalten, die fünf bis sieben Glieder aufweisen, obwohl alternativ eine beliebige geeignete Lactonstruktur für die Lactongruppe verwendet werden kann.In one embodiment, the photoresist polymeric resin may also have other groups attached to the hydrocarbon structure that help improve various properties of the polymerizable resin. For example, including a lactone group in the hydrocarbon structure helps reduce the level of line edge roughness after developing the photoresist 401, which helps reduce the number of defects that occur during development. In one embodiment, the lactone groups can contain rings that are five to seven members, although any suitable lactone structure can alternatively be used for the lactone group.

Das Fotoresist-Polymerharz kann auch Gruppen aufweisen, die zur Vergrößerung des Adhäsionsvermögens des Fotoresists 401 an darunterliegende Strukturen (z.B. der BARC-Schicht 105) beitragen können. In einer Ausführungsform können polare Gruppen verwendet werden, welche die Zunahme des Adhäsionsvermögens unterstützen können, und polare Gruppen, die in dieser Ausführungsform verwendet werden können, schließen Hydroxylgruppen, Cyangruppen oder dergleichen ein, obwohl alternativ eine beliebige geeignete polare Gruppe eingesetzt werden kann.The photoresist polymeric resin may also contain groups that can help increase the adhesion of the photoresist 401 to underlying structures (e.g., the BARC layer 105). In one embodiment, polar groups that can help increase adhesion can be used, and polar groups that can be used in this embodiment include hydroxyl groups, cyano groups, or the like, although any suitable polar group can alternatively be employed.

Optional kann das Fotoresist-Polymerharz ferner eine oder mehrere alizyklisch Kohlenwasserstoffstrukturen umfassen, die ebenfalls keine Gruppe enthalten, welche zerfallen wird. In einer Ausführungsform kann die Kohlenwasserstoffstruktur, die keine Gruppe enthält, welche zerfallen wird, Strukturen, wie z.B. 1-Adamantyl(methacrylat), Tricyclodecanyl(meth)acrylat, Cyclohexyl(methacrylat), Kombinationen derselben oder dergleichen, enthalten.Optionally, the photoresist polymer resin may further comprise one or more alicyclic hydrocarbon structures that also do not contain a group that will decompose. In one embodiment, the hydrocarbon structure that does not contain a group that will break down can contain structures such as 1-adamantyl (methacrylate), tricyclodecanyl (meth)acrylate, cyclohexyl (methacrylate), combinations thereof, or the like.

Außerdem weist der Fotoresist 401 auch ein oder mehrere PACs auf. Die PACs können fotoaktive Bestandteile sein, wie Fotosäuregeneratoren, Fotobasengeneratoren, Generatoren freier Radikale oder dergleichen, und die PACs können positiv-wirkend oder negativ-wirkend sein. In einer Ausführungsform, in der die PACs ein Fotosäuregenerator sind, können die PACs halogenierte Triazine, Oniumsalze, Diazoniumsalze, aromatische Diazoniumsalze, Phosphoniumsalze, Sulfoniumsalze, Iodoniumsalze, Imidsulfonat, Oximsulfonat, Diazodisulfon, Disulfon, o-Nitrobenzylsulfonat, sulfonierte Ester, halogenierte Sulfonyloxydicarboximide, Diazodisulfone, α-Cyanoxyaminsulfonate, Imidsulfonate, Ketodiazosulfone, Sulfonyldiazoester, 1,2-Di(arylsulfonyl)hydrazine, Nitrobenzylester und die s-Triazinderivate, geeignete Kombinationen derselben und dergleichen umfassen.In addition, the photoresist 401 also includes one or more PACs. The PACs can be photoactive ingredients such as photoacid generators, photobase generators, free radical generators or the like, and the PACs can be positive-acting or negative-acting. In an embodiment where the PACs are a photoacid generator, the PACs can be halogenated triazines, onium salts, diazonium salts, aromatic diazonium salts, phosphonium salts, sulfonium salts, iodonium salts, imide sulfonate, oxime sulfonate, diazodisulfone, disulfone, o-nitrobenzyl sulfonate, sulfonated esters, halogenated sulfonyloxydicarboximides, diazodisulfones , α-cyanoxyamine sulfonates, imide sulfonates, ketodiazosulfones, sulfonyldiazoesters, 1,2-di(arylsulfonyl)hydrazines, nitrobenzyl esters and the s-triazine derivatives, suitable combinations thereof, and the like.

Spezifische Beispiele für Fotosäuregeneratoren, die verwendet werden können, schließen ein: α-(Trifluormethylsulfonyloxy)-bicyclo[2.2.i]hept-5-en-2,3-dicarboximid (MDT), N-Hydroxynaphthalimid (DDSN), Benzointosylat, t-Butylphenyl-α-(p-toluensulfonyloxy)-acetat und t-Butyl-α-(p-toluensulfonyloxy)-acetat, Triarylsulfonium- und Diaryliodonium-Hexafluorantimonate, Hexafluorarsenate, Trifluormethansulfonate, Iodoniumperfluoroctansulfonate, N-Camphersulfonyloxynaphthalimid, N-Pentafluorphenylsulfonyloxynaphthalimid, ionische Iodoniumsulfonate, wie z.B. Diaryliodonium(alkyl- oder aryl-)sulfonat und Bis-(di-t-Butylphenyl)iodoniumcampherylsulfonat, Perfluoralkansulfonate, wie z.B. Perfluorpentansulfonat, Perfluoroctansulfonat, Perfluormethansulfonat, Aryl-(z.B. Phenyl- oder Benzyl-)triflate, wie z.B. Triphenylsulfoniumtriflat oder Bis-(t-butylphenyl)iodoniumtriflat, Pyrogallol-Derivate (z.B. Trimesylat von Pyrogallol), Trifluormethansulfonatester von Hydroxyimiden, α,α'-Bis-sulfonyl-diazomethansulfonatester von stickstoffsubstituierten Benzylalkoholen, Naphtochinon-4-diazide, Alkyldisulfone und dergleichen.Specific examples of photoacid generators that can be used include: α-(trifluoromethylsulfonyloxy)bicyclo[2.2.i]hept-5-ene-2,3-dicarboximide (MDT), N-hydroxynaphthalimide (DDSN), benzoin tosylate, t -butylphenyl-α-(p-toluenesulfonyloxy)-acetate and t-butyl-α-(p-toluenesulfonyloxy)-acetate, triarylsul fonium and diaryliodonium hexafluoroantimonate, hexafluoroarsenates, trifluoromethanesulfonates, iodonium perfluorooctanesulfonates, N-camphorsulfonyloxynaphthalimide, N-pentafluorophenylsulfonyloxynaphthalimide, ionic iodonium sulfonates such as diaryliodonium (alkyl or aryl) sulfonate and bis-(di-t-butylphenyl)iodonium camphorylsulfonate, perfluoroalkanesulfonates, how eg perfluoropentanesulfonate, perfluorooctanesulfonate, perfluoromethanesulfonate, aryl (eg phenyl or benzyl) triflates such as triphenylsulfonium triflate or bis-(t-butylphenyl)iodonium triflate, pyrogallol derivatives (eg trimesylate of pyrogallol), trifluoromethanesulfonate esters of hydroxyimides, α,α' -bis-sulfonyl-diazomethanesulfonate esters of nitrogen-substituted benzyl alcohols, naphthoquinone-4-diazides, alkyl disulfones and the like.

In einer Ausführungsform, in der die PACs ein Generator freier Radikale sind, können die PACs n-Phenylglycin, aromatische Ketone, wie z.B. Benzophenon, N,N'-Tetramethyl-4,4'-diaminobenzophenon, N,N'-Tetraethyl-4,4'-diaminobenzophenon, 4-Methoxy-4'-dimethylaminobenzophenon, 3,3'-Dimethyl-4'-methoxybenzophenon, p,p'-Bis(dimethylamino)benzophenon, p,p`-Bis(diethylamino)benzophenon, Anthrachinon, 2-Ethylanthrachinon, Naphtachinon und Phenanthrachinon, Benzoine, wie z.B. Benzoin, Benzoinmethylether, Benzoinethylether, Benzoinisopropylether, Benzoin-n-butylether, Benzoinphenylether, Methylbenzoin und Ethylbenzoin, Benzylderivate, wie z.B. Dibenzyl, Benzyldiphenyldisulfid und Benzyldimethylketal, Acridinderivate, wie z.B. 9-Phenylacridin und 1,7-Bis(9-aeridinyl)heptan, Thioxanthone, wie z.B. 2-Chlorthioxanthon, 2-Methylthioxanthon, 2,4-Diethylthioxanthon, 2,4-Dimethylthioxanthon und 2-Isopropylthioxanthon, Acetophenone, wie z.B. 1,1-Dichloracetophenon, p-t-Butyldichloracetophenon, 2,2-Diethoxyacetophenon, 2,2-Dimethoxy-2-phenylacetophenon und 2,2-Dichlor-4-phenoxyacetophenon, 2,4,5-Triarylimidazol-Dimere, wie z.B. 2-(o-Chlorphenyl)-4,5-diphenylimidazol-Dimer, 2-(o-Chlorphenyl)-4,5-di-(m-methoxyphenyl)imidazol-Dimer, 2-(o-Fluorphenyl)-4,5-diphenylimidazol-Dimer, 2-(o-Metoxyphenyl)-4,5-diphenylimidazol-Dimer, 2-(p-Metoxyphenyl)-4,5-diphenylimidazol-Dimer, 2,4-Di(p-metoxyphenyl)-5-phenylimidazol-Dimer, 2-(2,4-Dimetoxyphenyl)-4,5-diphenylimidazol-Dimer und 2-(p-Methylmercaptophenyl)-4,5-diphenylimidazol-Dimer, geeignete Kombinationen derselben oder dergleichen sein.In an embodiment where the PACs are a free radical generator, the PACs can be n-phenylglycine, aromatic ketones such as benzophenone, N,N'-tetramethyl-4,4'-diaminobenzophenone, N,N'-tetraethyl-4 ,4'-diaminobenzophenone, 4-methoxy-4'-dimethylaminobenzophenone, 3,3'-dimethyl-4'-methoxybenzophenone, p,p'-bis(dimethylamino)benzophenone, p,p`-bis(diethylamino)benzophenone, anthraquinone , 2-ethylanthraquinone, naphthaquinone and phenanthraquinone, benzoins such as benzoin, benzoin methyl ether, benzoin ethyl ether, benzoin isopropyl ether, benzoin n-butyl ether, benzoin phenyl ether, methyl benzoin and ethyl benzoin, benzyl derivatives such as dibenzyl, benzyl diphenyl disulfide and benzyl dimethyl ketal, acridine derivatives such as 9-phenyl acridine and 1,7-bis(9-aeridinyl)heptane, thioxanthones such as 2-chlorothioxanthone, 2-methylthioxanthone, 2,4-diethylthioxanthone, 2,4-dimethylthioxanthone and 2-isopropylthioxanthone, acetophenones such as 1,1-dichloroacetophenone , p-t-butyldichloroacetophenone, 2,2-diethoxyacetophenone, 2,2-dimethoxy-2-phenylacetophenone and 2,2-dichloro-4-phenoxyacetophenone, 2,4,5-triarylimidazole dimers such as 2-(o-chlorophenyl) -4,5-diphenylimidazole dimer, 2-(o-chlorophenyl)-4,5-di-(m-methoxyphenyl)imidazole dimer, 2-(o-fluorophenyl)-4,5-diphenylimidazole dimer, 2- (o-Metoxyphenyl)-4,5-diphenylimidazole dimer, 2-(p-Metoxyphenyl)-4,5-diphenylimidazole dimer, 2,4-Di(p-metoxyphenyl)-5-phenylimidazole dimer, 2-( 2,4-dimetoxyphenyl)-4,5-diphenylimidazole dimer and 2-(p-methylmercaptophenyl)-4,5-diphenylimidazole dimer, suitable combinations thereof, or the like.

In einer Ausführungsform, in der die PACs ein Fotobasengenerator sind, können die PACs quaternäre Ammoniumdithiocarbamate, α-Aminoketone, Oximurethan enthaltende Moleküle, wie z.B. Dibenzophenonoximhexamethylendiurethan, Ammoniumtetraorganylborat-Salze und zyklische N-(2-Nitrobenzyloxycarbonyl)amine, geeignete Kombinationen derselben oder dergleichen aufweisen. Wie für einen Fachmann ersichtlich ist, sind die hier aufgeführten chemischen Verbindungen lediglich als Veranschaulichungsbeispiele für die PACs gedacht und nicht vorgesehen, die Ausführungsformen nur auf diese ausdrücklich beschriebenen PACs zu beschränken. Vielmehr kann alternativ eine beliebige geeignete PAC eingesetzt werden, und es ist vollauf beabsichtigt, dass alle derartigen PACs im Umfang der Ausführungsformen enthalten sind.In an embodiment where the PACs are a photobase generator, the PACs may include quaternary ammonium dithiocarbamates, α-aminoketones, oximurethane-containing molecules such as dibenzophenone oxime hexamethylenediurethane, ammonium tetraorganylborate salts, and cyclic N-(2-nitrobenzyloxycarbonyl)amines, suitable combinations thereof, or the like . As will be appreciated by those skilled in the art, the chemical compounds listed herein are intended only as illustrative examples of the PACs and are not intended to limit the embodiments to only those PACs specifically described. Rather, any suitable PAC may alternatively be employed, and all such PACs are fully intended to be included within the scope of the embodiments.

Die einzelnen Bestandteile des Fotoresists 401 können in ein Fotoresistlösungsmittel eingegeben werden, um das Mischen und Aufbringen des Fotoresists 401 zu unterstützen. Um das Mischen und Aufbringen des Fotoresists 401 zu unterstützen, wird das Fotoresistlösungsmittel mindestens zum Teil auf Basis der Materialien ausgewählt, die für das Fotoresist-Polymerharz sowie die PACs ausgewählt wurden. Insbesondere wird das Fotoresistlösungsmittel derart ausgewählt, dass das Fotoresist-Polymerharz und die PACs gleichmäßig im Fotoresistlösungsmittel gelöst und auf der BARC-Schicht 105 verteilt werden können.The individual components of the photoresist 401 can be added to a photoresist solvent to aid in the mixing and application of the photoresist 401. To aid in the mixing and application of the photoresist 401, the photoresist solvent is selected based at least in part on the materials selected for the photoresist polymer resin as well as the PACs. In particular, the photoresist solvent is selected such that the photoresist polymer resin and the PACs can be evenly dissolved in the photoresist solvent and distributed onto the BARC layer 105.

In einer Ausführungsform kann das Fotoresistlösungsmittel ein organisches Lösungsmittel sein und kann ein beliebiges geeignetes Lösungsmittel, wie z.B. Ketone, Alkohole, Polyalkohole, Ether, Glycolether, zyklische Ether, aromatische Kohlenwasserstoffe, Ester, Propionate, Lactate, lactische Ester, Alkylenglycolmonoalkylether, Alkyllactate, Alkylalkoxypropionate, zyklische Lactone, einen Ring enthaltende Monoketon-Verbindungen, Alkylencarbonate, Alkylalkoxyacetat, Alkylpyrovate, Lactatester, Ethylenglycolalkyletheracetate, Diethylenglycole, Propylenglycolalkyletheracetate, Alkylenglycolalkyletherester, Alkylenglycolmonoalkylester oder dergleichen, enthalten.In one embodiment, the photoresist solvent can be an organic solvent and can be any suitable solvent such as ketones, alcohols, polyalcohols, ethers, glycol ethers, cyclic ethers, aromatic hydrocarbons, esters, propionates, lactates, lactic esters, alkylene glycol monoalkyl ethers, alkyl lactates, alkyl alkoxy propionates, cyclic lactones, ring-containing monoketone compounds, alkylene carbonates, alkyl alkoxy acetate, alkyl pyrovates, lactate esters, ethylene glycol alkyl ether acetates, diethylene glycols, propylene glycol alkyl ether acetates, alkylene glycol alkyl ether esters, alkylene glycol monoalkyl esters, or the like.

Spezifische Beispiele für Materialien, die als das Fotoresistlösungsmittel für den Fotoresist 401 verwendet werden können, schließen Aceton, Methanol, Ethanol, Toluen, Xylen, 4-Hydroxy-4-methyl-2-pentanon, Tetrahydrofuran, Methylethylketon, Cyclohexanon, Methylisoamylketon, 2-Heptanon, Ethylenglycol, Ethylenglycolmonoacetat, Ethylenglycoldimethylether, Ethylenglycoldiethylether, Ethylenglycolmethylethylether, Ethylenglycolmonoetherylether, Methyl-Cellosolve-Acetat, Ethyl-Cellosolve-Acetat, Diethylenglycol, Diethylenglycolmonoacetat, Diethylenglycolmonomethylether Diethylenglycoldiethylether, Diethylenglycoldimethylether, Diethylenglycolethylmethylether, Diethylenglycolmonoethylether, Diethylenglycolmonobutylether, Ethyl-2-hydroxypropionat, Methyl-2-hydroxy-2-methylpropionat, Ethyl-2-hydroxy-2-methylpropionat, Ethylethoxyacetat, Ethylhydroxyacetat, Methyl-2-hydroxy-2-methylbutanat, Methyl-3-methoxypropionat, Ethyl-3-methoxypropionat, Methyl-3-ethoxypropionat, Ethyl-3-ethoxypropionat, Ethylacetat, Butylacetat, Methyllactat und Ethyllactat, Propylenglycol, Propylenglycolmonoacetat, Propylenglycolmonoethyletheracetat, Propylenglycolmonomethyletheracetat, Propylenglycolmonopropylmethyletheracetat, Propylenglycolmonobutyletheracetat, Propylenglycolmonomethyletherpropionat, Propylenglycolmonoethyletherpropionat, Propylenglycolmethyletheracetat, Propylenglycolethyletheracetat, Ethylenglycolmonomethyletheracetat, Ethylenglycolmonoethyletheracetat, Propylenglycolmonomethylether, Propylenglycolmonoethylether, Propylenglycolmonopropylether, Propylenglycolmonobutylether, Ethylenglycolmonomethylether, Ethylenglycolmonoethylether, Methyllactat, Ethyllactat, Propyllactat und Butyllactat, Ethyl-3-ethoxypropionat, Methyl-3-methoxypropionat, Methyl-3-ethoxypropionat und Ethyl-3-methoxypropionat, β-Propiolacton, β-Butyrolacton, γ-Butyrolacton, α-Methyl-γ-butyrolacton, β-Methyl-γ-butyrolacton, γ-Valerolacton, γ-Caprolacton, γ-Octanolacton, α-Hydroxy-γ-butyrolacton, 2-Butanon, 3-Methylbutanon, Pinakolon, 2-Pentanon, 3-Pentanon, 4-Methyl-2-pentanon, 2-Methyl-3-pentanon, 4,4-Dimethyl-2-pentanon, 2,4-Dimethyl-3-pentanon, 2,2,4,4-Tetramethyl-3-pentanon, 2-Hexanon, 3-Hexanon, 5-Methyl-3-hexanon, 2-Heptanon, 3-Heptanon, 4-Heptanon, 2-Methyl-3-heptanon, 5-Methyl-3-heptanon, 2,6-Dimethyl-4-heptanon, 2-Oktanon, 3-Oktanon, 2-Nonanon, 3-Nonanon, 5-Nonanon, 2-Decanon, 3-Decanon, 4-Decanon, 5-Hexen-2-on, 3-Penten-2-on, Cyclopentanon, 2-Methylcyclopentanon, 3-Methylcyclopentanon, 2,2-Dimethylcyclopentanon, 2,4,4-Trimethylcyclopentanon, Cyclohexanon, 3-Methylcyclohexanon, 4-Methylcyclohexanon, 4-Ethylcyclohexanon, 2,2-Dimethylcyclohexanon, 2,6-Dimethylcyclohexanon, 2,2,6-Trimethylcyclohexanon, Cycloheptanon, 2-Methylcycloheptanon, 3-Methylcycloheptanon, Pylencarbonat, Vinylencarbonat, Ethylencarbonat und Butylencarbonat, Acetat-2-methoxyethyl, Acetat-2-ethoxyethyl, Acetat-2-(2-ethoxyethoxy)ethyl, Acetat-3-methoxy-3-methylbutyl, Acetat-1-methoxy-2-propyl, Dipropylenglycol, Monomethylether, Monoethylether, Monopropylether, Monobutylether, Monophenylether, Dipropylenglycolmonoacetat, Dioxan, Methyllactat, Ethyllactat, Methylacetat, Ethylacetat, Butylacetat, Methylpuruvat, Ethylpuruvat, Propylpuruvat, Methylmethoxypropionat, Ethylethoxypropionat, n-Methylpyrrolidon (NMP), 2-Methoxymethylether (Diglyme), Ethylenglycolmonomethylether, Propylenglycolmonomethylether, Ethyllactat oder Methyllactat, Methylpropionat, Ethylpropionat und Ethylethoxypropionat, Methylethylketon, Cyclohexanon, 2-Heptanon, Kohlenstoffdioxid, Cyclopentanon, Cyclohexanon, Ethyl-3-Ethoxypropionat, Ethyllactat, Propylenglycolmethyletheracetat (PGMEA), Methylen-Cellosolve, Butylacetat und 2-Ethoxyethanol, N-Methylformamid, N,N-Dimethylformamid, N-Methylformanilid, N-Methylacetamid, N,N-Dimethylacetamid, N-Methylpyrrolidon, Dimethylsulfoxid, Benzylethylether, Dihexylether, Acetonylaceton, Isophoron, Capronsäure, Caprylsäure, 1-Octanol, 1-Nonanol, Benzylalkohol, Benzylacetat, Ethylbenzoat, Diethyloxalat, Diethylmaleat, γ-Butyrolacton, Ethylencarbonat, Propylencarbonat, Phenyl-Cellosolve-Acetat oder dergleichen ein.Specific examples of materials that can be used as the photoresist solvent for Photoresist 401 include acetone, methanol, ethanol, toluene, xylene, 4-hydroxy-4-methyl-2-pentanone, tetrahydrofuran, methyl ethyl ketone, cyclohexanone, methyl isoamyl ketone, 2- Heptanone, ethylene glycol, ethylene glycol monoacetate, ethylene glycol dimethyl ether, ethylene glycol diethyl ether, ethylene glycol methyl ethyl ether, ethylene glycol monoetheryl ether, methyl cellosolve acetate, ethyl cellosolve acetate, diethylene glycol, diethylene glycol monoacetate, diethylene glycol monomethyl ether, diethylene glycol diethyl ether, diethylene glycol dimethyl ether, diethylene glycol ethyl methyl ether, diethylene glycol monoethyl ether, diethylene glycol monobutyl ether, ethyl 2-hydroxypropionate, methyl-2 -hydroxy-2-methylpropionate, ethyl 2-hydroxy-2-methylpropionate, ethyl ethoxyacetate, ethyl hydroxyacetate, methyl 2-hydroxy-2-methylbutanate, methyl 3-methoxypropionate, ethyl 3-methoxypropionate, methyl 3-ethoxypropionate, ethyl -3-ethoxypropionate, ethyl acetate, butyl acetate, Methyl lactate and ethyl lactate, propylene glycol, propylene glycol monoacetate, propylene glycol monoethyl ether acetate, propylene glycol monomethyl ether acetate, propylene glycol monopropyl methyl ether acetate, propylene glycol monobutyl ether acetate, propylene glycol monomethyl ether propionate, propylene glycol monoethyl ether propionate, propylene glycol methyl ether acetate, propylene glycol ethyl ether acetate, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, propylene glycol monomethyl ether, propylene glycol monoethyl ether, propylene glycol monopropyl ether, propylene glycol monobutyl ether, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, methyl lactate, ethyl lactate, propyl lactate and butyl lactate, ethyl 3-ethoxypropionate, methyl 3-methoxypropionate, methyl 3-ethoxypropionate and ethyl 3-methoxypropionate, β-propiolactone, β-butyrolactone, γ-butyrolactone, α-methyl-γ-butyrolactone, β-methyl-γ-butyrolactone, γ-valerolactone, γ-caprolactone, γ-octanolactone, α-hydroxy-γ-butyrolactone, 2-butanone, 3-methylbutanone, pinacolone, 2-pentanone, 3-pentanone, 4-methyl-2-pentanone, 2-methyl- 3-pentanone, 4,4-dimethyl-2-pentanone, 2,4-dimethyl-3-pentanone, 2,2,4,4-tetramethyl-3-pentanone, 2-hexanone, 3-hexanone, 5-methyl- 3-hexanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-methyl-3-heptanone, 5-methyl-3-heptanone, 2,6-dimethyl-4-heptanone, 2-octanone, 3-octanone, 2-nonanone, 3-nonanone, 5-nonanone, 2-decanone, 3-decanone, 4-decanone, 5-hexen-2-one, 3-penten-2-one, cyclopentanone, 2-methylcyclopentanone, 3-methylcyclopentanone, 2,2-dimethylcyclopentanone, 2,4,4-trimethylcyclopentanone, cyclohexanone, 3-methylcyclohexanone, 4-methylcyclohexanone, 4-ethylcyclohexanone, 2,2-dimethylcyclohexanone, 2,6-dimethylcyclohexanone, 2,2,6-trimethylcyclohexanone, cycloheptanone, 2-methylcycloheptanone, 3-methylcycloheptanone, pylene carbonate, vinylene carbonate, ethylene carbonate and butylene carbonate, acetate 2-methoxyethyl, acetate 2-ethoxyethyl, acetate 2-(2-ethoxyethoxy)ethyl, acetate 3-methoxy-3-methylbutyl, acetate -1-methoxy-2-propyl, dipropylene glycol, monomethyl ether, monoethyl ether, monopropyl ether, monobutyl ether, monophenyl ether, dipropylene glycol monoacetate, dioxane, methyl lactate, ethyl lactate, methyl acetate, ethyl acetate, butyl acetate, methyl puruvate, ethyl puruvate, propyl puruvate, methyl methoxypropionate, ethyl ethoxypropionate, n-methylpyrrolidone (NMP ), 2-Methoxymethyl Ether (Diglyme), Ethylene Glycol Monomethyl Ether, Propylene Glycol Monomethyl Ether, Ethyl Lactate or Methyl Lactate, Methyl Propionate, Ethyl Propionate and Ethyl Ethoxypropionate, Methyl Ethyl Ketone, Cyclohexanone, 2-Heptanone, Carbon Dioxide, Cyclopentanone, Cyclohexanone, Ethyl 3-Ethoxypropionate, Ethyl Lactate, Propylene Glycol Methyl Acetate (PGMEA), Methylene cellosolve, butyl acetate and 2-ethoxyethanol, N-methylformamide, N,N-dimethylformamide, N-methylformanilide, N-methylacetamide, N,N-dimethylacetamide, N-methylpyrrolidone, dimethyl sulfoxide, benzyl ethyl ether, dihexyl ether, acetonylacetone, isophorone, caproic acid, caprylic acid, 1-octanol, 1-nonanol, benzyl alcohol, benzyl acetate, ethyl benzoate, diethyl oxalate, diethyl maleate, γ-butyrolactone, ethylene carbonate, propylene carbonate, phenyl cellosolve acetate or the like.

Wie ein Fachmann jedoch einsehen wird, dienen die Materialien, die aufgeführt und oben als Beispiele für Materialien beschrieben sind, welche für den Fotoresistlösungsmittelbestandteil des Fotoresists 401 eingesetzt werden können, lediglich der Veranschaulichung und sind nicht zur Einschränkung der Ausführungsformen gedacht. Vielmehr kann alternativ ein beliebiges geeignetes Material, welches das Fotoresist-Polymerharz und die PACs lösen kann, eingesetzt werden, um zum Mischen und Auftragen des Fotoresists 401 beizutragen. Es ist vollauf beabsichtigt, dass alle derartigen Materialien im Umfang der Ausführungsformen enthalten sind.However, as one skilled in the art will appreciate, the materials listed and described above as examples of materials that may be employed for the photoresist solvent component of photoresist 401 are for purposes of illustration only and are not intended to limit the embodiments. Rather, any suitable material capable of dissolving the photoresist polymer resin and PACs may alternatively be employed to aid in the mixing and application of the photoresist 401. All such materials are fully intended to be included within the scope of the embodiments.

Obwohl einzelne der oben beschriebenen Materialien als das Fotoresistlösungsmittel für den Fotoresist 401 verwendet werden können, kann darüber hinaus in alternativen Ausführungsformen mehr als eines der oben beschriebenen Materialien eingesetzt werden. Zum Beispiel kann das Fotoresistlösungsmittel ein Kombinationsgemisch von zwei oder mehr der beschriebenen Materialien enthalten. Es ist vollauf beabsichtigt, dass alle derartigen Kombinationen im Umfang der Ausführungsformen enthalten sind.Furthermore, although any one of the materials described above may be used as the photoresist solvent for photoresist 401, in alternative embodiments more than one of the materials described above may be used. For example, the photoresist solvent may contain a combination mixture of two or more of the materials described. All such combinations are fully intended to be included within the scope of the embodiments.

Wahlweise kann zum Fotoresist 401 auch ein Fotoresist-Vernetzungsagens hinzugefügt werden. Das Fotoresist-Vernetzungsagens reagiert mit dem Fotoresist-Polymerharz im Fotoresist 401 nach der Exposition, wobei die Zunahme der Vernetzungsdichte des Fotoresists unterstützt wird, was dazu beiträgt, das Resistmuster und den Trockenätzwiderstand zu verbessern, in einer Ausführungsform kann das Fotoresist-Vernetzungsagens ein Agens auf Melaminbasis, ein Agens auf Harnstoffbasis, ein Agens auf Basis von Ethylenharnstoff, ein Agens auf Basis von Propylenharnstoff, ein Agens auf Basis von Glycoluril, ein aliphatischer zyklischer Kohlenwasserstoff, der eine Hydroxylgruppe, eine Hydroxyalkylgruppe oder eine Kombination derselben aufweist, Sauerstoff enthaltende Derivate des aliphatischen zyklischen Kohlenwasserstoffs, Glycolurilverbindungen, verätherte Aminoharze, Kombinationen derselben oder dergleichen sein.Optionally, a photoresist crosslinking agent can also be added to the photoresist 401 . The photoresist crosslinking agent reacts with the photoresist polymer resin in the photoresist 401 after exposure, supporting the increase in crosslinking density of the photoresist, which helps to improve the resist pattern and dry etch resistance, in one embodiment, the photoresist crosslinking agent can be an agent Melamine base, a urea-based agent, an ethylene urea-based agent, a propylene urea-based agent, a glycoluril-based agent, an aliphatic cyclic hydrocarbon having a hydroxyl group, a hydroxyalkyl group or a combination thereof, oxygen-containing derivatives of the aliphatic cyclic hydrocarbon, glycoluril compounds, etherified amino resins, combinations thereof, or the like.

Spezifische Beispiele für Materialien, die als ein Fotoresist-Vernetzungsagens eingesetzt werden können, schließen Melamin, Acetoguanamin, Benzoguanamin, Harnstoff, Ethylenharnstoff oder Glycoluril mit Formaldehyd, Glycoluril mit einer Kombination aus Formaldehyd und einem niederen Alkohol, Hexamethoxymethylmelamin, Bismethoxymethylharnstoff, Bismethoxymethylbismethoxyethylenharnstoff, Tetramethoxymethylglycoluril und Tetrabutoxymethyglycoluril, mono-, di-, tri- oder tetrahydroxymethyliertes Glycoluril, mono-, di-, tri- und/oder tetramethoxymethyliertes Glycoluril, mono-, di-, tri- und/oder tetraethoxymethyliertes Glycoluril, mono-, di-, tri- und/oder tetrapropoxymethyliertes Glycoluril und mono-, di-, tri- und/oder tetrabutoxymethyliertes Glycoluril, 2,3-Dihydroxy-5-hydroxymethylnorbornan, 2-Hydroxy-5,6-bis(hydroxymethyl)norbornan, Cyclohexandimethanol, 3,4,8(oder 9)-Trihydroxytricyclodecan, 2-Methyl-2-adamantanol, 1,4-Dioxan-2,3-diol und 1,3,5-Trihydroxycyclohexan, Tetramethoxymethylglycoluril, Methylpropyltetramethoxymethylglycoluril und Methylphenyltetramethoxymethylglycoluril, 2,6-Bis(hydroxymethyl)p-kresol, N-Methoxymethyl- oder N-Butoxymethylmelamin ein. Außerdem Verbindungen, die erhalten wurden durch Reaktion von Formaldehyd oder Formaldehyd und niederen Alkoholen mit Aminogruppen enthaltenden Verbindungen, wie z.B. Melamin, Acetoguanamin, Benzoguanamin, Harnstoff, Ethylenharnstoff und Glycoluril, und Substituieren der Wasserstoffatome der Aminogruppe mit der Hydroxymethylgruppe oder der niederen Alkoxymethylgruppe, wobei Hexamethoxymethylmelamin, Bismethoxymethylharnstoff, Bismethoxymethylbismethoxyethylenharnstoff, Tetramethoxymethylglycoluril und Tetrabutoxymethyglycoluril, Copolymere von 3-Chlor-2-hydroxypropylmethacrylat und Methacrylsäure, Copolymere von 3-Chlor-2-hydroxypropylmethacrylat und Cyclohexylmethacrylat und Methacrylsäure, Copolymere von 3-Chlor-2-hydroxypropylmethacrylat und Benzylmethacrylat und Methacrylsäure, Bisphenol A-di(3-chlor-2-hydroxypropyl)ether, Poly(3-chlor-2-hydroxypropyl)ether eines Phenol-Novolak-Harzes, Pentaerythritol Tetra(3-chlor-2-hydroxypropyl)ether, Trimethylolmethan Tri(3-chlor-2-hydroxypropyl)etherphenol, Bisphenol A-di(3-acetoxy-2-hydroxypropyl)ether, Poly(3-acetoxy-2-hydroxypropyl)ether eines Phenol-Novolak-Harzes, Pentaerythritol Tetra(3-acetoxy-2-hydroxypropyl)ether, Pentaerythritol Poly(3-chloracetoxy-2-hydroxypropyl)ether, Trimethylolmethan Tri(3-acetoxy-2-hydroxypropyl)ether, Kombinationen derselben oder dergleichen Beispiele sind.Specific examples of materials that can be used as a photoresist crosslinking agent include melamine, acetoguanamine, benzoguanamine, urea, ethylene urea or glycoluril with formaldehyde, glycoluril with a combination of formaldehyde and a lower alcohol, hexamethoxymethylmelamine, bismethoxymethyl urea, bismethoxymethylbismethoxyethylene urea, tetramethoxy methylglycoluril and tetrabutoxymethylglycoluril, mono-, di-, tri- or tetrahydroxymethylated glycoluril, mono-, di-, tri- and/or tetramethoxymethylated glycoluril, mono-, di-, tri- and/or tetraethoxymethylated glycoluril, mono-, di-, tri- and/or tetrapropoxymethylated glycoluril and mono-, di-, tri- and/or tetrabutoxymethylated glycoluril, 2,3-dihydroxy-5-hydroxymethylnorbornane, 2-hydroxy-5,6-bis(hydroxymethyl)norbornane, cyclohexanedimethanol, 3, 4,8(or 9)-trihydroxytricyclodecane, 2-methyl-2-adamantanol, 1,4-dioxane-2,3-diol and 1,3,5-trihydroxycyclohexane, tetramethoxymethyl glycoluril, methylpropyltetramethoxymethyl glycoluril and methylphenyltetramethoxymethyl glycoluril, 2,6-bis( hydroxymethyl)p-cresol, N-methoxymethyl- or N-butoxymethylmelamine. Also, compounds obtained by reacting formaldehyde or formaldehyde and lower alcohols with amino group-containing compounds such as melamine, acetoguanamine, benzoguanamine, urea, ethylene urea and glycoluril, and substituting the hydrogen atoms of the amino group with the hydroxymethyl group or the lower alkoxymethyl group, whereby hexamethoxymethylmelamine , bismethoxymethyl urea, bismethoxymethyl bismethoxyethylene urea, tetramethoxymethyl glycoluril and tetrabutoxymethyl glycoluril, copolymers of 3-chloro-2-hydroxypropyl methacrylate and methacrylic acid, copolymers of 3-chloro-2-hydroxypropyl methacrylate and cyclohexyl methacrylate and methacrylic acid, copolymers of 3-chloro-2-hydroxypropyl methacrylate and benzyl methacrylate and methacrylic acid, bisphenol A-di(3-chloro-2-hydroxypropyl) ether, Poly(3-chloro-2-hydroxypropyl) ether of a phenol novolak resin, pentaerythritol tetra(3-chloro-2-hydroxypropyl) ether, trimethylolmethane tri(3- chloro-2-hydroxypropyl) ether phenol, bisphenol A di(3-acetoxy-2-hydroxypropyl) ether, poly(3-acetoxy-2-hydroxypropyl) ether of a phenol novolak resin, pentaerythritol tetra(3-acetoxy-2- hydroxypropyl) ether, pentaerythritol poly(3-chloroacetoxy-2-hydroxypropyl) ether, trimethylolmethane tri(3-acetoxy-2-hydroxypropyl) ether, combinations thereof or the like are examples.

Zusätzlich zu den Fotoresist-Polymerharzen, den PACs, den Fotoresistlösungsmitteln und den Fotoresistvernetzungsagenzien kann der Fotoresist 401 auch eine Anzahl von anderen Zusatzstoffen enthalten, die dazu beitragen, dass der Fotoresist 401 die höchste Auflösung erlangt. Zum Beispiel kann der Fotoresist 401 auch Benetzungsmittel enthalten, die dazu beitragen, die Fähigkeit des Fotoresists 401 zu verbessern, die Oberfläche abzudecken, auf der er aufgetragen wird. In einer Ausführungsform können die Benetzungsmittel nichtionische Benetzungsmittel, Polymere mit fluorierten aliphatischen Gruppen, Benetzungsmittel, die mindestens ein Fluoratom und/oder mindestens ein Siliziumatom enthalten, Polyoxyethylenalkylether, Polyoxyethylenalkylarylether, Polyoxyethylen-Polyoxypropylen-Blockcopolymere, Sorbitanfettsäureester, Polyoxyethylen-Sorbitanfettsäureester einschließen.In addition to the photoresist polymer resins, PACs, photoresist solvents, and photoresist crosslinking agents, photoresist 401 may also contain a number of other additives that help photoresist 401 achieve the highest resolution. For example, photoresist 401 may also contain wetting agents that help improve the ability of photoresist 401 to cover the surface to which it is applied. In one embodiment, the wetting agents may include nonionic wetting agents, polymers having fluorinated aliphatic groups, wetting agents containing at least one fluorine atom and/or at least one silicon atom, polyoxyethylene alkyl ethers, polyoxyethylene alkylaryl ethers, polyoxyethylene-polyoxypropylene block copolymers, sorbitan fatty acid esters, polyoxyethylene sorbitan fatty acid esters.

Spezifische Beispiele für Materialien, die als Benetzungsmittel verwendet werden können, schließen Polyoxyethylenlaurylether, Polyoxyethylenstearylether, Polyoxyethylencetylether, Polyoxyethylenoleylether, Polyoxyethylenoctylphenolether, Polyoxyethylennonylphenolether, Sorbitanmonolaurat, Sorbitanmonopalmitat, Sorbitanmonostearat, Sorbitanmonooleat, Sorbitantrioleat, Sorbitantristearat, Polyoxyethylensorbitanmonolaurat, Polyoxyethylensorbitanmonopalmitat, Polyoxyethylensorbitanmonostearat, Polyoxyethylensorbitantrioleat, Polyoxyethylensorbitantristearat, Polyethylenglycoldistearat, Polyethylenglycoldilaurat, Polyethylenglycol, Polypropylenglycol, Polyoxyethylenstearylether und Polyoxyethylencetylether; Fluor enthaltende kationische Benetzungsmittel, Fluor enthaltende nichtionische Benetzungsmittel, Fluor enthaltende anionische Benetzungsmittel, kationische Benetzungsmittel und anionische Benetzungsmittel, Polyethylenglycol, Polypropylenglycol, Polyoxyethylencetylether, Kombinationen derselben oder dergleichen ein. Specific examples of materials that can be used as wetting agents include polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene cetyl ether, polyoxyethylene oleyl ether, polyoxyethylene octylphenol ether, polyoxyethylene nonylphenol ether, sorbitan monolaurate, sorbitan monopalmitate, sorbitan monostearate, sorbitan monooleate, sorbitan trioleate, sorbitan tristearate, polyoxyethylene sorbitan monolaurate, polyoxyethylene sorbitan monopalmitate, polyoxyethylene sorbitan monostearate, polyoxyethylene sorbitan trioleate, polyoxyethylene sorbitan tristearate, polyethylene glycol distearate, polyethylene glycol dilaurate , polyethylene glycol, polypropylene glycol, polyoxyethylene stearyl ether and polyoxyethylene cetyl ether; fluorine-containing cationic wetting agents, fluorine-containing nonionic wetting agents, fluorine-containing anionic wetting agents, cationic wetting agents and anionic wetting agents, polyethylene glycol, polypropylene glycol, polyoxyethylene cetyl ether, combinations thereof, or the like.

Ein weiterer Zusatzstoff, der zu dem Fotoresist 401 hinzugefügt werden kann, ist ein Fänger, der eingesetzt werden kann, die Diffusion der erzeugten Säuren/Basen/freien Radikale im Fotoresist zu verhindern, was die Konfiguration des Resistmusters unterstützt sowie die Stabilität des Fotoresists 401 im Zeitablauf verbessert. In einer Ausführungsform ist der Fänger ein Amin, wie z.B. ein sekundäres niederes aliphatisches Amin, ein tertiäres niederes aliphatisches Amin oder dergleichen. Spezifische Beispiele für Amine, die verwendet werden können, schließen Trimethylamin, Diethylamin, Triethylamin, Di-n-propylamin, Tri-n-propylamin, Tripentylamin, Diethanolamin und Triethanolamin, Alkanolamin, Kombinationen derselben oder dergleichen ein.Another additive that can be added to the photoresist 401 is a scavenger that can be used to prevent the diffusion of the generated acids/bases/free radicals in the photoresist, which helps the configuration of the resist pattern and the stability of the photoresist 401 in Improved timing. In one embodiment, the scavenger is an amine, such as a secondary lower aliphatic amine, a tertiary lower aliphatic amine, or the like. Specific examples of amines that can be used include trimethylamine, diethylamine, triethylamine, di-n-propylamine, tri-n-propylamine, tripentylamine, diethanolamine and triethanolamine, alkanolamine, combinations thereof, or the like.

Alternativ kann als der Fänger eine organische Säure eingesetzt werden. Spezifische Ausführungsformen organischer Säuren, die eingesetzt werden können, schließen Malonsäure, Zitronensäure, Apfelsäure, Bernsteinsäure, Benzoesäure, Salizylsäure, Phosphor-Oxo-Säure und ihre Derivate, wie z.B. Phosphorsäure und deren Derivate, wie z.B. ihre Ester, wie z.B. Phosphorsäure, Phosphorsäure-Di-n-butylester und Phosphorsäure-Diphenylester, Phosphonsäure und deren Derivate, wie z.B. ihre Ester, wie z.B. Phosphonsäure, Phosphonsäure-Dimethylester, Phosphonsäure-Di-n-butylester, Phenylphosphonsäure, Phosphonsäure-Diphenylester und Phosphonsäure-Dibenzylester, und Phosphinsäure und deren Derivate, wie z.B. ihre Ester einschließlich Phosphinsäure und Phenylphosphinsäure, ein.Alternatively, an organic acid can be used as the scavenger. Specific embodiments of organic acids that can be employed include malonic acid, citric acid, malic acid, succinic acid, benzoic acid, salicylic acid, phosphoric oxo acid and their derivatives such as phosphoric acid and their derivatives such as their esters such as phosphoric acid, phosphoric acid Di-n-butyl ester and phosphoric acid diphenyl ester, phosphonic acid and derivatives thereof such as their esters such as phosphonic acid, phosphonic acid dimethyl ester, phosphonic acid di-n-butyl ester, phenylphosphonic acid, phosphonic acid diphenyl ester and phosphonic acid dibenzyl ester, and phosphinic acid and their derivatives such as their esters including phosphinic acid and phenylphosphinic acid.

Ein weiterer Zusatzstoff, der zum Fotoresist 401 hinzugefügt werden kann, ist ein Stabilisator, der dazu beiträgt, dass eine unerwünschte Diffusion der Säuren, die während der Exposition des Fotoresists 401 erzeugt wird, verhindert wird. In einer Ausführungsform kann der Stabilisator Stickstoffverbindungen, wie z.B. aliphatische primäre, sekundäre und tertiäre Amine, zyklische Amine, wie z.B. Piperidine, Pyrrolidine, Morpholine, aromatische Heterocyclen, wie z.B. Pyridine, Pyrimidine, Purine, Imine, wie z.B. Diazobicycloundecen, Guanidine, Imide, Amide und andere enthalten. Alternativ können für den Stabilisator auch Ammoniumsalze einschließlich Ammonium, primärer, sekundärer, tertiärer und quaternärer Alkyl- und Arylammoniumsalze von Alkoxiden einschließlich Hydroxid, Phenolate, Carboxylate, Aryl- und Alkylsulfonate, Sulfonamide und anderer verwendet werden. Es können auch andere kationische Stickstoffverbindungen einschließlich Pyridiniumsalze und Salze anderer heterocyclischer Stickstoffverbindungen mit Anionen, wie z.B. Alkoxiden einschließlich Hydroxid, Phenolate, Carboxylate, Aryl- und Alkylsulfonate, Sulfonamide und dergleichen, genutzt werden.Another additive that can be added to photoresist 401 is a stabilizer to help prevent unwanted diffusion of the acids generated during photoresist 401 exposure. In one embodiment, the stabilizer can be nitrogen compounds such as aliphatic primary, secondary and tertiary amines, cyclic amines such as piperidines, pyrrolidines, morpholines, aromatic heterocycles such as pyridines, pyrimidines, purines, imines such as diazobicycloundecene, guanidines, imides, Amides and others included. Alternatively, ammonium salts including ammonium, primary, secondary, tertiary and quaternary alkyl and aryl ammonium salts of alkoxides including hydroxide, phenates, carboxylates, aryl and alkyl sulfonates, sulfonamides and others can also be used for the stabilizer. Other cationic nitrogen compounds including pyridinium salts and salts of other heterocyclic nitrogen compounds with anions such as alkoxides including hydroxide, phenates, carboxylates, aryl and alkyl sulfonates, sulfonamides and the like can also be used.

Noch ein weiterer Zusatzstoff, der zum Fotoresist 401 hinzugefügt werden kann, kann ein Auflösungshemmer sein, um beizutragen, das Auflösen des Fotoresists 401 während der Entwicklung zu steuern. In einer Ausführungsform können Gallensalzester als der Auflösungshemmer verwendet werden. Spezifische Beispiele für Materialien, die verwendet werden können, schließen Cholsäure (IV), Desoxycholsäure (V), Lithocholsäure (VI), t-Butyldesoxycholat (VII), t-Butyllithocholat (VIII) und t-Butyl-3-α-acetyllithocholat (IX) ein.Yet another additive that can be added to photoresist 401 can be a dissolution inhibitor to help control dissolution of photoresist 401 during development. In one embodiment, bile salt esters can be used as the dissolution inhibitor. Specific examples of materials that can be used include cholic acid (IV), deoxycholic acid (V), lithocholic acid (VI), t-butyl deoxycholate (VII), t-butyl lithocholate (VIII), and t-butyl 3-α-acetyllithocholate ( ix) a.

Ein weiterer Zusatzstoff, der zum Fotoresist 401 hinzugefügt werden kann, kann ein Weichmacher sein. Weichmacher können verwendet werden, um die Schichtablösung und die Spaltbildung zwischen dem Fotoresist 401 und darunterliegenden Schichten (z.B. der BARC-Schicht 105) zu reduzieren, und sie können monomere, oligomere und polymere Weichmacher, wie z.B. Oligo-Polyethylenglycolether, cycloaliphatische Ether und säurefreie reaktive steroidal abgeleitete Materialien, umfassen. Spezifische Beispiele für Materialien, die für die Weichmacher verwendet werden können, schließen Dioctylphthalat, Diisodecylphthalat, Triethylenglycoldicaprylat, Dimethylglycolphthalat, Trikresylphosphat, Dioctyladipat, Dibutylsebacat, Triacetylglycerin und dergleichen ein.Another additive that can be added to the photoresist 401 can be a plasticizer. Plasticizers can be used to reduce delamination and gapping between the photoresist 401 and underlying layers (e.g., the BARC layer 105), and they can include monomeric, oligomeric, and polymeric plasticizers such as oligo-polyethylene glycol ethers, cycloaliphatic ethers, and acid-free reactives steroidally derived materials. Specific examples of materials that can be used for the plasticizers include dioctyl phthalate, diisodecyl phthalate, triethylene glycol dicaprylate, dimethyl glycol phthalate, tricresyl phosphate, dioctyl adipate, dibutyl sebacate, triacetylglycerol, and the like.

Noch ein weiterer Zusatzstoff, der hinzugefügt werden kann, enthält ein Färbemittel, das den Betrachtern hilft, den Fotoresist 401 zu überprüfen und beliebige Defekte zu finden, deren Beseitigung vor der weiteren Verarbeitung erforderlich sein kann. In einer Ausführungsform kann das Färbemittel entweder ein Triarylmethan-Farbstoff oder alternativ ein organisches Feinteilchenpigment sein. Spezifische Beispiele für Materialien, die als Färbemittel verwendet werden können, schließen Kristallviolett, Methylviolett, Ethylviolett, Ölblau #603, Victoria Reinblau BOH, Malachitgrün, Diamantgrün, Phthalocyanin-Pigmente, Azo-Pigmente, Rußschwarz, Titanoxid, Brillantgrün-Farbstoff (C.I. 42020), Victoria Pure Blue FGA (Linebrow), Victoria BO (Linebrow) (C.I. 42595), Victoria Blue BO (C.I.44045), Rhodamin 6G (C.I. 45160), Benzophenon-Verbindungen, wie z.B. 2,4-Dihydroxybenzophenon und 2,2',4,4'-Tetrahydroxybenzophenon, Salicylsäureverbindungen, wie z.B. Phenylsalicylat und 4-t-Butylphenylsalicylat, Phenylacrylat-Verbindungen, wie z.B. Ethyl-2-cyan-3,3-diphenylacrylat, 2'-Ethylhexyl-2-cyan-3,3-diphenylacrylat, Benzotriazol-Verbindungen, wie z.B. 2-(2-Hydroxy-5-methylphenyl)-2H-benzotriazol und 2-(3-t-Butyl-2-hydroxy-5-methylphenyl)-5-chlor-2H-benzotriazol, Kumarinverbindungen, wie z.B. 4-Methyl-7-diethylamin-1-benzopyran-2-on, Thioxanthonverbindungen, wie z.B. Diethylthioxanthon, Stilbenverbindungen, Naphtalsäureverbindungen, Azo-Farbstoff, Phthalocyanin-Blau, Phthalocyanin-Grün, Victoria-Blau, Kristallviolett, Titanoxid, Rußschwarz, Naphthalenschwarz, Photopia-Methylviolett, Bromphenolblau und Bromkresolgrün, Laserfarbstoffe, wie z.B. Rhodamin G6, Kumarin 500, DCM (4-(Dicyanmethylen)-2-methyl-6-(4-dimethylaminostyryl)-4H-pyran), Kiton Red 620, Pyrromethene 580 oder dergleichen ein. Außerdem können ein oder mehrere Färbemittel in Kombination verwendet werden, um den gewünschten Farbton zu erreichen.Yet another additive that can be added includes a colorant that helps viewers inspect the photoresist 401 and find any defects that may need to be corrected before further processing. In one embodiment, the colorant can be either a triarylmethane dye or, alternatively, a fine particle organic pigment. Specific examples of materials that can be used as the colorant include Crystal Violet, Methyl Violet, Ethyl Violet, Oil Blue #603, Victoria Pure Blue BOH, Malachite Green, Diamond Green, Phthalocyanine Pigments, Azo Pigments, Carbon Black, Titanium Oxide, Brilliant Green Dye (C.I. 42020) , Victoria Pure Blue FGA (Linebrow), Victoria BO (Linebrow) (C.I. 42595), Victoria Blue BO (C.I.44045), Rhodamine 6G (C.I. 45160), benzophenone compounds such as 2,4-dihydroxybenzophenone and 2,2' ,4,4'-Tetrahydroxybenzophenone, salicylic acid compounds such as phenyl salicylate and 4-t-butylphenyl salicylate, phenyl acrylate compounds such as ethyl 2-cyano-3,3-diphenyl acrylate, 2'-ethylhexyl-2-cyano-3,3 -diphenyl acrylate, benzotriazole compounds such as 2-(2-hydroxy-5-methylphenyl)-2H-benzotriazole and 2-(3-t-butyl-2-hydroxy-5-methylphenyl)-5-chloro-2H-benzotriazole , coumarin compounds such as 4-methyl-7-diethylamino-1-benzopyran-2-one, thioxanthone compounds such as diethylthioxanthone, stilbene compounds, naphthalic acid compounds, azo dye, phthalocyanine blue, phthalocyanine green, Victoria blue, crystal violet, titanium oxide , Carbon Black, Naphthalene Black, Photopia Methyl Violet, Bromophenol Blue and Bromocresol Green, Laser dyes such as Rhodamine G6, Coumarin 500, DCM (4-(dicyanomethylene)-2-methyl-6-(4-dimethylaminostyryl)-4H-pyran), Kiton Red 620, Pyrromethene 580 or the like. In addition, one or more colorants can be used in combination to achieve the desired hue.

Dem Fotoresist 401 können auch Adhäsionszusatzstoffe hinzugefügt werden, um die Adhäsion zwischen dem Fotoresist 401 und einer darunterliegenden Schicht zu unterstützen, auf die der Fotoresist 401 aufgetragen wurde (z.B. die BARC-Schicht 105). In einer Ausführungsform schließen die Adhäsionszusatzstoffe eine Silanverbindung mit mindestens einem reaktiven Substituenten, wie z.B. einer Carboxylgruppe, Methacryloylgruppe, Isocyanatgruppe und/oder Epoxygruppe, ein. Spezifische Beispiele für die Adhäsionskomponenten schließen Trimethoxysilyl-Benzoesäure, γ-Methacryloxypropyltrimethoxysilan, Vinyltriacetoxysilan, Vinyltrimethoxysilan, γ-Isocyanatpropyltriethoxysilan, γ-Glycidoxypropyltrimethoxysilan, β-(3,4-Epoxycyclohexyl)ethyltrimethoxysilan, Benzimidazole und Polybenzimidazole, mit einem niederen Hydroxyalkyl substituiertes Pyridin-Derivat, eine heterocyclische Stickstoffverbindung, Harnstoff, Thioharnstoff, eine phosphororganische Verbindung, 8-Oxychinolin, 4-Hydroxypteridin und Derivate, 1,10-Phenanthrolin und Derivate, 2,2'-Bipyridin und Derivate, Benzotriazole; phosphororganische Verbindungen, Phenylendiaminverbindungen, 2-Amin-1-phenylethanol, N-Phenylethanolamin, N-Ethyldiethanolamin, N-Ethylethanolamin und Derivate, Benzothiazol und Benzothiazolaminsalz mit einem Cyclohexylring und einem Morpholinring, 3-Glycidoxypropyltrimethoxysilan, 3-Glycidoxypropyltriethoxysilan, 3-Mercaptopropyltrimethoxysilan, 3-Mercaptopropyltriethoxysilan, 3-Methacryloyloxypropyltrimethoxysilan, Vinyltrimethoxysilan, Kombinationen derselben oder dergleichen ein.Adhesion additives may also be added to photoresist 401 to promote adhesion between photoresist 401 and an underlying layer to which photoresist 401 has been applied (eg, BARC layer 105). In one embodiment, the adhesion additives include a silane compound having at least one reactive substituent such as a carboxyl group, methacryloyl group, isocyanate group, and/or epoxy group. Specific examples of the adhesion components include trimethoxysilylbenzoic acid, γ-methacryloxypropyltrimethoxysilane, vinyltriacetoxysilane, vinyltrimethoxysilane, γ-isocyanatopropyltriethoxysilane, γ-glycidoxypropyltrimethoxysilane, β-(3,4-epoxycyclohexyl)ethyltrimethoxysilane, benzimidazoles and polybenzimidazoles, pyridine substituted with a lower hydroxyalkyl derivative, a heterocyclic nitrogen compound, urea, thiourea, an organophosphorus compound, 8-oxyquinoline, 4-hydroxypteridine and derivatives, 1,10-phenanthroline and derivatives, 2,2'-bipyridine and derivatives, benzotriazoles; organophosphorus compounds, phenylenediamine compounds, 2-amino-1-phenylethanol, N-phenylethanolamine, N-ethyldiethanolamine, N-ethylethanolamine and derivatives, benzothiazole and benzothiazole amine salt having a cyclohexyl ring and a morpholine ring, 3-glycidoxypropyltrimethoxysilane, 3-glycidoxypropyltriethoxysilane, 3-mercaptopropyltrimethoxysilane, 3-mercaptopropyltriethoxysilane, 3-methacryloyloxypropyltrimethoxysilane, vinyltrimethoxysilane, combinations thereof or the like.

Oberflächennivellierende Mittel können dem Fotoresist 401 zusätzlich beigefügt werden, um beizutragen, dass die obere Fläche des Fotoresists 401 eben ist, sodass auftreffendes Licht nicht durch eine unebene Oberfläche ungünstig verändert wird. In einer Ausführungsform können die oberflächennivellierenden Mittel fluoraliphatische Ester, hydroxylabgeschlossene fluorierte Polyether, fluorierte Ethylenglycolpolymere, Silicone, Acrylpolymer-Nivellierungsmittel, Kombinationen derselben oder dergleichen enthalten.Surface leveling agents can be added to the photoresist 401 to help the top surface of the photoresist 401 be flat so that incident light is not adversely affected by an uneven surface. In one embodiment, the surface leveling agents may include fluoroaliphatic esters, hydroxyl terminated fluorinated polyethers, fluorinated ethylene glycol polymers, silicones, acrylic polymer leveling agents, combinations thereof, or the like.

In einer Ausführungsform werden das Fotoresist-Polymerharz und die PACs zusammen mit beliebigen gewünschten Zusatzstoffen oder anderen Agenzien dem Fotoresistlösungsmittel zum Auftragen hinzugefügt. Sobald sie hinzugefügt sind, wird das Gemisch dann gemischt, um eine gleichmäßige Zusammensetzung über den Fotoresist 401 hinweg zu erreichen, um sicherzustellen, dass es keine Defekte gibt, die durch ein ungleichmäßiges Mischen oder eine nicht konstante Zusammensetzung des Fotoresists 401 verursacht werden. Sobald er zusammengemischt ist, kann der Fotoresist 401 entweder vor seiner Verwendung gelagert oder sofort verwendet werden.In one embodiment, the photoresist polymer resin and PACs are added to the photoresist solvent for coating along with any desired additives or other agents. Once added, the mixture is then mixed to achieve uniform composition across the photoresist 401 to ensure that there are no defects caused by uneven mixing or non-constant composition of the photoresist 401. Once mixed together, the photoresist 401 can either be stored prior to use or used immediately.

Sobald er fertig ist, kann der Fotoresist 401 eingesetzt werden, indem der Fotoresist 401 zunächst auf die BARC-Schicht 105 aufgetragen wird. Der Fotoresist 401 kann so auf die BARC-Schicht 105 aufgetragen werden, dass der Fotoresist 401 eine obere freiliegende Fläche der BARC-Schicht 105 bedeckt, und er kann unter Verwendung eines Prozesses, wie z.B. eines Rotationsbeschichtungsprozesses, Tauchbeschichtungsverfahrens, Luftmesserbeschichtungsverfahrens, Vorhangbeschichtungsverfahrens, Drahtbarrenbeschichtungsverfahrens, Gravurbeschichtungsverfahrens, Laminierungsverfahrens, Extrusionsbeschichtungsverfahrens, von Kombinationen derselben oder dergleichen ausgeführt werden. In einer Ausführungsform kann der Fotoresist 401 derart aufgetragen werden, dass er eine Dicke über der Oberfläche der BARC-Schicht 105 zwischen circa 10 nm und circa 300 nm, wie z.B. circa 150 nm, aufweist.Once complete, the photoresist 401 can be deployed by first applying the photoresist 401 to the BARC layer 105 . The photoresist 401 may be applied to the BARC layer 105 such that the photoresist 401 covers an upper exposed surface of the BARC layer 105 and may be coated using a process such as a spin coating process, dip coating process, air knife coating process, curtain coating process, wire bar coating process, gravure coating method, lamination method, extrusion coating method, combinations thereof or the like. In one embodiment, the photoresist 401 can be applied to have a thickness over the surface of the BARC layer 105 between about 10 nm and about 300 nm, such as about 150 nm.

Sobald der Fotoresist 401 auf dem Halbleitersubstrat aufgetragen ist, wird ein Vorheizen des Fotoresists 401 ausgeführt, um den Fotoresist 401 vor dem Exponieren auszuhärten und zu trocknen, um das Auftragen des Fotoresists 401 abzuschließen. Das Aushärten und Trocknen des Fotoresists 401 beseitigt den Fotoresistlösungsmittelbestandteil, lässt aber das Polymerharz, die PACs, die Fotoresistvernetzungsagenzien und die anderen ausgewählten Zusatzstoffe zurück. In einer Ausführungsform kann das Vorheizen bei einer Temperatur ausgeführt werden, die geeignet ist, das Fotoresistlösungsmittel zu verdampfen, wie z.B. zwischen circa 40 °C und 150 °C, obwohl die genaue Temperatur von den Materialien abhängt, die für den Fotoresist 401 gewählt wurden. Das Vorheizen wird für eine Zeit ausgeführt, die ausreichend ist, den Fotoresist 401 auszuhärten und zu trocknen, wie z.B. zwischen circa 10 Sekunden bis zu circa 5 Minuten, wie z.B. circa 90 Sekunden.Once the photoresist 401 is coated on the semiconductor substrate, a preheating of the photoresist 401 is performed to cure and dry the photoresist 401 prior to exposure to complete the application of the photoresist 401. The curing and drying of the photoresist 401 eliminates the photoresist solvent component but leaves behind the polymer resin, PACs, photoresist crosslinking agents, and other selected additives. In one embodiment, the preheating can be performed at a temperature suitable to vaporize the photoresist solvent, such as between about 40°C and 150°C, although the exact temperature depends on the materials chosen for the photoresist 401. The pre-bake is performed for a time sufficient to cure and dry the photoresist 401, such as from about 10 seconds to about 5 minutes, such as about 90 seconds.

Sobald er aufgetragen ist, kann der Fotoresist 401 exponiert werden, um einen exponierten Bereich 403 und einen nicht exponierten Bereich 405 im Fotoresist 401 auszubilden. In einer Ausführungsform kann die Exposition eingeleitet werden, indem das Substrat 101 und der Fotoresist 401, sobald sie ausgehärtet und getrocknet sind, zur Exposition in ein Fotoresist-Bildgebungsgerät 400 eingesetzt werden. Das Fotoresist-Bildgebungsgerät 400 kann eine Fotoresist-Trägerplatte 404, eine Fotoresist-Energiequelle 407, eine strukturierte Maske 409 zwischen der Fotoresist-Trägerplatte 404 und der Fotoresist-Energiequelle 407 und eine Fotoresist-Optik 413 umfassen. In einer Ausführungsform ist die Fotoresist-Trägerplatte 404 eine Fläche, auf der die Halbleitereinrichtung 100 und der Fotoresist 401 platziert oder befestigt werden können und die während der Exposition des Fotoresists 401 dem Substrat 101 eine Stütze und Steuerung bereitstellt. Außerdem kann die Fotoresist-Trägerplatte 404 entlang einer oder mehrerer Achsen beweglich sein sowie eine beliebige Heizung oder Kühlung für das Substrat 101 und den Fotoresist 401 bereitstellen, um zu verhindern, dass Temperaturgradienten den Expositionsprozess beeinflussen.Once applied, the photoresist 401 can be exposed to form an exposed area 403 and an unexposed area 405 in the photoresist 401 . In one embodiment, exposure may be initiated by placing the substrate 101 and photoresist 401, once cured and dried, in a photoresist imaging tool 400 for exposure. The photoresist imaging device 400 may include a photoresist backing plate 404 , a photoresist energy source 407 , a patterned mask 409 between the photoresist backing plate 404 and the photoresist energy source 407 , and photoresist optics 413 . In one embodiment, photoresist backing plate 404 is a surface onto which semiconductor device 100 and photoresist 401 can be placed or attached and which provides support and control to substrate 101 during exposure of photoresist 401 . Additionally, the photoresist support plate 404 may be moveable along one or more axes as well as provide arbitrary heating or cooling for the substrate 101 and photoresist 401 to prevent temperature gradients from affecting the exposure process.

In einer Ausführungsform führt die Fotoresist-Energiequelle 407 dem Fotoresist 401 eine Fotoresist-Energie 411, wie z.B. Licht, zu, um eine Reaktion der PACs herbeizuführen, die ihrerseits mit dem Fotoresist-Polymerharz reagieren, um diejenigen Anteile des Fotoresists 401 chemisch zu verändern, auf welche die Fotoresist-Energie 411 auftrifft. In einer Ausführungsform kann die Fotoresist-Energie 411 eine elektromagnetische Strahlung sein, wie z.B. g-Strahlen (mit einer Wellenlänge von circa 436 nm), i-Strahlen (mit einer Wellenlänge von circa 365 nm), Ultraviolettstrahlung, Strahlung im fernen Ultraviolett, Röntgenstrahlen, Elektronenstrahlen oder dergleichen. Die Fotoresist-Energiequelle 407 kann eine Quelle elektromagnetischer Strahlung sein und kann ein KrF-Excimer-Laserlicht (mit einer Wellenlänge von 248 nm), ein ArF-Excimer-Laserlicht (mit einer Wellenlänge von 193 nm), ein F2-Excimer-Laserlicht (mit einer Wellenlänge von 157 nm) und dergleichen sein, obwohl eine beliebige andere geeignete Quelle von Fotoresist-Energie 411, wie z.B. Quecksilberdampflampen, Xenonlampen, Kohlenstoff-Bogenlampen oder dergleichen, alternativ eingesetzt werden kann.In one embodiment, photoresist energy source 407 applies photoresist energy 411, such as light, to photoresist 401 to cause reaction of the PACs, which in turn react with the photoresist polymer resin to chemically alter those portions of photoresist 401 which the photoresist energy 411 impinges. In one embodiment, photoresist energy 411 may be electromagnetic radiation, such as g-rays (having a wavelength of about 436 nm), i-rays (having a wavelength of about 365 nm), ultraviolet radiation, far ultraviolet radiation, x-rays , electron beams or the like. The photoresist energy source 407 can be a source of electromagnetic Radiation may be KrF excimer laser light (having a wavelength of 248 nm), ArF excimer laser light (having a wavelength of 193 nm), F2 excimer laser light (having a wavelength of 157 nm), and the like although any other suitable source of photoresist energy 411, such as mercury vapor lamps, xenon lamps, carbon arc lamps, or the like, may alternatively be employed.

Die strukturierte Maske 409 ist zwischen der Fotoresist-Energiequelle 407 und dem Fotoresist 401 angeordnet, um Anteile der Fotoresist-Energie 411 zu blockieren, um eine strukturierte Energie 415 auszubilden, bevor die Fotoresist-Energie 411 tatsächlich auf den Fotoresist 401 auftrifft. In einer Ausführungsform kann die strukturierte Maske 409 eine Reihe von Schichten (z.B. Substrat, Absorptionsschichten, Antireflexionsbeschichtungsschichten, Abschirmschichten usw.) umfassen, um Anteile der Fotoresist-Energie 411 zu reflektieren, absorbieren oder auf andere Weise zu blockieren, dass diejenigen Teile des Fotoresists 401, bei denen eine Ausleuchtung nicht erwünscht ist, erreicht werden. Das gewünschte Muster kann in der strukturierten Maske 409 ausgebildet werden, indem Öffnungen durch die strukturierte Maske 409 hindurch in der gewünschten Ausleuchtungskontur ausgebildet werden.Patterned mask 409 is positioned between photoresist energy source 407 and photoresist 401 to block portions of photoresist energy 411 to form patterned energy 415 before photoresist energy 411 actually impinges photoresist 401 . In one embodiment, the patterned mask 409 may include a number of layers (e.g., substrate, absorption layers, anti-reflective coating layers, shielding layers, etc.) to reflect, absorb, or otherwise block portions of the photoresist energy 411 that those portions of the photoresist 401 , where illumination is not desired, can be achieved. The desired pattern can be formed in the patterned mask 409 by forming openings through the patterned mask 409 in the desired illumination contour.

Eine Optik (in 4A durch das mit 413 bezeichnete Trapez dargestellt) kann verwendet werden, um die Fotoresist-Energie 411, wenn sie von der Fotoresist-Energiequelle 407 ausgeht, durch die strukturierte Maske 409 strukturiert wird und auf den Fotoresist 401 hin gelenkt wird, zu bündeln, aufzuweiten, zu reflektieren oder auf andere Weise zu steuern. In einer Ausführungsform weist die Fotoresist-Optik 413 eine oder mehrere Linsen, Spiegel, Filter, Kombinationen derselben oder dergleichen auf, um die Fotoresist-Energie 411 längs ihres Weges zu steuern. Obwohl in 4A die Fotoresist-Optik 413 so dargestellt ist, als befinde sie sich zwischen der strukturierten Maske 409 und dem Fotoresist 401, können außerdem Elemente der Fotoresist-Optik 413 (z.B. einzelne Linsen, Spiegel usw.) auch an einer beliebigen Stelle zwischen der Fotoresist-Energiequelle 407 (wo die Fotoresist-Energie 411 erzeugt wird) und dem Fotoresist 401 angeordnet sein.An optics (in 4A represented by the trapezoid labeled 413) can be used to focus, expand, the photoresist energy 411 as it emanates from the photoresist energy source 407, is patterned through the patterned mask 409 and directed towards the photoresist 401, to reflect or otherwise control. In one embodiment, photoresist optics 413 includes one or more lenses, mirrors, filters, combinations thereof, or the like to control photoresist energy 411 along its path. Although in 4A Also, while photoresist optics 413 are shown as being between patterned mask 409 and photoresist 401, elements of photoresist optics 413 (eg, individual lenses, mirrors, etc.) can also be placed anywhere between the photoresist power source 407 (where the photoresist energy 411 is generated) and the photoresist 401 may be located.

In einer Ausführungsform ist die Halbleitereinrichtung 100 mit dem Fotoresist 401 auf der Fotoresist-Trägerplatte 404 angeordnet. Sobald das Muster auf die Halbleitereinrichtung 100 ausgerichtet wurde, erzeugt die Fotoresist-Energiequelle 407 die gewünschte Fotoresist-Energie 411 (z.B. Licht), die auf ihrem Weg zum Fotoresist 401 die strukturierte Maske 409 und die Fotoresist-Optik 413 durchläuft. Die strukturierte Energie 415 löst bei ihrem Auftreffen auf Anteile des Fotoresists 401 eine Reaktion der PACs im Fotoresist 401 aus. Die chemischen Reaktionsprodukte der PAC-Absorption der strukturierten Energie 415 (z.B. Säuren/Basen/freie Radikale) reagieren dann mit dem Fotoresist-Polymerharz, wobei sie den Fotoresist 401 in diesen Anteilen chemisch verändern, die durch die strukturierte Maske 409 hindurch belichtet wurden.In one embodiment, the semiconductor device 100 is arranged with the photoresist 401 on the photoresist carrier plate 404 . Once the pattern is aligned with the semiconductor device 100, the photoresist energy source 407 generates the desired photoresist energy 411 (e.g., light) that passes through the patterned mask 409 and photoresist optics 413 on its way to the photoresist 401. The structured energy 415 triggers a reaction of the PACs in the photoresist 401 when it hits portions of the photoresist 401 . The chemical reaction products of the PAC absorption of the patterned energy 415 (e.g. acids/bases/free radicals) then react with the photoresist polymer resin, chemically altering the photoresist 401 in those portions that were exposed through the patterned mask 409.

In einem spezifischen Beispiel, in dem die strukturierte Energie 415 Licht einer Wellenlänge von 193 nm ist, ist der PAC ein Fotosäuregenerator und die zu zerlegende Gruppe eine Carboxylsäuregruppe auf der Kohlenwasserstoffstruktur, und ein Vernetzungsagens wird verwendet, die strukturierte Energie 415 trifft auf den Fotosäuregenerator auf und der Fotosäuregenerator wird die auffallende strukturierte Energie 415 absorbieren. Diese Absorption initiiert, dass der Fotosäuregenerator ein Proton (z.B. ein H+-Atom) im Fotoresist 401 erzeugt. Wenn das Proton auf die Carboxylsäuregruppe auf der Kohlenwasserstoffstruktur trifft, dann reagiert das Proton mit der Carboxylsäuregruppe, wobei die Carboxylsäuregruppe chemisch verändert wird und die Eigenschaften des Fotoresist-Polymerharzes allgemein verändert werden. Die Carboxylsäuregruppe reagiert dann mit dem Fotoresist-Vernetzungsagens, um sich mit anderen Fotoresist-Polymerharzen im Fotoresist 401 zu vernetzen.In a specific example where the structured energy 415 is 193 nm wavelength light, the PAC is a photoacid generator and the group to be decomposed is a carboxylic acid group on the hydrocarbon structure and a crosslinking agent is used, the structured energy 415 impinges on the photoacid generator and the photoacid generator will absorb the incident structured energy 415. This absorption initiates the photoacid generator to produce a proton (e.g., an H+ atom) in the photoresist 401. When the proton encounters the carboxylic acid group on the hydrocarbon structure, the proton reacts with the carboxylic acid group, chemically altering the carboxylic acid group and generally changing the properties of the photoresist polymer resin. The carboxylic acid group then reacts with the photoresist crosslinking agent to crosslink with other photoresist polymer resins in photoresist 401.

Wahlweise kann die Exposition des Fotoresists 401 unter Verwendung einer Immersionslithografietechnik erfolgen. In einer derartigen Technik kann ein Immersionsmedium (in 2 nicht einzeln dargestellt) zwischen dem Fotoresist-Bildgebungsgerät 400 (und insbesondere zwischen einer Ausgangslinse der Fotoresist-Optik 413) und dem Fotoresist 401 angeordnet sein. Mit diesem Immersionsmedium an der Stelle kann der Fotoresist 401 mit der strukturierten Energie 415 strukturiert werden, die das Immersionsmedium durchläuft.Optionally, the exposure of the photoresist 401 can be done using an immersion lithography technique. In such a technique, an immersion medium (in 2 not shown individually) between the photoresist imager 400 (and in particular between an exit lens of the photoresist optics 413) and the photoresist 401. With this immersion medium in place, the photoresist 401 can be patterned with the patterned energy 415 passing through the immersion medium.

In dieser Ausführungsform kann eine Schutzschicht (in 4A auch nicht einzeln dargestellt) über dem Fotoresist 401 ausgebildet werden, um zu verhindern, dass das Immersionsmedium in einen direkten Kontakt mit dem Fotoresist 401 kommt und den Fotoresist 401 auslaugt oder auf andere Weise ungünstig beeinflusst. In einer Ausführungsform ist die Schutzschicht im Immersionsmedium derart unlöslich, dass das Immersionsmedium sie nicht auflösen wird, und sie ist derart im Fotoresist 401 nicht mischbar, dass die Schutzschicht den Fotoresist 401 nicht ungünstig beeinflussen wird. Außerdem ist die Schutzschicht durchsichtig, sodass die strukturierte Energie 415 ungehindert die Schutzschicht durchlaufen kann.In this embodiment, a protective layer (in 4A also not shown individually) may be formed over the photoresist 401 to prevent the immersion medium from coming into direct contact with the photoresist 401 and leaching or otherwise adversely affecting the photoresist 401. In one embodiment, the protective layer is insoluble in the immersion medium such that the immersion medium will not dissolve it and is immiscible in the photoresist 401 such that the Protective layer will not adversely affect the photoresist 401. In addition, the protective layer is transparent, allowing the structured energy 415 to freely pass through the protective layer.

In einer Ausführungsform weist die Schutzschicht ein Schutzschichtharz in einem Schutzschichtlösungsmittel auf. Das Material, das für das Schutzschichtlösungsmittel verwendet wird, ist mindestens zum Teil von den Bestandteilen abhängig, die für den Fotoresist 401 gewählt wurden, da das Schutzschichtlösungsmittel die Materialien des Fotoresist 401 nicht lösen sollte, um so eine Verschlechterung des Fotoresists 401 während des Aufbringens und der Verwendung der Schutzschicht zu vermeiden. In einer Ausführungsform weist die Schutzschicht Alkohollösungsmittel, fluorierte Lösungsmittel und Kohlenwasserstofflösungsmittel auf.In one embodiment, the protective layer comprises a protective layer resin in a protective layer solvent. The material used for the protective coating solvent is dependent, at least in part, on the ingredients chosen for the photoresist 401, since the protective coating solvent should not dissolve the materials of the photoresist 401 so as to prevent deterioration of the photoresist 401 during application and to avoid using the protective layer. In one embodiment, the protective layer includes alcohol solvents, fluorinated solvents, and hydrocarbon solvents.

Spezifische Beispiele für Materialien, die für das Schutzschichtlösungsmittel eingesetzt werden können, schließen Methanol, Ethanol, 1-Propanol, Isopropanol, n-Propanol, 1-Butanol, 2-Butanol, 2-Methyl-2-Propanol, 3-Methyl-1-Butanol, Isobutylalkohol, tert-Butylalkohol, 1-Pentanol, 2-Pentanol, 3-Pentanol, n-Hexanol, Cyclohexanol, 1-Hexanol, 1-Heptanol, 1-Octanol, 2-Hexanol, 2-Heptanol, 2-Octanol, 3-Hexanol, 3-Heptanol, 3-Octanol, 4-Octanol, 2-Methyl-2-Butanol, 3-Methyl-1-Butanol, 3-Methyl-2-Butanol, 2-Methyl-1-Butanol, 2-Methyl-1-Pentanol, 2-Methyl-2-Pentanol, 2-Methyl-3-Pentanol, 3-Methyl-1-Pentanol, 3-Methyl-2-Pentanol, 3-Methyl-3-Pentanol, 4-Methyl-1-Pentanol, 4-Methyl-2-Pentanol, 2,2,3,3,4,4-Hexafluor-1-butanol, 2,2,3,3,4,4,5,5-Octafluor-1-pentanol, 2,2,3,3,4,4,5,5,6,6-Decafluor-1-hexanol, 2,2,3,3,4,4-Hexafluor-1,5-pentandiol, 2,2,3,3,4,4,5,5-Octafluor-1,6-hexandiol, 2,2,3,3,4,4,5,5,6,6,7,7-Dodecafluor-1,8-diol, 2-Fluoranisol, 2,3-Difluoranisol, Perfluorhexan, Perfluorheptan, Perfluor-2-pentanon, Perfluor-2-butyltetrahydrofuran, Perfluortetrahydrofuran, Perfluortributylamin, Perfluortetrapentylamin, Toluen, Xylen und Anisol und aliphatische Kohlenwasserstofflösungsmittel, wie z.B. n-Heptan, n-Nonan, n-Octan, n-Decan, 2-Methylheptan, 3-Methylheptan, 3,3-Dimethylhexan, 2,3,4-Trimethylpentan, Kombinationen derselben oder dergleichen ein.Specific examples of materials that can be used for the protective layer solvent include methanol, ethanol, 1-propanol, isopropanol, n-propanol, 1-butanol, 2-butanol, 2-methyl-2-propanol, 3-methyl-1- Butanol, isobutyl alcohol, tert-butyl alcohol, 1-pentanol, 2-pentanol, 3-pentanol, n-hexanol, cyclohexanol, 1-hexanol, 1-heptanol, 1-octanol, 2-hexanol, 2-heptanol, 2-octanol, 3-hexanol, 3-heptanol, 3-octanol, 4-octanol, 2-methyl-2-butanol, 3-methyl-1-butanol, 3-methyl-2-butanol, 2-methyl-1-butanol, 2- Methyl-1-Pentanol, 2-Methyl-2-Pentanol, 2-Methyl-3-Pentanol, 3-Methyl-1-Pentanol, 3-Methyl-2-Pentanol, 3-Methyl-3-Pentanol, 4-Methyl- 1-Pentanol, 4-Methyl-2-Pentanol, 2,2,3,3,4,4-Hexafluoro-1-butanol, 2,2,3,3,4,4,5,5-Octafluoro-1- pentanol, 2,2,3,3,4,4,5,5,6,6-decafluoro-1-hexanol, 2,2,3,3,4,4-hexafluoro-1,5-pentanediol, 2, 2,3,3,4,4,5,5-octafluoro-1,6-hexanediol, 2,2,3,3,4,4,5,5,6,6,7,7-dodecafluoro-1, 8-diol, 2-fluoroanisole, 2,3-difluoroanisole, perfluorohexane, perfluoroheptane, perfluoro-2-pentanone, perfluoro-2-butyltetrahydrofuran, perfluorotetrahydrofuran, perfluorotributylamine, perfluorotetrapentylamine, toluene, xylene and anisole and aliphatic hydrocarbon solvents such as n-heptane , n-nonane, n-octane, n-decane, 2-methylheptane, 3-methylheptane, 3,3-dimethylhexane, 2,3,4-trimethylpentane, combinations thereof, or the like.

Ähnlich zum Fotoresist 401 kann das Schutzschichtharz eine Schutzschichtwiederholungseinheit aufweisen. In einer Ausführungsform kann die Schutzschichtwiederholungseinheit ein Acrylharz mit einer sich wiederholenden Wasserstoffstruktur sein, die eine Carboxylgruppe, eine alizyklische Struktur, eine Alkylgruppe mit einem bis zu fünf Kohlenstoffatomen, eine Phenolgruppe oder eine ein Fluoratom enthaltende Gruppe aufweist. Spezifische Beispiele der alizyklischen Struktur enthalten eine Cyclohexylgruppe, eine Adamantylgruppe, Norbornylgruppe, Isobornylgruppe, Tricyclodecylgruppe, Tetracyclodecylgruppe und dergleichen. Spezifische Beispiele der Alkylgruppe enthalten eine n-Butylgruppe, Isobutylgruppe oder dergleichen. Alternativ kann jedoch ein beliebiges geeignetes Schutzschichtharz verwendet werden.Similar to the photoresist 401, the protective layer resin may have a protective layer repeating unit. In one embodiment, the protective layer repeating unit may be an acrylic resin having a hydrogen repeating structure having a carboxyl group, an alicyclic structure, an alkyl group having one to five carbon atoms, a phenol group, or a group containing a fluorine atom. Specific examples of the alicyclic structure include cyclohexyl group, adamantyl group, norbornyl group, isobornyl group, tricyclodecyl group, tetracyclodecyl group and the like. Specific examples of the alkyl group include n-butyl group, isobutyl group or the like. However, any suitable protective coating resin may alternatively be used.

Die Schutzschichtzusammenstellung kann auch zusätzliche Zusatzstoffe aufweisen, um solche Dinge wie die Adhäsion, Oberflächennivellierung, Abdeckung und dergleichen zu unterstützen. Zum Beispiel kann die Schutzschichtzusammenstellung ferner ein Schutzschichtbenetzungsmittel aufweisen, obwohl auch andere Zusatzstoffe hinzugefügt werden können, und es ist vollauf beabsichtigt, dass alle derartigen Zusätze im Umfang der Ausführungsform enthalten sind. In einer Ausführungsform kann das Schutzschichtbenetzungsmittel ein alkyl-kationisches Benetzungsmittel, ein quaternäres kationisches Benetzungsmittel vom Amid-Typ, ein quaternäres kationisches Benetzungsmittel vom Ester-Typ, ein Aminoxid-Benetzungsmittel, ein Betain-Benetzungsmittel, ein Alkoxylat-Benetzungsmittel, ein Fettsäureester-Benetzungsmittel, ein Amid-Benetzungsmittel, ein Alkohol-Benetzungsmittel, ein Ethylendiamin-Benetzungsmittel oder ein Fluor und/oder Silizium enthaltendes Benetzungsmittel sein.The protective coating composition may also include additional additives to aid in such things as adhesion, surface leveling, hiding, and the like. For example, the protective layer composition may further comprise a protective layer wetting agent, although other additives may also be added, and all such additives are fully intended to be included within the scope of the embodiment. In one embodiment, the protective layer wetting agent may be an alkyl cationic wetting agent, an amide-type quaternary cationic wetting agent, an ester-type quaternary cationic wetting agent, an amine oxide wetting agent, a betaine wetting agent, an alkoxylate wetting agent, a fatty acid ester wetting agent, an amide wetting agent, an alcohol wetting agent, an ethylenediamine wetting agent, or a fluorine and/or silicon containing wetting agent.

Spezifische Beispiele für Materialien, die für das Schutzschichtbenetzungsmittel eingesetzt werden können, schließen Polyoxyethylenalkylether, wie z.B. Polyoxyethylenlaurylether, Polyoxyethylenstearylether, Polyoxyethylencetylether und Polyoxyethylenoleylether; Polyoxyethylenalkylarylether, wie z.B. Polyoxyethylenoctylphenolether und Polyoxyethylennonylphenolether; Polyoxyethylen-Polyoxypropylen-Blockcopolymere; Sorbitanfettsäureester, wie z.B. Sorbitanmonolaurat, Sorbitanmonopalmitat, Sorbitanmonostearat, Sorbitanmonooleat, Sorbitantrioleat und Sorbitantristearat; und Polyoxyethylensorbitanmonolaurat, Polyoxyethylensorbitanmonopalmitat, Polyoxyethylensorbitanmonostearat, Polyoxyethylensorbitantrioleat und Polyoxyethylensorbitantristearat ein.Specific examples of materials which can be used for the protective layer wetting agent include polyoxyethylene alkyl ethers such as polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene cetyl ether and polyoxyethylene oleyl ether; polyoxyethylene alkylaryl ethers such as polyoxyethylene octylphenol ether and polyoxyethylene nonylphenol ether; polyoxyethylene-polyoxypropylene block copolymers; sorbitan fatty acid esters such as sorbitan monolaurate, sorbitan monopalmitate, sorbitan monostearate, sorbitan monooleate, sorbitan trioleate and sorbitan tristearate; and polyoxyethylene sorbitan monolaurate, polyoxyethylene sorbitan monopalmitate, polyoxyethylene sorbitan monostearate, polyoxyethylene sorbitan trioleate and polyoxyethylene sorbitan tristearate.

Vor dem Auftragen der Schutzschicht auf den Fotoresist 401 werden dem Schutzschichtlösungsmittel zuerst das Schutzschichtharz und gewünschte Zusatzstoffe hinzugefügt, um eine Schutzschichtzusammenstellung auszubilden. Das Schutzschichtlösungsmittel wird dann gemischt, um sicherzustellen, dass die Schutzschichtzusammenstellung über die Schutzschichtzusammenstellung hinweg eine einheitliche Konzentration aufweist.Before applying the resist to the photoresist 401, the resist resin and desired additives are first added to the resist solvent to form a resist assembly. The protective coating solvent is then mixed to ensure that the protective coating composition has a uniform concentration throughout the protective coating composition.

Sobald die Schutzschichtzusammenstellung zum Auftragen bereit ist, kann die Schutzschichtzusammenstellung über dem Fotoresist 401 aufgetragen werden. In einer Ausführungsform kann das Aufbringen unter Verwendung eines Prozesses, wie z.B. eines Rotationsbeschichtungsprozesses, Tauchbeschichtungsverfahrens, Luftmesserbeschichtungsverfahrens, Vorhangbeschichtungsverfahrens, Drahtbarrenbeschichtungsverfahrens, Gravurbeschichtungsverfahrens, Laminierungsverfahrens, Extrusionsbeschichtungsverfahrens, von Kombinationen derselben oder dergleichen, ausgeführt werden. In einer Ausführungsform kann der Fotoresist 401 so aufgetragen werden, dass er eine Dicke über der Oberfläche des Fotoresists 401 von circa 100 nm aufweist.Once the protective layer composition is ready for application, the protective layer composition can be applied over the photoresist 401 . In one embodiment, the application may be performed using a process such as a spin coating process, dip coating process, air knife coating process, curtain coating process, wire bar coating process, gravure coating process, lamination process, extrusion coating process, combinations thereof, or the like. In one embodiment, the photoresist 401 can be applied to have a thickness over the surface of the photoresist 401 of about 100 nm.

Nachdem die Schutzschichtzusammenstellung auf dem Fotoresist 401 aufgetragen wurde, kann ein Schutzschicht-Vorheizen ausgeführt werden, um das Schutzschichtlösungsmittel zu beseitigen. In einer Ausführungsform kann das Schutzschicht-Vorheizen bei einer Temperatur ausgeführt werden, die geeignet ist, das Schutzschichtlösungsmittel zu verdampfen, wie z.B. zwischen circa 40 °C und 150 °C, obwohl die genaue Temperatur von den Materialien abhängt, die für die Schutzschichtzusammenstellung ausgewählt wurden. Das Schutzschicht-Vorheizen wird für eine Zeit ausgeführt, die ausreichend ist, die Schutzschichtzusammenstellung auszuhärten und zu trocknen, wie z.B. zwischen circa 10 Sekunden bis zu circa 5 Minuten, wie z.B. circa 90 Sekunden.After the resist composition has been applied to the photoresist 401, a resist prebake may be performed to remove the resist solvent. In one embodiment, the resist preheat can be performed at a temperature suitable to vaporize the resist solvent, such as between about 40°C and 150°C, although the exact temperature depends on the materials selected for the resist composition . The protective layer pre-bake is performed for a time sufficient to cure and dry the protective layer composition, such as from about 10 seconds to about 5 minutes, such as about 90 seconds.

Sobald die Schutzschicht über dem Fotoresist 401 platziert worden ist, wird die Halbleitereinrichtung 100 mit dem Fotoresist 401 und der Schutzschicht auf der Fotoresist-Trägerplatte 404 angeordnet, und das Immersionsmedium kann zwischen der Schutzschicht und der Fotoresist-Optik 413 angeordnet werden. In einer Ausführungsform ist das Immersionsmedium eine Flüssigkeit, die einen Brechungsindex aufweist, der größer als derjenige der umgebenden Atmosphäre ist, so z.B. ein Brechungsindex größer als 1. Beispiele für das Immersionsmedium schließen Wasser, Öl, Glycerin, Glycerol, Cycloalkanole oder dergleichen ein, obwohl alternativ ein beliebiges geeignetes Medium eingesetzt werden kann.Once the protective layer has been placed over the photoresist 401, the semiconductor device 100 with the photoresist 401 and protective layer is placed on the photoresist backing plate 404, and the immersion medium can be placed between the protective layer and the photoresist optic 413. In one embodiment, the immersion medium is a liquid that has a refractive index greater than that of the surrounding atmosphere, such as a refractive index greater than 1. Examples of the immersion medium include water, oil, glycerine, glycerol, cycloalkanols, or the like, though alternatively any suitable medium can be used.

Das Platzieren des Immersionsmediums zwischen der Schutzschicht und der Fotoresist-Optik 413 kann z.B. unter Verwendung eines Luftmesserverfahrens erfolgen, wodurch frisches Immersionsmedium in einen Bereich zwischen der Schutzschicht und der Fotoresist-Optik 413 eingebracht und unter Verwendung von Druckgas gesteuert wird, das zur Schutzschicht hin gelenkt wird, um eine Barriere auszubilden und das Immersionsmedium an der Ausbreitung zu hindern. In dieser Ausführungsform kann das Immersionsmedium eingebracht, verwendet und für die Wiederaufbereitung von der Schutzschicht abgelöst werden, sodass dort ein frisches Immersionsmedium vorhanden ist, das für den jeweiligen Abbildungsprozess verwendet wird. The placement of the immersion medium between the protective layer and the photoresist optic 413 can be done, for example, using an air knife method, whereby fresh immersion medium is introduced into an area between the protective layer and the photoresist optic 413 and controlled using pressurized gas that is directed towards the protective layer to form a barrier and prevent the immersion medium from spreading. In this embodiment, the immersion medium can be introduced, used and detached from the protective layer for reprocessing, so that there is a fresh immersion medium that is used for the respective imaging process.

Das oben beschriebene Luftmesserverfahren ist jedoch nicht das einzige Verfahren, durch welches der Fotoresist 401 unter Verwendung eines Immersionsverfahrens exponiert werden kann. Es kann auch ein beliebiges anderes geeignetes Verfahren für die Bildgebung des Fotoresists 401 unter Verwendung eines Immersionsmediums eingesetzt werden, wie z.B. das Versenken des gesamten Substrats 101 zusammen mit dem Fotoresist 401 und der Schutzschicht, die Verwendung von festen Barrieren anstelle gasförmiger Barrieren oder die Verwendung eines Immersionsmediums ohne eine Schutzschicht. Es kann ein beliebiges geeignetes Verfahren zum Exponieren des Fotoresists 401 durch das Immersionsmedium hindurch verwendet werden, und es ist vollauf beabsichtigt, dass alle derartigen Verfahren im Umfang der Ausführungsformen enthalten sind.However, the air knife method described above is not the only method by which the photoresist 401 can be exposed using an immersion method. Any other suitable method for imaging the photoresist 401 using an immersion medium may also be employed, such as burying the entire substrate 101 along with the photoresist 401 and protective layer, using solid barriers instead of gaseous barriers, or using a Immersion medium without a protective layer. Any suitable method of exposing the photoresist 401 through the immersion medium may be used, and all such methods are fully intended to be included within the scope of the embodiments.

Nachdem der Fotoresist 401 der strukturierten Energie 415 ausgesetzt worden ist, kann ein Nachexpositions-Ausheizen verwendet werden, um die Erzeugung, Verteilung und Reaktion der Säure/Base/freien Radikale, die durch das Auftreffen der strukturierten Energie 415 auf die PACs während der Exposition erzeugt wurden, zu unterstützen. Eine derartige Unterstützung hilft bei der Einleitung oder Verstärkung chemischer Reaktionen, die chemische Unterschiede zwischen dem exponierten Bereich 403 und dem nicht exponierten Bereich 405 im Fotoresist 401 erzeugen. Diese chemischen Unterschiede verursachen auch Unterschiede in der Löslichkeit zwischen dem exponierten Bereich 403 und dem nicht exponierten Bereich 405. In einer Ausführungsform kann dieses Nachexpositions-Ausheizen bei Temperaturen zwischen circa 50 °C und circa 160 °C und in einem Zeitabschnitt von zwischen circa 40 Sekunden und circa 120 Sekunden stattfinden.After the photoresist 401 has been exposed to the patterned energy 415, a post-exposure bake can be used to induce the generation, distribution, and reaction of the acid/base/free radicals generated by the patterned energy 415 impinging on the PACs during exposure were to support. Such assistance helps initiate or enhance chemical reactions that produce chemical differences between the exposed area 403 and the unexposed area 405 in photoresist 401 . These chemical differences also cause differences in solubility between the exposed area 403 and the unexposed area 405. In one embodiment, this post-exposure bake can be performed at temperatures between about 50°C and about 160°C and for a time period of between about 40 seconds and take place about 120 seconds.

4B zeigt ein Entwickeln des Fotoresists 401 unter Verwendung eines Entwicklers 417, nachdem der Fotoresist 401 exponiert worden ist. Nach der Entwicklung des Fotoresists 401 und nachdem das Nachexpositions-Ausheizen stattgefunden hat, kann der Fotoresist 401 entwickelt werden, wobei in Abhängigkeit von dem gewünschten Muster für den Fotoresist 401 entweder ein Positiventwickler oder ein Negativentwickler verwendet wird. In einer Ausführungsform, in der gewünscht wird, dass der exponierte Bereich 403 des Fotoresists 401 beseitigt wird, um eine Positiveinstellung auszubilden, kann ein Positiventwickler, wie z.B. eine basische wässrige Lösung, eingesetzt werden, um die Anteile des Fotoresists 401 zu beseitigen, die der strukturierten Energie 415 ausgesetzt worden sind und deren Löslichkeit durch die chemischen Reaktionen modifiziert und verändert wurde. Derartige basische wässrige Lösungen können Tetramethylammoniumhydroxid (TMAH), Tetrabutylammoniumhydroxid, Natriumhydroxid, Kaliumhydroxid, Natriumkarbonat, Natriumbikarbonat, Natriumsilikat, Natriummetasilikat, wässriges Ammoniak, Monomethylamin, Dimethylamin, Trimethylamin, Monoethylamin, Diethylamin, Triethylamin, Monoisopropylamin, Diisopropylamin, Triisopropylamin, Monobutylamin, Dibutylamin, Monoethanolamin, Diethanolamin, Triethanolamin, Dimethylaminoethanol, Diethylaminoethanol, Ammonium, Ätznatron, Ätzkali, Natriummetasilikat, Kaliummetasilikat, Natriumkarbonat, Tetraethylammoniumhydroxid, Kombinationen derselben oder dergleichen einschließen. 4B 12 shows developing photoresist 401 using developer 417 after photoresist 401 has been exposed. After development of the photoresist 401 and after the post-exposure bake has taken place, the photoresist 401 can be developed using either a positive developer or a negative developer depending on the desired pattern for the photoresist 401. In an embodiment where it is desired that the exposed area 403 of the Photoresist 401 is removed to form a positive image, a positive developer such as a basic aqueous solution can be used to remove portions of the photoresist 401 that have been exposed to the structured energy 415 and have their solubility modified by the chemical reactions and was changed. Such basic aqueous solutions may include tetramethylammonium hydroxide (TMAH), tetrabutylammonium hydroxide, sodium hydroxide, potassium hydroxide, sodium carbonate, sodium bicarbonate, sodium silicate, sodium metasilicate, aqueous ammonia, monomethylamine, dimethylamine, trimethylamine, monoethylamine, diethylamine, triethylamine, monoisopropylamine, diisopropylamine, triisopropylamine, monobutylamine, dibutylamine, monoethanolamine , diethanolamine, triethanolamine, dimethylaminoethanol, diethylaminoethanol, ammonium, caustic soda, caustic potash, sodium metasilicate, potassium metasilicate, sodium carbonate, tetraethylammonium hydroxide, combinations thereof, or the like.

Wird eine Negativentwicklung gewünscht, dann kann ein organisches Lösungsmittel oder kritisches Fluid eingesetzt werden, um diejenigen Anteile des Fotoresists 401 zu beseitigen, die nicht der Energie ausgesetzt wurden und daher noch ihre ursprüngliche Löslichkeit aufweisen. Spezifische Beispiele für Materialien, die eingesetzt werden können, schließen Kohlenwasserstofflösungsmittel, Alkohollösungsmittel, Etherlösungsmittel, Esterlösungsmittel, kritische Fluide, Kombinationen derselben und dergleichen ein. Spezifische Beispiele für Materialien, die für das Negativlösungsmittel verwendet werden können, schließen Hexan, Heptan, Octan, Toluol, Xylen, Dichlormethan, Chloroform, Tetrachlorkohlenstoff, Trichloräthylen, Methanol, Ethanol, Propanol, Butanol, kritisches Kohlenstoffdioxid, Diethylether, Dipropylether, Dibutylether, Ethylvinylether, Dioxan, Propylenoxid, Tetrahydrofuran, Cellosolve, Methyl-Cellosolve, Butyl-Cellosolve, Methylcarbitol, Diethylenglycolmonoethylether, Aceton, Methylethylketon, Methylisobutylketon, Isophoron, Cyclohexanon, Methylacetat, Ethylacetat, Propylacetat, Butylacetat, Pyridin, Formamid, N,N-Dimethylformamid oder dergleichen ein.If negative development is desired, then an organic solvent or critical fluid can be used to remove those portions of the photoresist 401 that have not been exposed to the energy and therefore retain their original solubility. Specific examples of materials that can be employed include hydrocarbon solvents, alcohol solvents, ether solvents, ester solvents, critical fluids, combinations thereof, and the like. Specific examples of materials that can be used for the negative solvent include hexane, heptane, octane, toluene, xylene, dichloromethane, chloroform, carbon tetrachloride, trichloroethylene, methanol, ethanol, propanol, butanol, critical carbon dioxide, diethyl ether, dipropyl ether, dibutyl ether, ethyl vinyl ether , dioxane, propylene oxide, tetrahydrofuran, cellosolve, methyl cellosolve, butyl cellosolve, methyl carbitol, diethylene glycol monoethyl ether, acetone, methyl ethyl ketone, methyl isobutyl ketone, isophorone, cyclohexanone, methyl acetate, ethyl acetate, propyl acetate, butyl acetate, pyridine, formamide, N,N-dimethylformamide, or the like a.

Wie ein Fachmann jedoch einsehen wird, dient die obige Beschreibung der Positiventwickler und Negativentwickler nur zur Veranschaulichung und ist nicht dafür vorgesehen, die Ausführungsformen auf die oben aufgeführten Entwickler allein zu beschränken. Vielmehr kann alternativ ein beliebiger geeigneter Entwicklertyp einschließlich Säure-Entwickler und sogar Wasser-Entwickler, der geeignet ist zum selektiven Beseitigen eines Teils des Fotoresists 401, der eine andersgeartete Eigenschaft (z.B. Löslichkeit) als ein anderer Teil des Fotoresists 401 aufweist, eingesetzt werden, und es ist vollauf beabsichtigt, dass alle derartigen Entwickler im Umfang der Ausführungsformen enthalten sind.However, as one skilled in the art will appreciate, the above description of positive developers and negative developers is for illustrative purposes only and is not intended to limit the embodiments to the developers listed above alone. Rather, any suitable type of developer, including acid developer and even water developer, capable of selectively eliminating a portion of photoresist 401 that has a different property (e.g., solubility) than another portion of photoresist 401 may alternatively be employed, and all such developers are fully intended to be included within the scope of the embodiments.

In einer Ausführungsform, in der die Immersionslithografie eingesetzt wird, um den Fotoresist 401 zu exponieren, und eine Schutzschicht eingesetzt wird, um den Fotoresist 401 vom Immersionsmedium abzuschirmen, kann der Entwickler 417 so gewählt werden, dass nur die Anteile des Fotoresists 401, bei denen das Beseitigen gewünscht wird, beseitigt werden, aber er kann auch so gewählt werden, dass in demselben Entwicklungsschritt die Schutzschicht beseitigt wird. Alternativ kann die Schutzschicht in einem getrennten Prozess beseitigt werden, so z.B. durch ein separates Lösungsmittel aus dem Entwickler 417 oder sogar durch einen Ätzprozess, um die Schutzschicht vom Fotoresist 401 vor der Entwicklung zu entfernen.In an embodiment in which immersion lithography is used to expose the photoresist 401 and a protective layer is used to shield the photoresist 401 from the immersion medium, the developer 417 can be chosen such that only the portions of the photoresist 401 where it is desired to remove it, but it can also be chosen so that the protective layer is removed in the same development step. Alternatively, the protective layer can be removed in a separate process, such as using a separate solvent from the developer 417 or even an etch process to remove the protective layer from the photoresist 401 prior to development.

4B zeigt eine Anwendung des Entwicklers 417 auf den Fotoresist 401, wobei z.B. ein Aufschleuderungsprozess verwendet wird. Bei diesem Prozess wird der Entwickler 417 auf den Fotoresist 401 von oben her bezüglich des Fotoresists 401 aufgetragen, während die Halbleitereinrichtung 100 (und der Fotoresist 401) gedreht wird. In einer Ausführungsform kann der Entwickler 417 mit einer Flussrate zwischen circa 300 ml/min und circa 1000 ml/min, wie z.B. circa 500 ml/min, zugeführt werden, während die Halbleitereinrichtung 100 mit einer Drehzahl zwischen circa 500 Umdrehungen pro Minute und circa 2500 Umdrehungen pro Minute, wie z.B. circa 1500 Umdrehungen pro Minute, gedreht wird. In einer Ausführungsform kann der Entwickler 417 eine Temperatur zwischen circa 10 °C und circa 80 °C, wie z.B. circa 50 °C, aufweisen, und die Entwicklung kann zwischen circa 1 Minute und circa 60 Minuten, wie z.B. circa 30 Minuten, dauern. 4B 12 shows an application of developer 417 to photoresist 401 using, for example, a spin-on process. In this process, the developer 417 is applied to the photoresist 401 from above the photoresist 401 while the semiconductor device 100 (and the photoresist 401) is rotated. In one embodiment, the developer 417 can be supplied at a flow rate of between about 300 ml/min and about 1000 ml/min, such as about 500 ml/min, while the semiconductor device 100 is fed at a speed of between about 500 rpm and about 2500 rpm revolutions per minute, such as about 1500 revolutions per minute. In one embodiment, developer 417 can have a temperature between about 10°C and about 80°C, such as about 50°C, and development can take between about 1 minute and about 60 minutes, such as about 30 minutes.

Obwohl der hier beschriebene Aufschleuderungsprozess ein geeignetes Verfahren für die Entwicklung des Fotoresists 401 nach der Exposition ist, ist er jedoch zur Veranschaulichung vorgesehen und nicht dazu gedacht, die Ausführungsformen einzuschränken. Vielmehr kann alternativ ein beliebiges geeignetes Verfahren für die Entwicklung einschließlich der Tauchprozesse, Puddle-Prozesse, Aufsprühprozesse, Kombinationen derselben oder dergleichen verwendet werden. Es ist vollauf beabsichtigt, dass alle derartigen Entwicklungsprozesse im Umfang der Ausführungsformen enthalten sind.Although the spin-on process described herein is a suitable method for developing the photoresist 401 after exposure, it is provided for purposes of illustration and is not intended to limit the embodiments. Rather, any suitable method of development may alternatively be used, including dipping processes, puddle processes, spraying processes, combinations thereof, or the like. All such development processes are fully intended to be included within the scope of the embodiments.

4B zeigt einen Querschnitt des Entwicklungsprozesses in einer Ausführungsform, in der ein Negativentwickler verwendet wird, um die nicht exponierten Bereiche des Fotoresists 401 zu beseitigen. Wie dargestellt ist, wird der Entwickler 417 auf den Fotoresist 401 aufgetragen und löst den nicht exponierten Anteil 405 des Fotoresists 401 auf. Dieses Auflösen und Beseitigen des nicht exponierten Anteils 405 des Fotoresists 401 hinterlässt eine freie Stelle im Fotoresist 401, die den Fotoresist 401 in der Form der strukturierten Energie 415 strukturiert, wodurch das Muster der strukturierten Maske 409 auf den Fotoresist 401 übertragen wird.
Sobald der Fotoresist 401 strukturiert worden ist, kann das Muster auf die BARC-Schicht 105 übertragen werden. In einer Ausführungsform, in der die BARC-Schicht 105 für den Entwickler 417 unlöslich zurückbleibt, kann die BARC-Schicht 105 unter Verwendung eines Ätzprozesses beseitigt werden, der den Fotoresist 401 (nun strukturiert) als eine Maskenschicht einsetzt. Der Ätzprozess kann ein Trockenätzprozess sein, in dem ein Ätzmittel, wie z.B. Sauerstoff, Stickstoff, Wasserstoff, Ammonium, Schwefelhexafluorid, Difluormethan, Stickstofftrifluorid, Chlortrifluorid, Chlor, Kohlenstoffmonoxid, Kohlenstoffdioxid, Helium, Bordichlorid, Argon, Fluor, Triflourmethan, Tetrafluormethan, Perfluorcyclobutan, Perfluorpropan, Kombinationen derselben oder dergleichen, eingesetzt wird. Alternativ können jedoch ein beliebiger anderer geeigneter Ätzprozess, wie z.B. Nassätzen, und beliebige andere geeignete Ätzmittel verwendet werden.
4B FIG. 4 shows a cross-section of the development process in an embodiment in which a negative developer is used to eliminate the unexposed areas of the photoresist 401. FIG. As shown, the developer 417 is applied to the photoresist 401 and dissolves the unexposed one Portion 405 of the photoresist 401 on. This dissolution and removal of the unexposed portion 405 of the photoresist 401 leaves a void in the photoresist 401 that patterns the photoresist 401 in the form of the patterned energy 415, thereby transferring the pattern of the patterned mask 409 to the photoresist 401.
Once the photoresist 401 has been patterned, the pattern can be transferred to the BARC layer 105. FIG. In an embodiment where the BARC layer 105 remains insoluble to the developer 417, the BARC layer 105 can be removed using an etch process that employs the photoresist 401 (now patterned) as a mask layer. The etching process may be a dry etching process in which an etchant such as oxygen, nitrogen, hydrogen, ammonium, sulfur hexafluoride, difluoromethane, nitrogen trifluoride, chlorine trifluoride, chlorine, carbon monoxide, carbon dioxide, helium, boron dichloride, argon, fluorine, trifluoromethane, tetrafluoromethane, perfluorocyclobutane, perfluoropropane, combinations thereof or the like is employed. Alternatively, however, any other suitable etching process, such as wet etching, and any other suitable etchant may be used.

Alternativ kann die BARC-Schicht 105 in einer Ausführungsform, in der die BARC-Schicht 105 eine säurelabile Gruppe aufweist, die reagieren kann, um die Vernetzung der vernetzten Polymere in der BARC-Schicht 105 aufzulösen und die Löslichkeit der BARC-Schicht 105 zu verändern, während des Entwicklungsprozesses durch den Entwickler 417 strukturiert werden. Insbesondere können die Generatoren während der Exposition eine Säure in der BARC-Schicht 105 erzeugen, die wirksam wird, um die Vernetzungsbindungen aufzubrechen und die Löslichkeit der BARC-Schicht 105 zu verändern. Dann kann in einem Positiventwicklungsprozess ein Positiventwickler verwendet werden, um sowohl den Fotoresist 401, der exponiert wurde, zu beseitigen als auch die BARC-Schicht 105 in demselben Prozess zu beseitigen. Es kann ein beliebiger geeigneter Strukturierungsprozess mit einer beliebigen geeigneten Zahl von Schritten eingesetzt werden, um sowohl den Fotoresist 401 als auch die BARC-Schicht 105 zu strukturieren und zu beseitigen, und es ist vollauf beabsichtigt, dass alle derartigen Prozesse und Schritte im Umfang der Ausführungen enthalten sind.Alternatively, in an embodiment in which the BARC layer 105 has an acid labile group that can react to uncrosslink the crosslinked polymers in the BARC layer 105 and alter the solubility of the BARC layer 105, the BARC layer 105 can be used , to be structured by the developer 417 during the development process. In particular, the generators can generate an acid in the BARC layer 105 during exposure, which acts to break the crosslink bonds and alter the solubility of the BARC layer 105. Then, in a positive development process, a positive developer can be used to remove both the photoresist 401 that has been exposed and the BARC layer 105 in the same process. Any suitable patterning process with any suitable number of steps may be employed to pattern and eliminate both photoresist 401 and BARC layer 105, and all such processes and steps are fully intended to be within the scope of the embodiments are included.

5 zeigt eine weitere Ausführungsform, in der die BARC-Schicht 105 in einem physischen Planarisierungsprozess, wie z.B. einem chemischen Polieren (CMP), verwendet wird. In einem CMP-Prozess wird eine Kombination aus Ätzmaterialien und Abschleifmaterialien in Kontakt mit der BARC-Schicht 105 (oder einer Schicht, die über der BARC-Schicht 105 liegt, wie z.B. dem Fotoresist 401) gebracht, und es wird ein Schleifkissen 501 verwendet, um die BARC-Schicht 105 (oder beliebige Schichten, die über der BARC-Schicht 105 liegen) abzuschleifen, bis eine gewünschte Dicke erreicht ist. 5 12 shows another embodiment in which the BARC layer 105 is used in a physical planarization process such as chemical polishing (CMP). In a CMP process, a combination of etch materials and abrasive materials are brought into contact with BARC layer 105 (or a layer overlying BARC layer 105, such as photoresist 401) and an abrasive pad 501 is used. to abrade BARC layer 105 (or any layers overlying BARC layer 105) until a desired thickness is achieved.

In dieser Ausführungsform bewirkt der Aufschwimmbereich 201 längs der oberen Fläche der BARC-Schicht 105, dass das Polymerharz sich im Aufschwimmbereich 201 mehr vernetzt als im Rest der BARC-Schicht 105. Von daher wird der Rest der BARC-Schicht 105 (der Anteil außerhalb des Aufschwimmbereichs 201) eine geringere Vernetzungsdichte aufweisen und flexibler als der Aufschwimmbereich 201 bleiben. Diese Flexibilität kann den Scherkräften, die mit dem physischen Abschleifen des chemischen mechanischen Poliervorgangs verbunden sind, besser widerstehen, ohne dass ein Defekt, so z.B. ein Ablösen, auftritt.In this embodiment, the floating region 201 along the top surface of the BARC layer 105 causes the polymeric resin in the floating region 201 to crosslink more than in the rest of the BARC layer 105. As such, the rest of the BARC layer 105 (the portion outside of the Floating area 201) have a lower crosslinking density and remain more flexible than the floating area 201. This flexibility can better withstand the shear forces associated with the physical abrasion of chemical mechanical polishing without failure, such as delamination.

6 stellt ein Beseitigen des Fotoresists 401 und der BARC-Schicht 105 mit dem Aufschwimmbereich 201 dar. In einer Ausführungsform kann der Fotoresist 401 beseitigt werden, indem z.B. ein Veraschungsprozess verwendet wird, wobei die Temperatur des Fotoresists 401 erhöht wird, bis der Fotoresist 401 eine thermische Zersetzung erfährt. Sobald er thermisch zersetzt ist, kann der Fotoresist 401 körperlich beseitigt, wobei ein oder mehrere Waschvorgänge verwendet werden. 6 12 illustrates removing photoresist 401 and BARC layer 105 with floating region 201. In one embodiment, photoresist 401 may be removed using, for example, an ashing process wherein the temperature of photoresist 401 is increased until photoresist 401 undergoes a thermal undergoes decomposition. Once thermally decomposed, the photoresist 401 can be physically removed using one or more washes.

Sobald der Fotoresist 401 beseitigt worden ist, kann die BARC-Schicht 105 (mit dem Aufschwimmbereich 201) beseitigt werden, wobei ein Fluid 601 verwendet wird, das auf die BARC-Schicht 105 einwirkt, um sowohl den Aufschwimmbereich 201 als auch den Rest der BARC-Schicht 105 zu beseitigen. In einer Ausführungsform ist das Fluid 601 ein Fluid, das entweder physikalisch, chemisch oder über Coulomb-Kräfte einwirkt, um ein Beseitigen der BARC-Schicht 105 zu bewirken. In einer speziellen Ausführungsform kann das Fluid 601 eine wässrige Lösung aufweisen. Ist das Fluid eine wässrige Lösung, dann kann das Fluid entweder sauer (z.B. mit einem pH-Wert zwischen circa -1 und 4) oder basisch (z.B. mit einem pH-Wert zwischen circa 9 und 14) sein. Der pH-Wert kann in diesen Ausführungsformen wie gewünscht unter Verwendung entweder organischer oder anorganischer Säuren oder Basen angepasst werden (wie nachfolgend beschrieben wird).Once the photoresist 401 has been removed, the BARC layer 105 (with the floating area 201) can be removed using a fluid 601 that acts on the BARC layer 105 to remove both the floating area 201 and the rest of the BARC - Eliminate layer 105. In one embodiment, the fluid 601 is a fluid that acts either physically, chemically, or via Coulomb forces to cause the BARC layer 105 to be eliminated. In a specific embodiment, the fluid 601 may include an aqueous solution. When the fluid is an aqueous solution, the fluid can be either acidic (e.g., having a pH between about -1 and 4) or basic (e.g., having a pH between about 9 and 14). The pH in these embodiments can be adjusted as desired using either organic or inorganic acids or bases (as described below).

Alternativ kann ein Nassreinigungsprozess verwendet werden, um die BARC-Schicht 105 zu beseitigen. In einer Ausführungsform, in der ein Nassreinigungsprozess eingesetzt wird, kann eine Lösung, wie z.B. eine SC-1- oder SC-2-Reinigungslösung, verwendet werden, obwohl alternativ andere Lösungen, wie z.B. ein Gemisch von H2SO4 und H2O2 (bekannt als SPM) oder eine Lösung von Fluorwasserstoff (HF), eingesetzt werden können. Es ist vollauf beabsichtigt, dass eine beliebige geeignete Lösung oder ein beliebiger geeigneter Prozess, die(der) zum Beseitigen der BARC-Schicht 105 eingesetzt werden kann, im Umfang der Ausführungsformen enthalten ist.Alternatively, a wet cleaning process can be used to remove the BARC layer 105 . In an embodiment employing a wet cleaning process, a solution such as an SC-1 or SC-2 cleaning solution may be used, although other solutions such as eg a mixture of H 2 SO 4 and H 2 O 2 (known as SPM) or a solution of hydrogen fluoride (HF) can be used. Any suitable solution or process that can be employed to eliminate the BARC layer 105 is fully intended to be within the scope of the embodiments.

Alternativ kann das Fluid 601 ein organisches Lösungsmittel sein. In dieser Ausführungsform kann das Fluid 601 ein Ester, Ether, Amid, Alkohol, Anhydrid oder Alkan mit zwischen 2 und 30 Kohlenstoffatomen sein. Alternativ kann jedoch ein beliebiges anderes geeignetes organisches Lösungsmittel, wie z.B. das BARC-Lösungsmittel oder das Fotoresist-Lösungsmittel, die oben erörtert wurden, eingesetzt werden.Alternatively, the fluid 601 can be an organic solvent. In this embodiment, the fluid 601 can be an ester, ether, amide, alcohol, anhydride, or alkane having between 2 and 30 carbon atoms. However, any other suitable organic solvent such as the BARC solvent or the photoresist solvent discussed above may alternatively be employed.

Das Fluid 601 kann z.B. unter Verwendung eines Nassätzprozesses auf die BARC-Schicht 105 aufgebracht werden. In einer Ausführungsform werden die BARC-Schicht 105 und der Aufschwimmbereich 201 in das Fluid 601 versenkt, wobei z.B. ein Tauchprozess, Puddle-Prozess, Aufsprühprozess, Kombinationen derselben oder dergleichen verwendet wird. Das Fluid 601 kann eine Temperatur zwischen circa 30 °C und circa 150 °C, so z.B. circa 50 °C, aufweisen.The fluid 601 may be applied to the BARC layer 105 using, for example, a wet etch process. In one embodiment, the BARC layer 105 and the flotation region 201 are submerged in the fluid 601 using, for example, a dipping process, puddle process, spray-on process, combinations thereof, or the like. The fluid 601 can have a temperature between about 30°C and about 150°C, such as about 50°C.

Da jedoch der Aufschwimmbereich in sich 201 einen höheren Grad der Vernetzung aufweist als der Rest der BARC-Schicht 105, weist der Aufschwimmbereich 201 auch eine größere Dichte als der Rest der BARC-Schicht 105 auf. Von daher wird der Aufschwimmbereich 201 auch eine andere Beseitigungsrate aus dem Fluid 601 als der Rest der BARC-Schicht 105 aufweisen. In einer speziellen Ausführungsform wird der Aufschwimmbereich 201 eine niedrigere Beseitigungsrate als der Rest der BARC-Schicht 105 aufweisen.However, because the floating region within itself 201 has a higher degree of cross-linking than the rest of the BARC layer 105, the floating region 201 also has a greater density than the rest of the BARC layer 105. As such, the buoyant region 201 will also have a different removal rate from the fluid 601 than the rest of the BARC layer 105. In a specific embodiment, the floating region 201 will have a lower clearance rate than the rest of the BARC layer 105. FIG.

Vorausgesetzt, der Rest der BARC-Schicht 105 weist eine schnellere Beseitigungsrate als der Aufschwimmbereich 201 auf, dann kann die BARC-Schicht 105 (die den Aufschwimmbereich 201 enthält) mit einer weit schnelleren Rate als andere BARC-Schichten, die den Aufschwimmbereich 201 nicht aufweisen können, beseitigt werden. Diese anderen BARC-Schichten (ohne den Aufschwimmbereich 201) können eine konstante Vernetzung und konstante Dichte aufweisen, bei der bis mindestens 10 Minuten nach dem Versenken keinerlei Beseitigen zu erkennen ist. Von daher kann in einer Ausführungsform, bei der die BARC-Schicht 105 und der Aufschwimmbereich 201 in das Fluid 601 versenkt werden, das Versenken für eine Zeit von weniger als circa 1 Minute erfolgen.Provided the remainder of BARC layer 105 has a faster clearance rate than floatation area 201, then BARC layer 105 (which contains floatation area 201) can at a far faster rate than other BARC layers that do not have floatation area 201 can be eliminated. These other BARC layers (excluding the floating region 201) may have a constant crosslinking and constant density with no apparent removal until at least 10 minutes after sunk. As such, in an embodiment where the BARC layer 105 and the buoyancy region 201 are immersed in the fluid 601, the immersion may occur for a time of less than about 1 minute.

In einer Ausführungsform, in der das Fluid 601 chemische Reaktionen nutzt, um die BARC-Schicht 105 und den Aufschwimmbereich 201 zu beseitigen, kann das Fluid 601 mit der BARC-Schicht 105 in einer Anzahl von Verfahren reagieren, um das Beseitigen zu bewirken. Zum Beispiel kann die chemische Reaktion eine Oxidations-/Reduktions-Reaktion, eine Säure-/Base-Reaktion, eine Substitutionsreaktion, eine Additionsreaktion, Kombinationen derselben oder dergleichen sein. Zum Beispiel kann das Fluid 601 eine anorganische Säure (z.B. Sulfonsäure, Salzsäure, Schwefelsäure), eine organische Säure (z.B. Essigsäure), eine anorganische Base (z.B. Natriumhydroxid oder Kaliumhydroxid) oder eine organische Base (z.B. Triethylamin, Pyridin, Methylamin, Tetramethylammoniumhydroxid, Tetrabutylammoniumhydroxid, Cholin, Guanidin, Imidazol, Lithiumorganyle oder Grignard-Reagenz) aufweisen, um mit der BARC-Schicht 105 zu reagieren. Es kann ein beliebiger geeigneter Typ einer chemischen Reaktion genutzt werden, um die BARC-Schicht 105 und den Aufschwimmbereich 201 zu beseitigen.In an embodiment where the fluid 601 uses chemical reactions to eliminate the BARC layer 105 and the buoyancy region 201, the fluid 601 can react with the BARC layer 105 in a number of methods to effect the elimination. For example, the chemical reaction can be an oxidation/reduction reaction, an acid/base reaction, a substitution reaction, an addition reaction, combinations thereof, or the like. For example, the fluid 601 can be an inorganic acid (e.g. sulfonic acid, hydrochloric acid, sulfuric acid), an organic acid (e.g. acetic acid), an inorganic base (e.g. sodium hydroxide or potassium hydroxide), or an organic base (e.g. triethylamine, pyridine, methylamine, tetramethylammonium hydroxide, tetrabutylammonium hydroxide , choline, guanidine, imidazole, lithium organyls or Grignard reagent) to react with the BARC layer 105. Any suitable type of chemical reaction can be used to eliminate the BARC layer 105 and the buoyant region 201 .

Alternativ könnten in einer Ausführungsform, in der im Beseitigungsprozess das Fluid 601 verwendet wird, um physikalische Kräfte zum Beseitigen der BARC-Schicht 105 und des Aufschwimmbereichs 201 einzusetzen, die physikalischen Kräfte Coulomb-Kräfte sein, wobei das Fluid 601 genutzt wird, um die Oberflächenenergie der BARC-Schicht 105 zu verändern. Durch Verändern der Oberflächenenergie kann die Adhäsion zwischen der BARC-Schicht 105 und den darunterliegenden Schichten (z.B. dem Substrat und den Finnen 103) verringert oder aufgehoben werden, wodurch die BARC-Schicht 105 mindestens zum Teil von ihrer Adhäsion mit den darunterliegenden Schichten befreit und es ermöglicht wird, dass die die BARC-Schicht 105 von den darunterliegenden Schichten entfernt wird.Alternatively, in an embodiment where the removal process uses the fluid 601 to apply physical forces to remove the BARC layer 105 and the buoyancy region 201, the physical forces could be Coulomb forces, with the fluid 601 being used to calculate the surface energy of the BARC layer 105 to change. By changing the surface energy, the adhesion between the BARC layer 105 and the underlying layers (e.g. the substrate and the fins 103) can be reduced or eliminated, thereby at least partially freeing the BARC layer 105 from its adhesion to the underlying layers and it allowing the BARC layer 105 to be removed from the underlying layers.

Das Fluid 601 kann ferner Zusatzstoffe enthalten, die entweder die physikalischen Eigenschaften des Fluids 601 unterstützen oder anderenfalls die chemischen Reaktionen zwischen dem Fluid 601 und der BARC-Schicht 105 fördern. In einer Ausführungsform kann das Fluid 601 zusätzlich ein Benetzungsmittel enthalten. In einer Ausführungsform kann das Benetzungsmittel nichtionische Benetzungsmittel, Polymere mit fluorierten aliphatischen Gruppen, Benetzungsmittel, die mindestens ein Fluoratom und/oder mindestens ein Siliziumatom enthalten, Polyoxyethylenalkylether, Polyoxyethylenalkylarylether, Polyoxyethylen-Polyoxypropylen-Blockcopolymere, Sorbitanfettsäureester, Polyoxyethylen-Sorbitanfettsäureester enthalten.The fluid 601 may also include additives that either enhance the physical properties of the fluid 601 or otherwise enhance the chemical reactions between the fluid 601 and the BARC layer 105 . In one embodiment, the fluid 601 can additionally contain a wetting agent. In one embodiment, the wetting agent may contain nonionic wetting agents, polymers having fluorinated aliphatic groups, wetting agents containing at least one fluorine atom and/or at least one silicon atom, polyoxyethylene alkyl ethers, polyoxyethylene alkylaryl ethers, polyoxyethylene-polyoxypropylene block copolymers, sorbitan fatty acid esters, polyoxyethylene sorbitan fatty acid esters.

Spezifische Beispiele für Materialien, die als Benetzungsmittel verwendet werden können, schließen Polyoxyethylenlaurylether, Polyoxyethylenstearylether, Polyoxyethylencetylether, Polyoxyethylenoleylether, Polyoxyethylenoctylphenolether, Polyoxyethylennonylphenolether, Sorbitanmonolaurat, Sorbitanmonopalmitat, Sorbitanmonostearat, Sorbitanmonooleat, Sorbitantrioleat, Sorbitantristearat, Polyoxyethylensorbitanmonolaurat, Polyoxyethylensorbitanmonopalmitat, Polyoxyethylensorbitanmonostearat, Polyoxyethylensorbitantrioleat, Polyoxyethylensorbitantristearat, Polyethylenglycoldistearat, Polyethylenglycoldilaurat, Polyethylenglycol, Polypropylenglycol, Polyoxyethylenstearylether und Polyoxyethylencetylether; Fluor enthaltende kationische Benetzungsmittel, Fluor enthaltende nichtionische Benetzungsmittel, Fluor enthaltende anionische Benetzungsmittel, kationische Benetzungsmittel und anionische Benetzungsmittel, Polyethylenglycol, Polypropylenglycol, Polyoxyethylencetylether, Kombinationen derselben oder dergleichen ein.Specific examples of materials that can be used as wetting agents include polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene cetyl ether, polyoxyethylene oleyl ether, polyoxyethylene octylphenol ether, polyoxyethylene nonylphenol ether, sorbitan monolaurate, sorbitan monopalmitate, sorbitan monostearate, sorbitan monooleate, sorbitan trioleate, sorbitan tristearate, polyoxyethylene sorbitan monolaurate, polyoxyethylene sorbitan monopalmitate, polyoxyethylene sorbitan monostearate, polyoxyethylene sorbitan trioleate, polyoxyethylene sorbitan tristearate, polyethylene glycol distearate, polyethylene glycol dilaurate , polyethylene glycol, polypropylene glycol, polyoxyethylene stearyl ether and polyoxyethylene cetyl ether; fluorine-containing cationic wetting agents, fluorine-containing nonionic wetting agents, fluorine-containing anionic wetting agents, cationic wetting agents and anionic wetting agents, polyethylene glycol, polypropylene glycol, polyoxyethylene cetyl ether, combinations thereof, or the like.

Außerdem kann das Fluid 601 auch zusätzliche Bestandteile enthalten, die zur Stabilisierung oder Steuerung der physikalischen Eigenschaften des Fluids 601 beitragen können. Zum Beispiel kann das Fluid 601 einen Bestandteil aufweisen, wie z.B. Ozon, das zum Stabilisieren des Fluids 601 verwendet werden sowie als ein Benetzungsmittel wirken kann, Wasserstoffperoxid und/oder Kohlenstoffdioxid, die bei der Veränderung einer Oberflächenladung nützlich sein können. Es können beliebige geeignete Materialien im Fluid 601 enthalten sein, um zur Regulierung des Fluids 601 beizutragen, und es ist vollauf beabsichtigt, dass alle derartigen Materialien im Umfang der Ausführungsformen enthalten sind.In addition, the fluid 601 may also contain additional components that may help stabilize or control the physical properties of the fluid 601 . For example, the fluid 601 can include an ingredient such as ozone, which can be used to stabilize the fluid 601 as well as act as a wetting agent, hydrogen peroxide, and/or carbon dioxide, which can be useful in changing a surface charge. Any suitable materials may be included in the fluid 601 to help regulate the fluid 601, and all such materials are fully intended to be included within the scope of the embodiments.

In speziellen Ausführungsformen kann das Fluid 601 eine Lösung in einem Reinigungsprozess Standard Clean 1 (SC-1) oder ein schwefelsaures Peroxidgemisch (SPM) sein. In der Ausführungsform zum Beispiel, in der das Fluid 601 ein SC-1-Fluid ist, kann das Fluid 601 eine Lösung von Ammoniumhydroxid (NH4OH), Wasserstoffperoxid (H2O2) und Wasser in einem geeigneten Verhältnis (so z.B. einem Verhältnis 1:1:5) sein. Eine derartige Lösung wird sowohl den Aufschwimmbereich 201 als auch den Rest der BARC-Schicht 105 lösen.In specific embodiments, the fluid 601 may be a solution in a Standard Clean 1 (SC-1) cleaning process or a sulfuric acid peroxide mixture (SPM). For example, in the embodiment where the fluid 601 is an SC-1 fluid, the fluid 601 may be a solution of ammonium hydroxide (NH 4 OH), hydrogen peroxide (H 2 O 2 ), and water in a suitable ratio (such as a ratio 1:1:5). Such a solution will dissolve both the floating region 201 and the remainder of the BARC layer 105.

Dadurch dass das Fluid 601 derart eingesetzt wird, dass es die BARC-Schicht 105 mit dem Aufschwimmbereich 201 löst, kann die Gesamtbeseitigungsrate der BARC-Schicht 105 mit Bezug auf eine BARC-Schicht ohne Aufschwimmbereich 201 verringert werden. Ohne den Aufschwimmbereich 201, wenn die BARC-Schicht eine konstante Dichte und eine konstante Vernetzung aufweisen kann, kann das Beseitigen zum Beispiel wesentlich schwieriger und wesentlich zeitaufwändiger sein, wobei es manchmal weit über 10 Minuten in Anspruch nimmt, um ein wirksames Beseitigen der BARC-Schicht zu gewährleisten. Durch Einbeziehen des Aufschwimmbereichs 201 jedoch kann das Beseitigen der gesamten BARC-Schicht 105 (die Bereiche unterschiedlicher Dichte aufweist) mit einer weit größeren Geschwindigkeit erreicht werden, sodass ein wirksames Beseitigen der BARC-Schicht 105 in einer weit kürzeren Zeit, wie z.B. in weniger als circa 1 Minute erreicht werden kann.By using the fluid 601 to dissolve the BARC layer 105 with the floating area 201, the overall removal rate of the BARC layer 105 relative to a BARC layer without the floating area 201 can be reduced. For example, without the floating region 201, when the BARC layer can be of constant density and constant crosslinking, removal can be significantly more difficult and significantly more time consuming, sometimes taking well over 10 minutes to effectively remove the BARC layer. ensure shift. However, by including the flotation region 201, the removal of the entire BARC layer 105 (which has regions of different densities) can be achieved at a far greater rate, such that effective removal of the BARC layer 105 occurs in a far shorter time, such as less than can be reached in about 1 minute.

Wie ein Fachmann einsehen wird, sind die oben beschriebenen Ausführungsformen, in denen die BARC-Schicht 105 zum Ausfüllen von Hohlräumen zwischen den Finnen 103 über dem Substrat 101 verwendet wird, außerdem lediglich zur Veranschaulichung gedacht und nicht dafür vorgesehen, die Ausführungsformen einzuschränken. Vielmehr kann alternativ ein beliebiger geeigneter Typ des Substrats 101 mit einem beliebigen geeigneten Typ von Strukturen auf dem Substrat 101 eingesetzt werden. Zum Beispiel kann in einer Ausführungsform, in der das Substrat 101 leitfähig ist, das Substrat 101 aus einem leitfähigen Material ausgebildet werden, wobei Prozesse verwendet werden, die ähnlich zu den Prozessen sind, die für die Metallisierungsschichten verwendet werden (z.B. Damaszierung, Dual-Damaszierung, Abscheidung usw.). In einer speziellen Ausführungsform, in der das Substrat 101 leitfähig ist, weist das leitfähige Material für das Substrat 101 mindestens ein Metall, eine Metalllegierung, ein Metallnitrid, Metallsulfid, Metallselenid, Metalloxid oder Metallsilicid auf. Zum Beispiel kann das leitfähige Material die Formel MXa aufweisen, wobei M ein Metall und X Stickstoff, Silizium, Selen, Sauerstoff oder Silizium ist und wobei a zwischen 0,4 und 2,5 liegt. Spezielle Beispiele schließen Kupfer, Titan, Aluminium, Kobalt, Ruthenium, Titannitrid, Wolframnitrid (WN2) und Tantalnitrid ein, wobei alternativ ein beliebiges geeignetes Material verwendet werden kann.Furthermore, as one skilled in the art will appreciate, the above-described embodiments in which the BARC layer 105 is used to fill voids between the fins 103 over the substrate 101 are intended for illustration only and are not intended to limit the embodiments. Rather, any suitable type of substrate 101 with any suitable type of structures on the substrate 101 can alternatively be used. For example, in an embodiment where the substrate 101 is conductive, the substrate 101 may be formed from a conductive material using processes similar to the processes used for the metallization layers (eg, damascene, dual damascene , separation, etc.). In a specific embodiment where the substrate 101 is conductive, the conductive material for the substrate 101 comprises at least one metal, metal alloy, metal nitride, metal sulfide, metal selenide, metal oxide, or metal silicide. For example, the conductive material may have the formula MX a where M is a metal and X is nitrogen, silicon, selenium, oxygen or silicon and where a is between 0.4 and 2.5. Specific examples include copper, titanium, aluminum, cobalt, ruthenium, titanium nitride, tungsten nitride (WN 2 ), and tantalum nitride, although any suitable material may alternatively be used.

In noch einer weiteren Ausführungsform ist das Substrat 101 eine dielektrische Schicht mit einer Dielektrizitätskonstante zwischen circa 1 und circa 40. In dieser Ausführungsform weist das Substrat 101 Silizium, ein Metalloxid oder ein Metallnitrid mit einer Formel MXb auf, wobei M ein Metall oder Silizium, X Stickstoff oder Sauerstoff ist und b zwischen circa 0,4 und 2,5 liegt. In speziellen Beispielen kann die dielektrische Schicht für das Substrat 101 die unter Verwendung solcher Prozesse, wie z.B. Abscheidung, Oxydation oder dergleichen, gebildet wird, Siliziumoxid, Siliziumnitrid, Aluminiumoxid, Hafniumoxid, Lanthanoxid oder dergleichen sein.In yet another embodiment, the substrate 101 is a dielectric layer having a dielectric constant between about 1 and about 40. In this embodiment, the substrate 101 comprises silicon, a metal oxide, or a metal nitride having a formula MX b , where M is a metal or silicon, X is nitrogen or oxygen and b is between about 0.4 and 2.5. In specific examples, the dielectric layer for the substrate 101 formed using such processes as deposition, oxidation, or the like may be silicon oxide, silicon nitride, aluminum oxide, hafnium oxide, lanthana, or the like.

7 stellt ein Beseitigen des Fluids 601 dar, nachdem die BARC-Schicht 105 (einschließlich des Aufschwimmbereichs 201) beseitigt worden ist. Wie ersichtlich ist, bleiben nach dem Beseitigen des Fluids 601 und der BARC-Schicht 105 das Substrat 101 und die Finnen 103 zurück. Sobald die BARC-Schicht 105 beseitigt worden ist, kann auf den Finnen 103 eine zusätzliche Bearbeitung erfolgen, wie z.B. durch Ausbilden von Mehrgatetransistoren aus den Finnen 103. 7 Figure 12 illustrates fluid 601 removal after BARC layer 105 (including floatation area 201) has been removed. As can be seen, after removing the fluid 601 and the BARC layer 105, the substrate 101 and fins 103 remain. Once the BARC layer 105 has been eliminated, additional processing may be performed on the fins 103, such as forming multi-gate transistors from the fins 103.

8 zeigt eine weitere Ausführungsform, in der die BARC-Schicht 105 (mit dem Aufschwimmbereich 201) gemeinsam mit einer Mittelschicht 801 verwendet wird, die auf der BARC-Schicht 105 nach dem Ausbilden des Aufschwimmbereichs 201 platziert wurde. In einer Ausführungsform kann die Mittelschicht 801 eine organische Schicht oder eine anorganische Schicht sein, die einen anderen Ätzwiderstand als der Fotoresist 401 aufweist. In einer Ausführungsform weist die Mittelschicht 801 mindestens ein Ätzwiderstandsmolekül auf, wie z.B. eine Struktur mit einer niedrigen Onishi-Zahl, eine Doppelbindungsstruktur, eine Dreifachbindungsstruktur, Titan, Titannitrid, Aluminium, Aluminiumoxid, Siliziumoxynitrid oder dergleichen. 8th 14 shows another embodiment in which the BARC layer 105 (with the floating region 201) is used together with a middle layer 801 that was placed on the BARC layer 105 after the floating region 201 was formed. In an embodiment, the middle layer 801 can be an organic layer or an inorganic layer that has a different etch resistance than the photoresist 401 . In one embodiment, the middle layer 801 comprises at least one etch resist molecule, such as a low Onishi number structure, a double bond structure, a triple bond structure, titanium, titanium nitride, aluminum, aluminum oxide, silicon oxynitride, or the like.

In einer weiteren speziellen Ausführungsform ist die Mittelschicht 801 ein Hartmaskenmaterial, wie z.B. Silizium, Siliziumnitrid, Oxide, Oxynitride, Siliziumkarbid, Kombinationen derselben oder dergleichen. Das Hartmaskenmaterial für die Mittelschicht 801 kann mithilfe eines Prozesses, wie z.B. der chemischen Gasphasenabscheidung (CVD), gebildet werden, obwohl andere Prozesse, wie z.B. die plasmaunterstützte chemische Gasphasenabscheidung (PECVD), die chemische Gasphasenabscheidung bei niedrigem Druck (LPCVD), die Rotationsbeschichtung oder sogar das Ausbilden von Siliziumoxid gefolgt von einer Nitrierung, alternativ eingesetzt werden können. Es kann ein beliebiges geeignetes Verfahren oder eine Kombination von Verfahren zum Ausbilden oder anderweitigen Platzieren des Hartmaskenmaterials eingesetzt werden, und es ist vollauf beabsichtigt, dass alle derartigen Verfahren oder Kombinationen im Umfang der Ausführungsformen enthalten sind. Die Mittelschicht 801 kann in einer Dicke zwischen circa 10 nm und circa 80 nm, wie z.B. circa 30 nm, ausgebildet werden.In another specific embodiment, the middle layer 801 is a hard mask material such as silicon, silicon nitride, oxides, oxynitrides, silicon carbide, combinations thereof, or the like. The hard mask material for the middle layer 801 may be formed using a process such as chemical vapor deposition (CVD), although other processes such as plasma enhanced chemical vapor deposition (PECVD), low pressure chemical vapor deposition (LPCVD), spin coating or even forming silicon oxide followed by nitriding can alternatively be used. Any suitable method or combination of methods for forming or otherwise placing the hardmask material may be employed, and all such methods or combinations are fully intended to be included within the scope of the embodiments. The middle layer 801 can be formed to a thickness between about 10 nm and about 80 nm, such as about 30 nm.

Sobald eine Schicht des Hartmaskenmaterials für die Mittelschicht 801 ausgebildet worden ist, kann der Fotoresist 401 über dem Hartmaskenmaterial für die Mittelschicht 801 platziert und strukturiert werden. Das Platzieren des Fotoresists 401 über dem Hartmaskenmaterial für die Mittelschicht 801 und das Strukturieren des Fotoresists 401 können ähnlich zu dem Platzieren des Fotoresists 401 und der Entwicklung des Fotoresists 401 sein, wie sie mit Bezug auf die 1-4B beschrieben wurden. Zum Beispiel kann der Fotoresist 401 unter Verwendung eines Rotationsbeschichtungsprozesses aufgetragen, unter Verwendung des Fotoresist-Bildgebungsgeräts 400 belichtet und dann unter Verwendung des Entwicklers 417 entwickelt werden.Once a layer of the middle layer hardmask material 801 has been formed, the photoresist 401 can be placed over the middle layer hardmask material 801 and patterned. Placing the photoresist 401 over the middle layer hardmask material 801 and patterning the photoresist 401 may be similar to placing the photoresist 401 and developing the photoresist 401 as described with reference to FIG 1-4B have been described. For example, photoresist 401 may be applied using a spin coating process, exposed using photoresist imager 400 and then developed using developer 417 .

8 zeigt auch, dass sobald der Fotoresist 401 in das gewünschte Muster strukturiert worden ist, der Fotoresist 401 als eine Maske verwendet werden kann, um das Hartmaskenmaterial der Mittelschicht 801 zu strukturieren. Zum Beispiel kann das Muster des Fotoresists 401 unter Verwendung eines anisotropen Ätzprozesses, wie z.B. des reaktiven Ionenätzens (RIE), auf die Mittelschicht 801 übertragen werden, wobei Ionen eines geeigneten Ätzmittels, wie z.B. CF4-O2, bei einem Trockenätzen eingesetzt werden können, um Anteile der Mittelschicht 801 zu beseitigen, die mittels des strukturierten Fotoresists 401 exponiert wurden. Es kann jedoch alternativ ein beliebiges anderes geeignetes Ätzmittel, wie z.B. CHF2/O2, CH2F2, CH3F oder dergleichen, und ein beliebiges anderes geeignetes Verfahren zum Beseitigen, wie z.B. eine Nassablösung, verwendet werden. 8th 8 also shows that once the photoresist 401 has been patterned into the desired pattern, the photoresist 401 can be used as a mask to pattern the middle layer 801 hardmask material. For example, the photoresist 401 pattern may be transferred to the middle layer 801 using an anisotropic etch process such as reactive ion etching (RIE), wherein ions of a suitable etchant such as CF 4 -O 2 may be employed in a dry etch to eliminate portions of the middle layer 801 exposed by the patterned photoresist 401. However, any other suitable etchant, such as CHF 2 /O 2 , CH 2 F 2 , CH 3 F, or the like, and any other suitable method of removal, such as a wet strip, may alternatively be used.

8 zeigt ferner, dass sobald das Muster des Fotoresists 401 auf die Mittelschicht 801 übertragen worden ist, die Mittelschicht 801 verwendet werden kann, das Muster des Fotoresists 401 auf die BARC-Schicht 105 zu übertragen. In einer Ausführungsform kann die BARC-Schicht 105 unter Verwendung eines Ätzprozesses beseitigt werden, der den Fotoresist 401 und die Mittelschicht 801 (nun strukturiert) als Maskenschichten einsetzt. Der Ätzprozess kann ein Trockenätzprozess sein, wobei ein Ätzmittel, wie z.B. Sauerstoff, Stickstoff, Wasserstoff, Ammonium, Schwefelhexafluorid, Difluormethan, Stickstofftrifluorid, Chlortrifluorid, Chlor, Kohlenstoffmonoxid, Kohlenstoffdioxid, Helium, Bordichlorid, Argon, Fluor, Triflourmethan, Tetrafluormethan, Perfluorcyclobutan, Perfluorpropan, Kombinationen derselben oder dergleichen, eingesetzt wird. Alternativ können jedoch ein beliebiger anderer geeigneter Ätzprozess, wie z.B. Nassätzen, oder sogar ein Nassätzen, das gleichzeitig an der Mittelschicht 801 ausgeführt wird, und beliebige andere geeignete Ätzmittel verwendet werden. 8th 10 further shows that once the photoresist 401 pattern has been transferred to the middle layer 801, the middle layer 801 can be used to transfer the photoresist 401 pattern to the BARC layer 105. FIG. In one embodiment, BARC layer 105 may be removed using an etch process that employs photoresist 401 and middle layer 801 (now patterned) as mask layers. The etching process may be a dry etching process using an etchant such as oxygen, nitrogen, hydrogen, ammonium, sulfur hexafluoride, difluoromethane, nitrogen trifluoride, chlorine trifluoride, chlorine, carbon monoxide, carbon dioxide, helium, boron dichloride, argon, fluorine, trifluoromethane, tetrafluoromethane, perfluorocyclobutane, perfluoropropane , combinations thereof or the like is used. Alternatively, however, any other suitable etch process, such as wet etching, or even a wet etch performed simultaneously on the middle layer 801, and any other suitable etchant may be used.

Wie ein Fachmann jedoch einsehen wird, ist das Platzieren der Mittelschicht 801 über der BARC-Schicht 105 zur Veranschaulichung vorgesehen und nicht dazu gedacht, die Ausführungsformen einzuschränken. Vielmehr kann die Mittelschicht 801 in einer beliebigen Beziehung zur BARC-Schicht 105, wie z.B. zwischen der BARC-Schicht 105 und dem Substrat 101 liegend, angebracht werden. Es ist vollauf beabsichtigt, dass eine beliebige geeignete Schichtenabfolge im Umfang der Ausführungsformen enthalten ist.However, as one skilled in the art will appreciate, placing the middle layer 801 over the BARC layer 105 is provided for illustration and is not intended to limit the embodiments. Rather, the middle layer 801 can be in any relationship to the BARC layer 105, such as eg lying between the BARC layer 105 and the substrate 101. Any suitable layer sequence is fully intended to be included within the scope of the embodiments.

Durch die Verwendung der BARC-Schicht 105 zusammen mit dem Aufschwimmbereich 201 und der Mittelschicht 801 kann das Muster vom Fotoresist 401 in der Mittelschicht 801 und der BARC-Schicht 105 ausgebildet werden. Dieses Muster kann dann für eine zusätzliche Bearbeitung des Substrats 101 und der Finnen 103 verwendet werden.By using the BARC layer 105 together with the floating region 201 and the middle layer 801, the pattern of the photoresist 401 in the middle layer 801 and the BARC layer 105 can be formed. This pattern can then be used for additional processing of the substrate 101 and the fins 103.

9 zeigt einen Prozessablauf, der verwendet werden kann, um die BARC-Schicht 105 mit dem Aufschwimmbereich 201 aufzubringen und zu beseitigen. In einer Ausführungsform wird in einem ersten Schritt 901 die BARC-Schicht 105 verteilt oder aufgetragen. Sobald sie verteilt ist, wird der Aufschwimmbereich 201 in der BARC-Schicht 105 ausgebildet. Sobald sie verwendet worden sind, werden die BARC-Schicht 105 und der Aufschwimmbereich 201 durch Anwenden eines Fluids auf die BARC-Schicht 105 und den Aufschwimmbereich 201 beseitigt. 9 FIG. 12 shows a process flow that can be used to deposit and remove the BARC layer 105 with the floating area 201. FIG. In one embodiment, in a first step 901, the BARC layer 105 is spread or applied. Once distributed, the floating region 201 is formed in the BARC layer 105. FIG. Once used, the BARC layer 105 and the flotation area 201 are eliminated by applying a fluid to the BARC layer 105 and the flotation area 201 .

Gemäß einer Ausführungsform wird ein Verfahren zur Herstellung einer Halbleitereinrichtung bereitgestellt, das ein Verteilen eines Antireflexionsmaterials über ein Substrat zum Ausbilden einer Antireflexionsbeschichtungsschicht umfasst, wobei das Antireflexionsmaterial eine erste Konzentration eines aufschwimmenden Bestandteils aufweist, wobei der aufschwimmende Bestandteil ein aufschwimmendes Vernetzungsagens oder einen aufschwimmenden Katalysator einer Vernetzungsreaktion umfasst. Anliegend an einer oberen Fläche der Antireflexionsschicht wird ein Aufschwimmbereich ausgebildet, wobei der Aufschwimmbereich eine zweite Konzentration des aufschwimmenden Bestandteils aufweist, die größer als die erste Konzentration ist.According to one embodiment, there is provided a method of manufacturing a semiconductor device comprising dispensing an anti-reflective material over a substrate to form an anti-reflective coating layer, the anti-reflective material having a first concentration of a floating component, the floating component being a floating crosslinking agent or a floating catalyst of a crosslinking reaction includes. A floating region is formed adjacent a top surface of the anti-reflective layer, the floating region having a second concentration of the floating component that is greater than the first concentration.

Gemäß einer weiteren Ausführungsform wird ein Verfahren zur Herstellung einer Halbleitereinrichtung bereitgestellt, das ein Auftragen einer Antireflexionsbeschichtung auf ein Substrat umfasst, wobei die Antireflexionsbeschichtung mindestens einen Bestandteil enthält, der ein Fluoratom aufweist, wobei der Bestandteil ein aufschwimmendes Vernetzungsagens oder einen aufschwimmenden Katalysator einer Vernetzungsreaktion umfasst. Entlang einer oberen Fläche der Antireflexionsbeschichtung wird ein Aufschwimmbereich ausgebildet, wobei der Aufschwimmbereich eine höhere Konzentration des mindestens eines Bestandteils als der Rest der Antireflexionsbeschichtung aufweist. Die Antireflexionsbeschichtung wird gebrannt, um eine Vernetzungsreaktion im Aufschwimmbereich einzuleiten.According to another embodiment, there is provided a method of manufacturing a semiconductor device comprising applying an anti-reflective coating to a substrate, the anti-reflective coating including at least one component having a fluorine atom, the component comprising a buoyant crosslinking agent or a buoyant catalyst of a crosslinking reaction. A floating region is formed along a top surface of the anti-reflective coating, the floating region having a higher concentration of the at least one component than the remainder of the anti-reflective coating. The anti-reflective coating is baked to initiate a crosslinking reaction in the floating area.

Gemäß noch einer weiteren Ausführungsform wird ein Antireflexionsmaterial bereitgestellt, das ein Polymerharz und ein Vernetzungsagens aufweist, wobei das Vernetzungsagens ein Fluoratom enthält. Das Antireflexionsmaterial weist auch einen Katalysator auf.According to yet another embodiment, there is provided an antireflective material comprising a polymeric resin and a crosslinking agent, wherein the crosslinking agent contains a fluorine atom. The anti-reflective material also includes a catalyst.

Gemäß noch einer weiteren Ausführungsform wird ein Verfahren zur Herstellung einer Halbleitereinrichtung bereitgestellt, das ein Verteilen eines Antireflexionsmaterials über ein Substrat umfasst, um eine Antireflexionsbeschichtungsschicht auszubilden, wobei das Antireflexionsmaterial eine erste Konzentration eines aufschwimmenden Bestandteils aufweist, wobei der erste Bestandteil ein aufschwimmendes Vernetzungsagens oder einen aufschwimmenden Katalysator einer Vernetzungsreaktion umfasst. Anliegend an einer oberen Fläche der Antireflexionsbeschichtung wird ein Aufschwimmbereich ausgebildet, wobei der Aufschwimmbereich eine zweite Konzentration des aufschwimmenden Bestandteils aufweist, die größer als die erste Konzentration ist. Auf das Antireflexionsmaterial wird ein Fluid angewendet, um das Antireflexionsmaterial und den Aufschwimmbereich zu beseitigen.According to yet another embodiment, there is provided a method of manufacturing a semiconductor device, comprising dispensing an anti-reflective material over a substrate to form an anti-reflective coating layer, the anti-reflective material having a first concentration of a buoyant component, the first component being a buoyant crosslinking agent or a buoyant Catalyst of a crosslinking reaction comprises. A floating region is formed adjacent a top surface of the anti-reflective coating, the floating region having a second concentration of the floating constituent that is greater than the first concentration. A fluid is applied to the anti-reflective material to remove the anti-reflective material and the floating area.

Gemäß noch einer weiteren Beispiel wird ein Verfahren zur Herstellung einer Halbleitereinrichtung bereitgestellt, welches umfasst, dass eine Antireflexionsbeschichtung auf ein Substrat aufgetragen und aus der Antireflexionsbeschichtung ein erster Bereich mit einer ersten Beseitigungsrate entlang einer oberen Fläche der Antireflexionsbeschichtung ausgebildet wird, wobei ein zweiter Bereich der Antireflexionsbeschichtung eine zweite Beseitigungsrate aufweist, die sich von der ersten Beseitigungsrate unterscheidet. Der erste Bereich und der zweite Bereich werden durch Anwenden eines Fluids auf die Antireflexionsbeschichtung beseitigt. Gemäß noch einer weiteren Ausführungsform wird ein Verfahren zur Herstellung einer Halbleitereinrichtung bereitgestellt, welches das Aufbringen einer Antireflexionsbeschichtung auf ein Substrat umfasst, wobei die Antireflexionsbeschichtung mindestens einen Bestandteil enthält, der ein Fluoratom aufweist. Entlang einer oberen Fläche der Antireflexionsbeschichtung wird ein Aufschwimmbereich ausgebildet, wobei der Aufschwimmbereich eine höhere Konzentration des mindestens einen Bestandteils als der Rest der Antireflexionsbeschichtung aufweist und der Aufschwimmbereich sowie der Rest der Antireflexionsbeschichtung beseitigt werden, indem ein Fluid für weniger als eine Minute auf die Antireflexionsbeschichtung angewendet wird.According to yet another example, there is provided a method of manufacturing a semiconductor device, comprising applying an anti-reflective coating to a substrate and forming the anti-reflective coating into a first region having a first removal rate along a top surface of the anti-reflective coating, a second region of the anti-reflective coating has a second removal rate that is different than the first removal rate. The first area and the second area are eliminated by applying a fluid to the anti-reflective coating. According to yet another embodiment, there is provided a method of manufacturing a semiconductor device, comprising applying an anti-reflective coating to a substrate, wherein the anti-reflective coating includes at least one component that has a fluorine atom. A floating region is formed along an upper surface of the anti-reflective coating, the floating region having a higher concentration of the at least one component than the remainder of the anti-reflective coating, and the floating region and the remainder of the anti-reflective coating stratification can be eliminated by applying a fluid to the anti-reflective coating for less than one minute.

Obwohl die vorliegenden Ausführungsformen und deren Vorzüge im Einzelnen beschrieben worden sind, sollte zu verstehen sein, dass verschiedene Änderungen, Ersetzungen und Umbildungen hierin ausgeführt werden können, ohne vom Wesen und Umfang der Offenbarung abzuweichen, wie sie in den beigefügten Ansprüchen festgelegt sind. Zum Beispiel können viele unterschiedliche Monomere verwendet werden, um das Material der BARC-Schicht zu bilden, und es können viele unterschiedliche Prozesse eingesetzt werden, um den Fotoresist auszubilden, anzuwenden und zu entwickeln.Although the present embodiments and advantages thereof have been described in detail, it should be understood that various changes, substitutions and alterations can be made therein without departing from the spirit and scope of the disclosure as defined in the appended claims. For example, many different monomers can be used to form the BARC layer material, and many different processes can be used to form, apply, and develop the photoresist.

Claims (20)

Verfahren zur Herstellung einer Halbleitereinrichtung (100), wobei das Verfahren umfasst: Verteilen eines Antireflexionsmaterials über ein Substrat (101), um eine Antireflexionsbeschichtungsschicht (105) auszubilden, wobei das Antireflexionsmaterial eine erste Konzentration eines aufschwimmenden Bestandteils aufweist, wobei der aufschwimmende Bestandteil ein aufschwimmendes Vernetzungsagens oder einen aufschwimmenden Katalysator einer Vernetzungsreaktion umfasst; Ausbilden eines Aufschwimmbereichs (201) anliegend an eine obere Fläche der Antireflexionsbeschichtung (105), wobei der Aufschwimmbereich (201) eine zweite Konzentration des aufschwimmenden Bestandteils aufweist, die größer als die erste Konzentration ist; und Anwenden eines Fluids (601) auf das Antireflexionsmaterial zum Beseitigen des Antireflexionsmaterials und des Aufschwimmbereichs (201).A method of manufacturing a semiconductor device (100), the method comprising: dispensing an antireflective material over a substrate (101) to form an antireflective coating layer (105), the antireflective material having a first concentration of a buoyant component, the buoyant component comprising a buoyant crosslinking agent or a buoyant catalyst of a crosslinking reaction; forming a floating region (201) adjacent a top surface of the anti-reflective coating (105), the floating region (201) having a second concentration of the floating component that is greater than the first concentration; and applying a fluid (601) to the anti-reflective material to eliminate the anti-reflective material and the floating area (201). Verfahren nach Anspruch 1, wobei beim Anwenden des Fluids (601) eine wässrige Lösung aufgetragen wird.procedure after claim 1 , wherein when applying the fluid (601) an aqueous solution is applied. Verfahren nach Anspruch 2, wobei die wässrige Lösung einen pH-Wert zwischen circa -1 und circa 4 aufweist.procedure after claim 2 , wherein the aqueous solution has a pH between about -1 and about 4. Verfahren nach Anspruch 2, wobei die wässrige Lösung einen pH-Wert zwischen circa 9 und circa 14 aufweist.procedure after claim 2 , wherein the aqueous solution has a pH between about 9 and about 14. Verfahren nach einem der vorhergehenden Ansprüche, wobei beim Anwenden des Fluids (601) ein organisches Lösungsmittel aufgetragen wird.A method according to any one of the preceding claims, wherein when applying the fluid (601) an organic solvent is applied. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Fluid (601) eine anorganische Säure enthält.A method according to any one of the preceding claims, wherein the fluid (601) contains an inorganic acid. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Anwenden des Fluids (601) für weniger als eine Minute erfolgt, um das Antireflexionsmaterial zu beseitigen.A method according to any one of the preceding claims, wherein applying the fluid (601) is for less than one minute to eliminate the anti-reflective material. Verfahren zur Herstellung einer Halbleitereinrichtung (100), wobei das Verfahren umfasst: Auftragen einer Antireflexionsbeschichtung (105) auf ein Substrat (101), wobei die Antireflexionsbeschichtung (105) eine erste Konzentration eines aufschwimmenden Bestandteils aufweist, wobei der aufschwimmende Bestandteil ein aufschwimmendes Vernetzungsagens oder einen aufschwimmenden Katalysator einer Vernetzungsreaktion umfasst; Ausbilden eines ersten Bereichs aus der Antireflexionsbeschichtung (105) mit einer ersten Beseitigungsrate entlang einer oberen Fläche der Antireflexionsbeschichtung (105), wobei ein zweiter Bereich der Antireflexionsbeschichtung (105) eine zweite Beseitigungsrate aufweist, die sich von der ersten Beseitigungsrate unterscheidet; und Beseitigen des ersten Bereichs und des zweiten Bereichs durch Anwenden eines Fluids (601) auf die Antireflexionsbeschichtung (105).A method of manufacturing a semiconductor device (100), the method comprising: applying an anti-reflective coating (105) to a substrate (101), said anti-reflective coating (105) having a first concentration of a buoyant component, said buoyant component comprising a buoyant crosslinking agent or a buoyant catalyst of a crosslinking reaction; forming a first area of the anti-reflective coating (105) having a first removal rate along a top surface of the anti-reflective coating (105), a second area of the anti-reflective coating (105) having a second removal rate different from the first removal rate; and eliminating the first area and the second area by applying a fluid (601) to the anti-reflective coating (105). Verfahren nach Anspruch 8, umfassend ferner ein Strukturieren der Antireflexionsbeschichtung (105) vor dem Beseitigen des ersten Bereichs und des zweiten Bereichs.procedure after claim 8 , further comprising patterning the anti-reflective coating (105) before eliminating the first region and the second region. Verfahren nach Anspruch 8 oder 9, wobei beim Anwenden des Fluids (601) eine wässrige Lösung aufgetragen wird.procedure after claim 8 or 9 , wherein when applying the fluid (601) an aqueous solution is applied. Verfahren nach Anspruch 10, wobei die wässrige Lösung einen pH-Wert zwischen circa - 1 und circa 4 aufweist.procedure after claim 10 , wherein the aqueous solution has a pH between about -1 and about 4. Verfahren nach Anspruch 10, wobei die wässrige Lösung einen pH-Wert zwischen circa 9 und circa 14 aufweist.procedure after claim 10 , wherein the aqueous solution has a pH between about 9 and about 14. Verfahren nach einem der Ansprüche 8 bis 12, wobei beim Anwenden des Fluids (601) ein organisches Lösungsmittel aufgetragen wird.Procedure according to one of Claims 8 until 12 , wherein when applying the fluid (601) an organic solvent is applied. Verfahren nach einem der Ansprüche 8 bis 13, wobei das Fluid (601) eine anorganische Säure enthält.Procedure according to one of Claims 8 until 13 , wherein the fluid (601) contains an inorganic acid. Verfahren nach einem der Ansprüche 8 bis 14, wobei das Beseitigen des ersten Bereichs und des zweiten Bereichs innerhalb einer Minute abgeschlossen ist.Procedure according to one of Claims 8 until 14 , wherein the elimination of the first area and the second area is completed within one minute. Verfahren zur Herstellung einer Halbleitereinrichtung (100), wobei das Verfahren umfasst: Auftragen einer Antireflexionsbeschichtung (105) auf ein Substrat (101), wobei die Antireflexionsbeschichtung (105) mindestens einen ersten Bestandteil enthält, der ein Fluoratom aufweist, wobei der erste Bestandteil ein aufschwimmendes Vernetzungsagens oder einen aufschwimmenden Katalysator einer Vernetzungsreaktion umfasst; Ausbilden eines Aufschwimmbereichs (201) entlang einer oberen Fläche der Antireflexionsbeschichtung (105), wobei der Aufschwimmbereich (201) eine höhere Konzentration des mindestens einen ersten Bestandteils als ein Rest der Antireflexionsbeschichtung (105) aufweist; und Beseitigen des Aufschwimmbereichs (201) und des Restes der Antireflexionsbeschichtung (105) durch Anwenden eines Fluids (601) auf die Antireflexionsbeschichtung (105) für weniger als eine Minute.A method of manufacturing a semiconductor device (100), the method comprising: applying an anti-reflective coating (105) to a substrate (101), said anti-reflective coating (105) including at least a first component having a fluorine atom, said first component comprising a buoyant crosslinking agent or a buoyant catalyst of a crosslinking reaction; forming a floating region (201) along a top surface of the anti-reflective coating (105), the floating region (201) having a higher concentration of the at least one first component than a remainder of the anti-reflective coating (105); and eliminating the floating area (201) and the remainder of the anti-reflective coating (105) by applying a fluid (601) to the anti-reflective coating (105) for less than one minute. Verfahren nach Anspruch 16, wobei das Fluid (601) eine wässrige Lösung ist.procedure after Claim 16 , wherein the fluid (601) is an aqueous solution. Verfahren nach Anspruch 17, wobei die wässrige Lösung einen pH-Wert zwischen circa - 1 und circa 4 aufweist.procedure after Claim 17 , wherein the aqueous solution has a pH between about -1 and about 4. Verfahren nach Anspruch 17, wobei die wässrige Lösung einen pH-Wert zwischen circa 9 und circa 14 aufweist.procedure after Claim 17 , wherein the aqueous solution has a pH between about 9 and about 14. Verfahren nach einem der Ansprüche 16 bis 19, wobei das Fluid (601) ein organisches Lösungsmittel ist.Procedure according to one of Claims 16 until 19 , wherein the fluid (601) is an organic solvent.
DE102014114176.8A 2013-10-17 2014-09-30 Method of manufacturing a semiconductor device Active DE102014114176B4 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US14/056,737 2013-10-17
US14/056,737 US9245751B2 (en) 2013-03-12 2013-10-17 Anti-reflective layer and method
US201461985945P 2014-04-29 2014-04-29
US61/985,945 2014-04-29
US14/490,517 2014-09-18
US14/490,517 US9256128B2 (en) 2013-03-12 2014-09-18 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
DE102014114176A1 DE102014114176A1 (en) 2015-04-23
DE102014114176B4 true DE102014114176B4 (en) 2023-05-04

Family

ID=52775307

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102014114176.8A Active DE102014114176B4 (en) 2013-10-17 2014-09-30 Method of manufacturing a semiconductor device

Country Status (4)

Country Link
KR (1) KR101689439B1 (en)
CN (1) CN105047540B (en)
DE (1) DE102014114176B4 (en)
TW (1) TWI539491B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020115368A1 (en) 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD
US11362006B2 (en) 2019-10-29 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11955336B2 (en) 2021-04-23 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
CN116102939B (en) * 2021-11-09 2023-10-03 上海新阳半导体材料股份有限公司 Bottom anti-reflection coating for deep ultraviolet lithography and preparation method and application thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100075248A1 (en) 2008-09-22 2010-03-25 Honeywell International Inc. Bottom antireflective coatings exhibiting enhanced wet strip rates, bottom antireflective coating compositions for forming bottom antireflective coatings, and methods for fabricating the same
US20110076626A1 (en) 2009-09-30 2011-03-31 Munirathna Padmanaban Positive-Working Photoimageable Bottom Antireflective Coating
US20120070782A1 (en) 2009-03-24 2012-03-22 International Business Machines Corporation Self-forming top anti-reflective coating compositions and, photoresist mixtures and method of imaging using same
US20120157367A1 (en) 2010-12-16 2012-06-21 Anh Duong Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5939236A (en) * 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6410209B1 (en) * 1998-09-15 2002-06-25 Shipley Company, L.L.C. Methods utilizing antireflective coating compositions with exposure under 200 nm
KR20020006322A (en) * 2000-07-12 2002-01-19 윤종용 Method for manufacturing non-volatile memory device
KR20090049517A (en) * 2007-11-13 2009-05-18 주식회사 엘지화학 Coating composition for antireflection and antireflection film prepared by using the same
JP5813280B2 (en) * 2008-03-19 2015-11-17 富士フイルム株式会社 Semiconductor device cleaning liquid and cleaning method
US9244352B2 (en) * 2009-05-20 2016-01-26 Rohm And Haas Electronic Materials, Llc Coating compositions for use with an overcoated photoresist
JP5734734B2 (en) * 2010-05-18 2015-06-17 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Method for forming current tracks on a semiconductor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100075248A1 (en) 2008-09-22 2010-03-25 Honeywell International Inc. Bottom antireflective coatings exhibiting enhanced wet strip rates, bottom antireflective coating compositions for forming bottom antireflective coatings, and methods for fabricating the same
US20120070782A1 (en) 2009-03-24 2012-03-22 International Business Machines Corporation Self-forming top anti-reflective coating compositions and, photoresist mixtures and method of imaging using same
US20110076626A1 (en) 2009-09-30 2011-03-31 Munirathna Padmanaban Positive-Working Photoimageable Bottom Antireflective Coating
US20120157367A1 (en) 2010-12-16 2012-06-21 Anh Duong Composition and method for removing photoresist and bottom anti-reflective coating for a semiconductor substrate

Also Published As

Publication number Publication date
CN105047540B (en) 2018-05-25
CN105047540A (en) 2015-11-11
KR20150044826A (en) 2015-04-27
TW201517124A (en) 2015-05-01
TWI539491B (en) 2016-06-21
DE102014114176A1 (en) 2015-04-23
KR101689439B1 (en) 2016-12-23

Similar Documents

Publication Publication Date Title
US9543147B2 (en) Photoresist and method of manufacture
US9436086B2 (en) Anti-reflective layer and method
US9502231B2 (en) Photoresist layer and method
US11094541B2 (en) Anti-reflective coating materials
US9460909B2 (en) Method for manufacturing semiconductor device
DE102014119645B4 (en) Photoresist and Process
US11073763B2 (en) Photoresist and method
DE102014114176B4 (en) Method of manufacturing a semiconductor device
US9239520B2 (en) Photoresist defect reduction system and method
US9110376B2 (en) Photoresist system and method
US9599896B2 (en) Photoresist system and method
DE102020124247A1 (en) PHOTO LACQUER DEVELOPER AND PROCESS FOR DEVELOPING PHOTO LACQUER
US10036953B2 (en) Photoresist system and method

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R082 Change of representative

Representative=s name: BOEHMERT & BOEHMERT ANWALTSPARTNERSCHAFT MBB -, DE

R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final