US20110133189A1 - NMOS ARCHITECTURE INVOLVING EPITAXIALLY-GROWN IN-SITU N-TYPE-DOPED EMBEDDED eSiGe:C SOURCE/DRAIN TARGETING - Google Patents

NMOS ARCHITECTURE INVOLVING EPITAXIALLY-GROWN IN-SITU N-TYPE-DOPED EMBEDDED eSiGe:C SOURCE/DRAIN TARGETING Download PDF

Info

Publication number
US20110133189A1
US20110133189A1 US12/632,351 US63235109A US2011133189A1 US 20110133189 A1 US20110133189 A1 US 20110133189A1 US 63235109 A US63235109 A US 63235109A US 2011133189 A1 US2011133189 A1 US 2011133189A1
Authority
US
United States
Prior art keywords
esige
source
carbon
situ
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US12/632,351
Other versions
US8178414B2 (en
Inventor
Bin Yang
Bo Bai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US12/632,351 priority Critical patent/US8178414B2/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BAI, BO, YANG, BIN
Publication of US20110133189A1 publication Critical patent/US20110133189A1/en
Application granted granted Critical
Publication of US8178414B2 publication Critical patent/US8178414B2/en
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

An NMOS transistor is formed with improved manufacturability. An embodiment includes forming N-type doped embedded silicon germanium containing carbon (eSiGe:C) in source/drain regions of a substrate, and amorphizing the eSiGe:C. The use of eSiGe:C provides a reduction in extension silicon and dopant loss, improved morphology, increased wafer throughput, improved short channel control, and reduced silicide to source/drain contact resistance.

Description

    TECHNICAL FIELD
  • The present disclosure relates to semiconductor devices with N-type in situ doped transistors. The present disclosure is particularly applicable to semiconductors for 22 nanometer (nm) node devices and beyond.
  • BACKGROUND
  • Due to pitch scaling in each generation, the spacers that define deep source/drain implants have been significantly reduced in thickness. For example, for a 22 nm node CMOS transistor the spacer thickness is less than or equal to 20 nm, whereas for 32 nm node and 45 nm node technologies, the spacer thickness is about 35 nm and about 45 nm, respectively. A small spacer thickness such as less than 20 nm makes the conventional deep source/drain implants no longer viable. This is because the lateral-implant-straggle inherent to the implant process overruns the extension for short channel devices, causing significant short channel degradation. Therefore, an in-situ doped source/drain process has attracted interest for 22 nm node and beyond CMOS transistor.
  • An in-situ phosphorus-doped (ISPD) embedded Si:C (eSi:C) source/drain process appears suitable for 22 nm node NMOS transistor to avoid source/drain overrunning the extension, since ISPD eSi:C offers not only doped source/drains, but also tensile channel stress. However, it was found that ISPD eSi:C has several disadvantages. For example, the ISPD eSi:C epitaxial process throughput is unacceptably low, as fewer than two wafers can be processed per hour, whereas at least four wafers per hour is considered to be an acceptable throughput. In addition, the eSi:C fill level is extremely sensitive to device pitch, and multiple pitches may be present on the same wafer. As illustrated in FIGS. 1A and 1B, respectively, for a single pitch 101 (100 nm) between gates 103, the source/drain is under-filled (fill height 105) and for a double pitch 107 (200 nm) between gates 109, the source/drain is overfilled (fill height 111). The epitaxial fill height difference causes significant variation in device parametrics, such as threshold voltage (Vt), capacitance (Cov), Ion, etc. Further, the deposition/etch-back/deposition/etch-back cyclic epitaxial process (the process during epitaxial growth wherein Si:C is periodically etched off where it is unwanted) causes extension silicon and dopant loss. Adverting to FIG. 2, spacer edge 201, with which eSi:C/Si recess boundary 203 is formed, and boundary 203 itself are eroded by the etch-back process to spacer edge 205 and boundary 207, respectively. A portion of silicon extension 209 (i.e., the portion between recess boundary 203 and boundary 207) is thus undesirably etched. This extension loss increases the resistance, is not controllable from wafer to wafer and lot to lot, and, therefore, introduces another source of variability, which is undesirable for manufacturing.
  • Another approach to improve the source/drain for a 22 nm node NMOS transistor is to grow N-type-doped silicon for the NMOS source/drain. Although this approach simplifies the epitaxial process, it fails to provide stress to influence channel mobility. Since the incorporation of arsenic in silicon (less than 1E20 cm-3) is low, in-situ arsenic doped silicon is not suitable as an NMOS source/drain. Although the incorporation of phosphorus in silicon (greater than 5E20 cm-3) is significantly higher than arsenic, an ISPD silicon epitaxial process for nMOS source/drains has other serious issues. For example, the ISPD silicon epitaxial throughput in NMOS transistors is relatively low, for example less than or equal to 3 wafers per hour. In addition, epitaxially deposited ISPD silicon has poor selectivity, i.e., it grows on nitride spacers, thereby causing an undesirable morphology as illustrated in FIG. 3. The ISPD silicon grows on spacers 303 and has an irregular shape. The poor morphology causes high Cov and introduces another source of device parametric variations.
  • A need therefore exists for methodology enabling fabrication of in-situ doped NMOS source/drains with high manufacture throughput, uniform fill level, reduced extension silicon and dopant loss, and improved morphology, and for the resulting device.
  • SUMMARY
  • An aspect of the present disclosure is a semiconductor including amorphized N-doped eSiGe:C source/drains.
  • Another aspect of the present disclosure is a method of fabricating a semiconductor including amorphized N-doped eSiGe:C source/drains.
  • Additional aspects and other features of the present disclosure will be set forth in the description which follows and in part will be apparent to those having ordinary skill in the art upon examination of the following or may be learned from the practice of the present disclosure. The advantages of the present disclosure may be realized and obtained as particularly pointed out in the appended claims.
  • According to the present disclosure, some technical effects may be achieved in part by a method comprising: forming N-type doped embedded silicon germanium containing carbon (eSiGe:C) in source/drain regions of a substrate; and amorphizing the eSiGe:C.
  • Aspects of the present disclosure include forming an N-type eSiGe:C by epitaxially growing eSiGe:C in source/drain regions of a substrate. Further aspects include doping the epitaxially grown SiGe:C in-situ with phosphorus. Other aspects include forming the eSiGe:C with less than about 0.2 at. % carbon, such as about 0.08 at. % to about 0.15% carbon, e.g., about 0.1 at. % carbon. Additional aspects include amorphizing to relax compressive strain by implanting a dopant, for example, germanium (Ge), Xenon (Xe), or silicon (Si).
  • Another aspect of the present disclosure is a semiconductor device comprising: a substrate having source/drain regions; and amorphized N-type doped eSiGe:C in the source/drain regions.
  • Aspects include a semiconductor device wherein eSiGe:C is epitaxially grown in source/drain regions of a substrate. Further aspects include a semiconductor device wherein the epitaxially grown eSiGe:C is doped in-situ with phosphorus. Another aspect includes a semiconductor device including N-type eSiGe:C which contains less than about 0.2 at. % carbon, such as about 0.08 at. % to about 0.15% carbon, e.g., about 0.1% carbon. Additional aspects include a semiconductor device wherein the N-type eSiGe:C contains an implanted amorphizing dopant, e.g., Ge, Xe, or Si, to reduce compressive strain.
  • A further aspect of the present disclosure is a method of fabricating a CMOS semiconductor device comprising: forming in-situ boron-doped eSiGe in first source/drain regions on a substrate, to form a PMOS transistor; epitaxially growing in-situ phosphorus doped eSiGe:C in second source/drain regions on a substrate to form an NMOS transistor; and implanting an amorphization dopant only in the in-situ phosphorus doped eSiGe:C to neutralize compressive strain.
  • Additional aspects and technical effects of the present disclosure will become readily apparent to those skilled in the art from the following detailed description wherein embodiments of the present disclosure are described simply by way of illustration of the best mode contemplated to carry out the present disclosure. As will be realized, the present disclosure is capable of other and different embodiments, and its several details are capable of modifications in various obvious respects, all without departing from the present disclosure. Accordingly, the drawings and description are to be regarded as illustrative in nature, and not as restrictive.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present disclosure is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawing and in which like reference numerals refer to similar elements and in which:
  • FIGS. 1A and 1B schematically illustrate ISPD eSi:C single pitch underfilling and double pitch over filling, respectively;
  • FIG. 2 schematically illustrates extension silicon and dopant loss with ISPD eSi:C;
  • FIG. 3 schematically illustrates poor morphology with ISPD silicon;
  • FIGS. 4 through 12 schematically illustrate sequential steps of a method in accordance with an exemplary embodiment.
  • DETAILED DESCRIPTION
  • In the following description, for the purposes of explanation, numerous specific details are set forth in order to provide a thorough understanding of exemplary embodiments. It should be apparent, however, that exemplary embodiments may be practiced without these specific details or with an equivalent arrangement. In other instances, well-known structures and devices are shown in block diagram form in order to avoid unnecessarily obscuring exemplary embodiments.
  • The present disclosure addresses and solves the doping problem attendant upon forming a 20 nm node NMOS transistor. In accordance with embodiments of the present disclosure, phosphorus doping is performed in-situ, which prevents overrunning the extension and causing short channel degradation. Further, eSiGe:C is employed to avoid the need to etch back after deposition. Consequently, throughput is increased and silicon extension and dopant loss is prevented. In addition since the band gap of eSiGe:C is smaller than Si:C, the silicide to source/drain contact resistance is reduced.
  • Methodology in accordance with embodiments of the present disclosure includes forming N-type doped eSiGe:C in source/drain regions of a substrate, and amorphizing the eSiGe:C.
  • Still other aspects, features, and technical effects will be readily apparent to those skilled in this art from the following detailed description, wherein preferred embodiments are shown and described, simply by way of illustration of the best mode contemplated. The disclosure is capable of other and different embodiments, and its several details are capable of modifications in various obvious respects. Accordingly, the drawings and description are to be regarded as illustrative in nature, and not as restrictive.
  • FIGS. 4 through 12 schematically illustrate sequential steps of a method in accordance with an exemplary embodiment. Adverting to FIG. 4, gate stack 401, including gate dielectric 403, metal gate 405, amorphous or polycrystalline silicon 407, and nitride cap 409, and gate stack 411, including gate dielectric 413, metal gate 415, amorphous or polycrystalline silicon 417, and nitride cap 419 are formed on active silicon portions 421 and 423 in substrate 425 in a conventional manner. Offset spacers 427 and 429 are formed on each side of gate stack 401 and 411, respectively. Offset spacers 427 and 429 may be formed of an oxide or a nitride to a thickness of about 10 Å to about 100 Å, e.g., about 100 Å.
  • As illustrated in FIG. 5, halo implants 501 a and extension implants 501 b dope the top portion 503 of silicon 421 and halo implants 501 c and extension implants 501 d dope the top portion 505 of silicon 423 for NMOS and PMOS respectively. The NMOS halo implantation 501 a may be boron difluoride (BF2) at an energy of about 10 keV to about 50 keV and at a dosage of about 1e13/cm2 to about 5e13/cm2. The NMOS extension implantation 501 b may be arsenic at an energy of about 1 keV to about 3 keV and at a dosage of about 1e15/cm2 to about 5e15/cm2. The PMOS halo implantation 501 c may be arsenic at an energy of about 10 keV to about 50 keV and at a dosage of about 1e13/cm2 to about 5e13/cm2. The PMOS extension implantation 501 d may be BF2 at an energy of about 1 keV to about 3 keV and at a dosage of about 1e15/cm2 to about 4e15/cm2. The implantation is followed by a rapid thermal anneal (RTA) with the temperature in the range of about 800° C. to about 1065° C.
  • As illustrated in FIG. 6, in-situ boron doped eSiGe (B:eSiGe) is epitaxially grown in a conventional manner in recesses formed in active silicon 423 on each side of gate stack 411 to form PMOS source/drain regions 601.
  • Adverting to FIG. 7, after the formation of the B:eSiGe source/drain regions 601, a silicon nitride (SiN) layer is deposited over the entire substrate. Then a photoresist material is formed over the SiN layer on the PMOS. The SiN and photoresist are lithographically etched forming SiN layer 701 and photoresist 703. The SiN layer around gate stack 401 is reactive ion etched (RIE) to form spacers 705, as shown in FIG. 7.
  • As shown in FIG. 8, recesses 801 defined by SiN spacers 705 are formed in silicon 421 by an RIE process. ISPD eSiGe:C is then epitaxially grown in recesses 801, thereby forming NMOS source/drains 901, as illustrated in FIG. 9. The carbon concentration may be less than about 0.2 at. %, such as about 0.1 at. % to about 0.2 at. %, e.g. about 0.08 at. % to about 0.15 at. %, i.e., about 0.1 at. %. The phosphorus may be introduced in-situ at a dosage of about 1e19/cm3 to about 6e20/cm3. Although the N-type dopant has been described as phosphorus, arsenic may also be employed.
  • Adverting to FIG. 10, a second resist 1001 is formed over the PMOS transistor so that an amorphization implant dopant may be introduced only into the NMOS transistor. A dopant 1003, for example Ge, Xe, or Si, is implanted into the ISPD eSiGe:C to relax or neutralize the compressive strain intrinsic to eSiGe:C and to prevent channeling. The amorphization dopant may be implanted at an energy of about 10 keV to about 50 keV and at a dosage of about 1e13/cm2 to about 1e14/cm2.
  • After the amorphization implant, resist 1001 is removed. Another layer of SiN is formed over the entire surface, including both the NMOS and PMOS transistors. As illustrated in FIG. 11, the SiN layer is then etched to form SiN spacers 1101. A laser anneal follows the formation of spacers 1101.
  • Adverting to FIG. 12, silicide 1201 is formed for both the NMOS and PMOS transistors. Silicide 1201 may be formed in a conventional manner, for example of nickel platinum with about 5 to 10% nickel or of cobalt. Then conventional middle-of-line (MOL) and back-end-of-line (BEOL) processes, such as formation of contacts down to silicide 1201, proceed to complete the CMOS fabrication.
  • The embodiments of the present disclosure can achieve several technical effects, including epitaxial process stability and repeatability with the mature epitaxial tooling (since the process for epitaxially growing eSiGe:C for NMOS transistors is the same and uses the same tools as have been used for epitaxially growing eSiGe:C for PMOS transistors), minimal loading effect with different device pitch, improved epitaxial morphology, acceptable wafer throughput, improved source/drain to channel junction for better short channel control, and lower silicide to eSiGe:C contact resistance. The present disclosure enjoys industrial applicability in any of various types of highly integrated semiconductor devices including NMOS transistors.
  • In the preceding description, the present disclosure is described with reference to specifically exemplary embodiments thereof. It will, however, be evident that various modifications and changes may be made thereto without departing from the broader spirit and scope of the present disclosure, as set forth in the claims. The specification and drawings are, accordingly, to be regarded as illustrative and not as restrictive. It is understood that the present disclosure is capable of using various other combinations and embodiments and is capable of any changes or modifications within the scope of the inventive concept as expressed herein.

Claims (20)

1. A method comprising:
forming N-type doped embedded silicon germanium containing carbon (eSiGe:C) in source/drain regions of a substrate; and
amorphizing the eSiGe:C.
2. The method according to claim 1, comprising forming the N-type eSiGe:C by epitaxially growing eSiGe:C in the source/drain regions.
3. The method according to claim 2, comprising doping the epitaxially grown SiGe:C in-situ with phosphorus.
4. The method according to claim 3, comprising forming the eSiGe:C with less than about 0.2 at. % carbon.
5. The method according to claim 4, comprising forming the eSiGe:C with about 0.08 at. % to about 0.15% carbon.
6. The method according to claim 5, comprising forming the eSiGe:C with about 0.1 at. % carbon.
7. The method according to claim 6, comprising amorphizing to relax compressive strain by implanting a dopant.
8. The method according to claim 7, comprising amorphizing by implanting germanium (Ge), Xenon (Xe), or silicon (Si).
9. A semiconductor device comprising:
a substrate having source/drain regions; and
amorphized N-type doped embedded silicon germanium containing carbon (eSiGe:C) in the source/drain regions.
10. The semiconductor device according to claim 9, wherein the eSiGe:C is epitaxially grown in the source/drain regions.
11. The semiconductor device according to claim 10, wherein the epitaxially grown eSiGe:C is doped in-situ with phosphorus.
12. The semiconductor device according to claim 11, wherein the N-type eSiGe:C contains less than about 0.2 at. % carbon.
13. The semiconductor device according to claim 12, wherein the N-type eSiGe:C contains about 0.08 at. % to about 0.15% carbon.
14. The semiconductor device according to claim 13, wherein the N-type eSiGe:C contains about 0.1% carbon.
15. The semiconductor device according to claim 14, wherein the N-type eSiGe:C contains an implanted amorphizing dopant to reduce compressive strain.
16. The semiconductor device according to claim 15, wherein the amorphizing dopant is Ge, Xe, or Si.
17. A method of fabricating a CMOS semiconductor device comprising:
forming in-situ boron-doped embedded silicon germanium (eSiGe) in first source/drain regions on a substrate, to form a PMOS transistor;
epitaxially growing in-situ phosphorus doped embedded silicon germanium containing carbon (eSiGe:C) in second source/drain regions on a substrate to form an NMOS transistor; and
implanting an amorphization dopant only in the in-situ phosphorus doped eSiGe:C to neutralize compressive strain.
18. The method according to claim 17, comprising growing the eSiGe:C with a carbon content less than about 0.2 at. % carbon.
19. The method according to claim 18, comprising growing the eSiGe:C with a carbon content of about 0.08 at. % to about 0.15% carbon.
20. The method according to claim 19, comprising implanting Ge, Xe, or Si as the amorphizing dopant.
US12/632,351 2009-12-07 2009-12-07 NMOS architecture involving epitaxially-grown in-situ N-type-doped embedded eSiGe:C source/drain targeting Expired - Fee Related US8178414B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/632,351 US8178414B2 (en) 2009-12-07 2009-12-07 NMOS architecture involving epitaxially-grown in-situ N-type-doped embedded eSiGe:C source/drain targeting

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/632,351 US8178414B2 (en) 2009-12-07 2009-12-07 NMOS architecture involving epitaxially-grown in-situ N-type-doped embedded eSiGe:C source/drain targeting

Publications (2)

Publication Number Publication Date
US20110133189A1 true US20110133189A1 (en) 2011-06-09
US8178414B2 US8178414B2 (en) 2012-05-15

Family

ID=44081153

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/632,351 Expired - Fee Related US8178414B2 (en) 2009-12-07 2009-12-07 NMOS architecture involving epitaxially-grown in-situ N-type-doped embedded eSiGe:C source/drain targeting

Country Status (1)

Country Link
US (1) US8178414B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130052783A1 (en) * 2011-08-24 2013-02-28 Globalfoundries Inc. Methods of Forming Stressed Silicon-Carbon Areas in an NMOS Transistor
US20170186776A1 (en) * 2015-12-28 2017-06-29 Lg Display Co., Ltd. Active layer, thin-film transistor array substrate comprising the same, and display device comprising the same
US20180269303A1 (en) * 2017-03-16 2018-09-20 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structures and fabrication methods thereof

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102011080438B3 (en) * 2011-08-04 2013-01-31 Globalfoundries Inc. A manufacturing method of an N-channel transistor having a large-gate metal gate electrode structure and a reduced series resistance by epitaxially-fabricated semiconductor material in the drain and source regions and N-channel transistor

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070123010A1 (en) * 2005-11-30 2007-05-31 Jan Hoentschel Technique for reducing crystal defects in strained transistors by tilted preamorphization
US20070281472A1 (en) * 2006-05-31 2007-12-06 Patrick Press Method of increasing transistor performance by dopant activation after silicidation
US20080299724A1 (en) * 2007-05-31 2008-12-04 Grudowski Paul A Method of making a semiconductor device with embedded stressor
US7625801B2 (en) * 2006-09-19 2009-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation with a pre-amorphous implant
US20090302348A1 (en) * 2008-06-10 2009-12-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
US7763505B2 (en) * 2006-09-29 2010-07-27 Globalfoundries Inc. Method for reducing crystal defects in transistors with re-grown shallow junctions by appropriately selecting crystalline orientations
US7811876B2 (en) * 2008-02-29 2010-10-12 Globalfoundries Inc. Reduction of memory instability by local adaptation of re-crystallization conditions in a cache area of a semiconductor device
US7906383B2 (en) * 2007-08-31 2011-03-15 Advanced Micro Devices, Inc. Stress transfer in an interlayer dielectric by providing a stressed dielectric layer above a stress-neutral dielectric material in a semiconductor device
US7951657B2 (en) * 2009-05-21 2011-05-31 International Business Machines Corporation Method of forming a planar field effect transistor with embedded and faceted source/drain stressors on a silicon-on-insulator (S0I) wafer, a planar field effect transistor structure and a design structure for the planar field effect transistor
US8048750B2 (en) * 2008-03-10 2011-11-01 Texas Instruments Incorporated Method to enhance channel stress in CMOS processes
US8062946B2 (en) * 2003-03-04 2011-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Strained channel transistor structure with lattice-mismatched zone and fabrication method thereof

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8062946B2 (en) * 2003-03-04 2011-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Strained channel transistor structure with lattice-mismatched zone and fabrication method thereof
US20070123010A1 (en) * 2005-11-30 2007-05-31 Jan Hoentschel Technique for reducing crystal defects in strained transistors by tilted preamorphization
US20070281472A1 (en) * 2006-05-31 2007-12-06 Patrick Press Method of increasing transistor performance by dopant activation after silicidation
US7625801B2 (en) * 2006-09-19 2009-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation with a pre-amorphous implant
US7763505B2 (en) * 2006-09-29 2010-07-27 Globalfoundries Inc. Method for reducing crystal defects in transistors with re-grown shallow junctions by appropriately selecting crystalline orientations
US20080299724A1 (en) * 2007-05-31 2008-12-04 Grudowski Paul A Method of making a semiconductor device with embedded stressor
US7906383B2 (en) * 2007-08-31 2011-03-15 Advanced Micro Devices, Inc. Stress transfer in an interlayer dielectric by providing a stressed dielectric layer above a stress-neutral dielectric material in a semiconductor device
US7811876B2 (en) * 2008-02-29 2010-10-12 Globalfoundries Inc. Reduction of memory instability by local adaptation of re-crystallization conditions in a cache area of a semiconductor device
US8048750B2 (en) * 2008-03-10 2011-11-01 Texas Instruments Incorporated Method to enhance channel stress in CMOS processes
US20090302348A1 (en) * 2008-06-10 2009-12-10 International Business Machines Corporation Stress enhanced transistor devices and methods of making
US7951657B2 (en) * 2009-05-21 2011-05-31 International Business Machines Corporation Method of forming a planar field effect transistor with embedded and faceted source/drain stressors on a silicon-on-insulator (S0I) wafer, a planar field effect transistor structure and a design structure for the planar field effect transistor

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130052783A1 (en) * 2011-08-24 2013-02-28 Globalfoundries Inc. Methods of Forming Stressed Silicon-Carbon Areas in an NMOS Transistor
US8536034B2 (en) * 2011-08-24 2013-09-17 Globalfoundries Inc. Methods of forming stressed silicon-carbon areas in an NMOS transistor
US20170186776A1 (en) * 2015-12-28 2017-06-29 Lg Display Co., Ltd. Active layer, thin-film transistor array substrate comprising the same, and display device comprising the same
US10868044B2 (en) * 2015-12-28 2020-12-15 Lg Display Co., Ltd. Active layer, thin-film transistor array substrate comprising the same, and display device comprising the same
US20180269303A1 (en) * 2017-03-16 2018-09-20 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structures and fabrication methods thereof
US10490651B2 (en) * 2017-03-16 2019-11-26 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structures and fabrication methods thereof

Also Published As

Publication number Publication date
US8178414B2 (en) 2012-05-15

Similar Documents

Publication Publication Date Title
US8232172B2 (en) Stress enhanced transistor devices and methods of making
US7741138B2 (en) Semiconductor device and fabricating method thereof
US7838887B2 (en) Source/drain carbon implant and RTA anneal, pre-SiGe deposition
US6812086B2 (en) Method of making a semiconductor transistor
US8809953B2 (en) FET structures with trench implantation to improve back channel leakage and body resistance
US20080242032A1 (en) Carbon-Doped Epitaxial SiGe
US7410875B2 (en) Semiconductor structure and fabrication thereof
US8877581B2 (en) Strain-engineered MOSFETs having rimmed source-drain recesses
US8963248B2 (en) Semiconductor device having SSOI substrate with relaxed tensile stress
WO2017196482A1 (en) Forming non-line-of-sight source drain extension in an nmos finfet using n-doped selective epitaxial growth
US7071046B2 (en) Method of manufacturing a MOS transistor
US8716092B2 (en) Method for fabricating MOS transistors
US8318571B2 (en) Method for forming P-type lightly doped drain region using germanium pre-amorphous treatment
US20140054657A1 (en) Semiconductor device and method of manufacturing same
KR20110038594A (en) Semiconductor device having silicon on stressed liner(sol)
KR20150026712A (en) Source and drain stressors with recessed top surfaces
EP1759420B1 (en) Semiconductor on insulator semiconductor device and method of manufacture
US8178414B2 (en) NMOS architecture involving epitaxially-grown in-situ N-type-doped embedded eSiGe:C source/drain targeting
US9905673B2 (en) Stress memorization and defect suppression techniques for NMOS transistor devices
KR100763230B1 (en) Buried well for semiconductor devices
US8153501B2 (en) Maskless selective boron-doped epitaxial growth
CN107039277B (en) Stress memorization techniques for transistor devices
US9412869B2 (en) MOSFET with source side only stress
CN101814456B (en) IC circuit device and a fabricating method thereof
JP2004281693A (en) Semiconductor device and its manufacturing method

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YANG, BIN;BAI, BO;REEL/FRAME:023614/0084

Effective date: 20091203

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20200515

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117