US20090039439A1 - Integration Scheme for Dual Work Function Metal Gates - Google Patents

Integration Scheme for Dual Work Function Metal Gates Download PDF

Info

Publication number
US20090039439A1
US20090039439A1 US12/255,500 US25550008A US2009039439A1 US 20090039439 A1 US20090039439 A1 US 20090039439A1 US 25550008 A US25550008 A US 25550008A US 2009039439 A1 US2009039439 A1 US 2009039439A1
Authority
US
United States
Prior art keywords
gate
layer
gate electrode
source
work function
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/255,500
Inventor
Manfred Ramin
Michael Pas
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Priority to US12/255,500 priority Critical patent/US20090039439A1/en
Publication of US20090039439A1 publication Critical patent/US20090039439A1/en
Priority to US12/965,528 priority patent/US8748246B2/en
Priority to US12/979,908 priority patent/US8409943B2/en
Priority to US13/855,457 priority patent/US8802519B2/en
Priority to US14/320,719 priority patent/US20140315377A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • This invention relates to the fabrication of dual work function metal gates for CMOS devices.
  • FIG. 1 is a cross-sectional view of a semiconductor structure in accordance with the present invention.
  • FIGS. 2A-2S are cross-sectional diagrams of a process for forming a transistor in accordance with the present invention.
  • FIGS. 3A-3E are cross-sectional diagrams of a process for forming a transistor in accordance with an alternative embodiment of the present invention.
  • FIG. 1 is a cross-sectional view of a semiconductor wafer 10 in accordance with the present invention.
  • CMOS transistors 60 , 70 are formed within a semiconductor substrate 20 having a p-well 30 containing the NMOS transistor 70 and an n-well 40 containing PMOS transistor 60 .
  • the CMOS transistors 60 , 70 are electrically insulated from other active devices located within the semiconductor wafer 10 (not shown) by shallow trench isolation structures 50 formed within the semiconductor substrate 20 ; however, any conventional isolation structure may be used such as field oxide regions or implanted isolation regions.
  • the semiconductor substrate 20 may be a single-crystalline substrate that is doped with n-type and p-type dopants; however, it may also be silicon germanium (“SiGe”) substrate, a silicon-on-insulator (“SOI”) substrate, or a single-crystalline substrate having an epitaxial silicon layer that is doped with n-type and p-type dopants.
  • Transistors such as CMOS transistors 60 , 70 , are generally comprised of a gate, source, and drain. More specifically, as shown in FIG. 1 , the active portion of the CMOS transistors are comprised of source/drain regions 80 , source/drain extension regions 90 , and a gate stack that is comprised of a gate dielectric 100 and gate electrode 110 .
  • the example PMOS transistor 60 is a p-channel MOS transistor. Therefore it is formed within an n-well region 40 of the semiconductor substrate 20 .
  • the deep source/drain regions 80 and the extension regions 90 have p-type dopants, such as boron.
  • the extension regions 90 may be lightly doped (“LDD”), medium doped (“MDD”), or highly doped (“HDD”). However, sources/drain regions 80 are usually heavily doped.
  • the PMOS gate stack is initially comprised of a p-type doped polysilicon electrode 113 and gate oxide dielectric 100 . Subsequent fabrication (described below) adds additional dopants to adjust the work function of the PMOS transistor 60 and then converts the polysilicon electrode 113 to a fully silicided (“FUSI”) gate electrode 110 .
  • FUSI fully silicided
  • the example NMOS transistor 70 is an n-channel MOS transistor. Therefore it is formed within a p-well region 30 of the semiconductor substrate 20 .
  • the deep sources and drains 80 and the source and drain extensions 90 have n-type dopants such as arsenic, phosphorous, antimony, or a combination of n-type dopants.
  • the extension regions 90 may be LDD, MDD, or HDD. However, sources/drain regions 80 are usually heavily doped.
  • the NMOS gate stack is initially comprised of an n-type doped polysilicon electrode 113 and gate oxide dielectric 100 . Subsequent fabrication adds additional dopants to adjust the work function of the NMOS transistor 70 and then converts the polysilicon electrode 113 to a fully silicided (“FUSI”) gate electrode 110 .
  • FUSI fully silicided
  • An offset structure comprising source/drain sidewalls 150 is used during fabrication to enable the proper placement of the source/drain regions 80 . More specifically, the sources/drain regions 80 are formed with the gate stack and source/drain sidewalls 150 as a mask.
  • the extension regions 90 are formed with the gate stack as a mask in the example embodiment. However, it is within the scope of the invention to form the extension regions 90 using the gate stack plus extension sidewalls that are located proximate the gate stack (not shown) as a mask.
  • the sources/drain regions 80 have a layer of silicide 120 that is formed within the top surface of the sources/drain regions 80 during the fabrication process (as described below).
  • the silicide layer 120 formed within the top surface of the sources/drain regions 80 is preferably NiSi or Ni 2 Si; however, it is within the scope of the invention to fabricate the silicide 120 with other metals (such as cobalt, platinum, titanium, tantalum, molybdenum, tungsten, or alloys of these metals).
  • the silicide layer 120 that is formed on the top surface of the sources/drain regions 80 is a self-aligned silicide (i.e. a “salicide”).
  • the source/drain silicide layer 120 is NiSi, Ni 2 Si, or other Ni rich phase of nickel silicide for PMOS transistors 60 and NiSi for NMOS transistors 70 .
  • NiSi Ni 2 Si
  • NiSi Ni rich or NiSi is used in both (NMOS and PMOS) transistors.
  • the gate electrode 110 is also silicided during the semiconductor fabrication process (as also described below).
  • the purpose of the silicide formed within the gate electrode 110 and the top portion of the sources/drain regions 80 is the reduction of the contact resistance between the transistors 60 , 70 and the electrical contacts 170 , 180 .
  • the FUSI gate electrode 110 is fully silicided (“FUSI”) and is preferably comprised of NiSi or Ni 2 Si; however, other metals may be used, such as cobalt, platinum, titanium, tantalum, molybdenum, tungsten, or an alloy.
  • a layer of dielectric insulation 160 surrounds the transistors 60 , 70 .
  • the composition of dielectric insulation 160 may be any suitable material such as SiO 2 , tetraethylorthosilicate (“TEOS”), or organosilicate glass (“OSG”).
  • TEOS tetraethylorthosilicate
  • OSG organosilicate glass
  • the dielectric material 160 electrically insulates the metal contacts 170 (and contact liners 180 ) that electrically connect the CMOS transistors 60 , 70 to other active or passive devices (not shown) that are located throughout the semiconductor wafer 10 .
  • An optional dielectric liner (not shown in FIG. 1 ) may be formed before the placement of the dielectric insulation layer 160 . If used, the dielectric liner may be any suitable material such as silicon nitride.
  • the contacts 170 are comprised of W; however, any suitable material (such as Cu, Ti, Al, or an alloy) may be used.
  • an optional liner material 180 such as Ti, TiN, or Ta (or any combination or layer stack thereof) may be used to reduce the contact resistance at the interface between the contacts 170 and the selected FUSI gate electrode 110 or silicided sources/drain regions 80 .
  • the back-end generally contains one or more interconnect layers (and possibly via layers) that properly route electrical signals and power though out the completed integrated circuit.
  • the FUSI gate electrode 110 of NMOS transistor 70 is doped with an element, such as Yb (ytterbium), from the lanthanide series to shift the work function of the NMOS transistor 70 . It is also within the scope of the invention to implant additional n-type dopants into the FUSI gate electrode 110 , such as As, in order to reduce (i.e. scale down) the work function enhancement that results from the presence of the lanthanide element dopant. Moreover, the gate dielectric 100 of the NMOS transistor 70 also contains dopants, such as Yb (ytterbium), from the lanthanide series.
  • the lanthanide series dopant Yb will react with the oxygen in the gate dielectric to form Yb 2 O 3 .
  • the heaviest concentration of Yb 2 O 3 will be along the interface between the dielectric 100 and the Yb doped FUSI electrode 110 .
  • the presence of Yb in the gate dielectric may increase the dielectric constant (“k”) value of the gate dielectric 100 .
  • the FUSI gate electrode 110 of PMOS transistor 60 is doped with an element, such as Ga (gallium), from the Group IIIa series to shift the work function of the PMOS transistor 60 . It is also within the scope of the invention to oxidize the Group IIIa dopant in order to accelerate (i.e. increase) the work function enhancement that results from the presence of the Group IIIa element dopant or its oxide.
  • the gate dielectric 100 of the PMOS transistor 60 also contains dopants, such as Ga, from the Group IIIa series. For example, the Group IIIa series dopant Ga will react with the oxygen in the gate dielectric to form Ga 2 O 3 .
  • the presence of Ga in the gate dielectric may increase the dielectric constant (“k”) value of the gate dielectric 100 .
  • FIGS. 2A-2S are cross-sectional views of a partially fabricated semiconductor wafer 10 illustrating a process for forming an example PMOS transistor 60 and NMOS transistor 70 in accordance with the present invention.
  • the following example application is exemplary but not restrictive of alternative ways of implementing the principles of the invention.
  • features and procedures whose implementations are well known to those skilled in the art are omitted for brevity.
  • the implementation of common fabrication steps lies within the ability of those skilled in the art and accordingly any detailed discussion thereof may be omitted.
  • FIG. 2A is a cross-sectional view of the semiconductor wafer 10 after the formation of the gate oxide layer 105 and the gate polysilicon layer 115 on the top surface of a semiconductor substrate 20 .
  • the semiconductor substrate 20 is silicon; however any suitable material such as germanium or gallium arsenide may be used.
  • the semiconductor substrate 20 contains a p-well 30 for the NMOS transistor 70 and an n-well 40 for the PMOS transistor 60 .
  • the semiconductor substrate 20 contains shallow trench isolation structures 50 that are formed using any suitable standard process.
  • the gate oxide layer 105 and the gate polysilicon layer 115 are formed using well-known manufacturing techniques.
  • the first layer formed over the surface of the semiconductor substrate 20 is a gate dielectric oxide layer 105 .
  • the gate dielectric layer 105 is silicon dioxide that is 10-50 ⁇ thick and it is formed with a thermal oxidation process.
  • the gate dielectric layer 105 may be any suitable material, such as plasma nitrided silicon oxide, silicon nitride, or a high-k gate dielectric material, and it may be formed using any one of a variety of standard processes such as an oxidation process, thermal nitridation, atomic layer deposition (“ALD”), plasma nitridation, physical vapor deposition (“PVD”), or chemical vapor deposition (“CVD”).
  • ALD atomic layer deposition
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • a gate electrode polysilicon layer 115 is then formed on the surface of the gate dielectric layer 105 .
  • the gate electrode layer 115 is comprised of polycrystalline silicon and it is 500-1500 ⁇ thick in the example application. However, it is within the scope of the invention to use other materials such as an amorphous silicon, a silicon alloy (e.g. SiGe), or other suitable materials.
  • the gate electrode layer 115 may be formed using any standard process technique such as CVD or PVD.
  • the gate polysilicon layer 115 may be any suitable thickness, such as 500-1500 ⁇ .
  • a gate hardmask layer 145 is then formed on the surface of the gate electrode layer 115 .
  • the gate hardmask layer 145 is comprised of silicon dioxide (SiO 2 ) in the example application.
  • SiO 2 silicon dioxide
  • the gate hardmask layer 145 is formed with a rapid thermal CVD (“RTCVD”) process using silane or dichlorosilane and ammonia precursors; however, any suitable process may be used.
  • RTCVD rapid thermal CVD
  • the gate hardmask layer 145 may be any suitable thickness, such as 100-600 ⁇ . The purpose of the gate hardmask layer 145 is to protect the gate polysilicon layer 113 during the source/drain formation and silicidation processes (described below).
  • a gate stack having a gate dielectric 100 , a gate electrode 113 , and gate hardmask layer 140 will be formed from the gate oxide layer 105 , the gate polysilicon layer 115 , and the gate hardmask layer 145 respectively.
  • This gate stack shown in FIG. 2B , may be created through a variety of processes.
  • the gate stack may be created by forming a layer of photoresist over the semiconductor wafer, patterning the photoresist, and then using the photoresist pattern to etch the gate oxide layer 105 , the doped gate polysilicon layer 115 , and the hardmask layer 145 .
  • the gate stack is etched using any suitable etch process that is capable of etching polysilicon doped with lanthanide or Group IIIa, such as an anisotropic etch using plasma or reactive ions.
  • the fabrication of the PMOS transistors 60 and the NMOS transistors 70 now continues with standard process steps.
  • the next step is the formation of the extension regions 90 using the gate stack as a template, as shown in FIG. 2C .
  • the extension regions 90 are formed near the top surface of the semiconductor substrate 20 using any standard process.
  • the extension regions 90 may be formed by low-energy ion implantation, gas phase diffusion, or solid phase diffusion.
  • the dopants used to create the extension regions 90 for a PMOS transistor 120 are p-type (i.e. boron).
  • the dopants used to create the extension regions 90 for a NMOS transistor 70 are n-type (i.e. phosphorous or arsenic). However, other dopants or combinations of dopants may be used.
  • extension sidewalls may be formed on the outer surface of the gate stack and used (along with the gate stack) as the mask to form the extension regions 90 .
  • the extension sidewalls may be formed from a single material or may be formed from more than one layer of materials.
  • the extension sidewalls may be comprised of an oxide, oxi-nitride, silicon dioxide, nitride, or any other dielectric material or layers of dielectric materials.
  • the material layers for the extension sidewalls may be formed with any suitable process, such as thermal oxidation, or deposition by ALD, CVD, or PVD.
  • At least one layer of the extension sidewall is comprised of a silicon nitride that is formed with a CVD process that uses a bis-t-butylaminosilane (“BTBAS”) precursor.
  • BBAS bis-t-butylaminosilane
  • Forming the silicon nitride layer with that precursor will help guard against the etching of the extension sidewalls during the process of removing the gate hardmask layer later in the fabrication process (because of the low etch rate of BTBAS in the etching solution that is used for the hardmask layer removal).
  • the extension regions 90 are activated by an anneal process (performed now or later).
  • This anneal step may be performed with any suitable process such as rapid thermal anneal (“RTA”).
  • RTA rapid thermal anneal
  • halo implant regions within the p-well 30 and the n-well 40 (not shown).
  • the optional halo implants may be formed with any standard implant or diffusion process within (or proximate to) the extension regions 90 .
  • source/drain sidewalls 150 are now formed proximate to the gate stack (or to the extension sidewalls, if used).
  • the source/drain sidewalls 150 may be formed using any standard process and materials.
  • the example source/drain sidewalls 150 may be comprised of a cap oxide and a silicon nitride layer that are formed with a CVD process and subsequently anisotropically etched (preferably using standard anisotropic plasma etch processes). However, it is within the scope of the invention to use more layers (i.e. a spacer oxide layer, a silicon layer, and a final oxide layer) or less layers (i.e.
  • the semiconductor wafer 10 is usually subjected to a standard post-etch cleaning process after the formation of the source/drain sidewalls 150 .
  • the source/drain sidewalls 150 are used as a template for the implantation of dopants into the source/drain regions 80 shown in FIG. 2D .
  • the source/drain regions 80 may be formed through any one of a variety of processes, such as deep ion implantation or deep diffusion.
  • the dopants used to create the source/drain regions 80 for a PMOS transistor 60 are typically boron; however, other dopants or combinations for dopants may be used.
  • the dopants used to create the source/drain regions 80 for a NMOS transistor 70 are typically phosphorous or arsenic; however, other dopants or combinations for dopants may be used.
  • the implantation of the dopants is self-aligned with respect to the outer edges of the source/drain sidewalls 150 .
  • the source/drain regions 80 are activated by an anneal step. (However, the extension region anneal and the source/drain region anneal may be combined and performed at this point in the fabrication process.) This anneal step acts to repair the damage to the semiconductor wafer and to activate the dopants.
  • the activation anneal may be performed by any suitable technique such as RTA (including spike anneal), flash lamp annealing (“FLA”), laser annealing, or a combination thereof.
  • This anneal step often causes lateral and vertical migration of dopants in the extension regions 90 and the sources/drain regions 80 (not shown). In addition, this anneal step will cause the recrystallization of the ion implant areas 80 , 90 (or the full crystallization of the ion implant areas 80 , 90 if this is the first anneal).
  • the gate hardmask 140 blocked the implantation of dopants into the polysilicon electrode 113 during the implementation processes that were used to form the extension regions 90 and the source/drain regions 80 . Specifically, the gate hardmask 140 stores the dopants that were directed to the gate electrode 113 and then those stored dopants are removed when the gate hardmask is removed (as described infra.). As a result, the gate hardmask 140 may protect the gate electrode 113 from an undesirable work function shift.
  • the next step in the manufacturing process is the performance of the source/drain silicide loop.
  • the purpose of the source/drain silicide loop is the creation of a source/drain silicide 120 on the exposed top surface of the source/drain regions 80 .
  • the first step of the suicide loop is the deposition of an metal layer 125 over the top surface of the semiconductor wafer 10 .
  • the metal layer 125 is preferably comprised of Ni; however, other suitable materials such as Co, Pt, Ti, Ta, Mo, W, or their alloys may be used.
  • the silicidation metal layer 125 is between 4-10 nm thick and is formed using a PVD process.
  • An optional capping layer may also be formed over the metal layer 125 . If used, the capping layer acts as a passivation layer that prevents the diffusion of oxygen from ambient into the metal layer 125 .
  • the capping layer may be any suitable material, such as TiN, and may be between 5-30 nm thick.
  • the second step of the silicide loop is an anneal.
  • the semiconductor wafer 10 may be annealed with any suitable process, such as RTA.
  • the silicide anneal is performed for 10-60 seconds at a temperature between 300-500° C. This anneal process will cause a silicide 120 (i.e. a Ni-rich silicide or Ni mono-silicide) to form over all active surfaces that are in contact with the metal layer 125 ; namely, the surface of the source/drain regions 80 .
  • a silicide 120 i.e. a Ni-rich silicide or Ni mono-silicide
  • the metal layer 125 will only react with the active substrate (i.e. exposed Si); namely, the source/drain 80 . Therefore, the source/drain silicide 120 formed by this annealing process is considered a self-aligned silicide (“salicide”). It is also to be noted that the gate electrode 113 was not modified by the silicide loop anneal because the gate electrode 113 was protected from the metal layer 125 by the gate hardmask 140 and the source/drain sidewalls 150 (which overlap the gate hardmask 140 ).
  • the third step in the silicide loop is the removal of the un-reacted metal layer 125 , as shown in FIG. 2G .
  • the un-reacted metal layer 125 (and the capping layer, if used) is removed using any suitable process such as a wet etch process (i.e. using a fluid mixture of sulfuric acid, hydrogen peroxide, and water).
  • the fourth step of the silicide loop is the performance of a second anneal (such as another RTA) to further react the source/drain silicide 120 with the source/drain regions 80 .
  • a second silicide anneal is performed for 10-60 seconds at a temperature between 400-600° C. If the initial anneal process of the silicide loop did not complete the silicidation process, this second anneal will ensure the formation of a mono-silicide NiSi—which lowers the sheet resistance of the source/drain silicide 120 .
  • the next step is the conformal deposition of an etch stop layer 200 over the semiconductor wafer 10 .
  • the etch stop layer 200 is Si 3 N 4 ; however, any other suitable material such as bistertianry-butylamionsilane (“BTBAS”) may be used.
  • BBAS bistertianry-butylamionsilane
  • the etch stop layer 200 is less than 350 ⁇ thick and it is formed using a standard deposition process.
  • a Pre-Metal Deposition (“PMD”) isolation layer 210 is deposited over the etch stop layer 200 .
  • the PMD isolation layer 210 is preferably TEOS; however, other dielectric materials such as OSG may be used.
  • the PMD isolation layer 210 is between 1000-3000 ⁇ thick, and it is formed using a standard deposition process.
  • CMP Chemical Mechanical Polish
  • FIG. 2I A standard Chemical Mechanical Polish (“CMP”), which is selective to silicon nitride, is now performed. As shown in FIG. 2I , the CMP continues to planarize the PMD isolation layer 210 until those portions of the etch stop layer 200 that are located over the gate hardmask 140 of the PMOS 60 and NMOS 70 transistors are exposed.
  • suitable alternative processes that are selective to silicon nitride to expose the portions of the etch stop layer 200 that are located over the gate hardmasks 140 .
  • a dry etch process may also be used to reduce the thickness of the PMD isolation layer 210 , thereby exposing the highest surfaces of the etch stop layer 200 (which are located over the gate hardmasks 140 of the PMOS 60 and NMOS 70 transistors).
  • the exposed portion of the etch stop layer 200 is now removed with any suitable process, such as a wet etch (using a solution containing H 3 PO 4 at a temperature between 160-180° C.) or a dry etch. Also as shown in FIG. 2J , the gate hardmasks 140 of the PMOS transistor 60 and the NMOS 70 transistor are now exposed.
  • the gate hardmask 140 is removed by a wet etch using a dilute HF solution.
  • any suitable process may be used to remove the gate hardmask 140 , such as a wet etch using buffered HF or a dry etch using anhydrous HF.
  • the dopants collected in the gate hardmask 140 during the implantation of the source/drain regions ( FIG. 2D ) are now removed with the gate hardmask 140 .
  • the source/drain sidewalls 150 were formed with the gate hardmask 140 in place over the gate electrode 113 , the source/drain sidewall structures 150 will now stretch beyond the top surface of the gate electrode 113 , as shown in FIG. 2K .
  • the gate hardmask 140 With the gate hardmask 140 removed, the polysilicon gate electrode 113 is now exposed and therefore available for dopant implantation to tune the work function of the PMOS 60 and NMOS 70 transistors. As noted supra, the dopants intercepted and stored in the gate hardmask 140 (to protect the poly gate electrode 113 from the source/drain implantation step) are removed with gate hardmask material during this etch step.
  • the work function of the PMOS transistor 60 and the NMOS transistor 70 is adjusted with different dopant types; therefore, the work function adjustment implant is performed on the PMOS transistor 60 and the NMOS transistor 70 in separate steps.
  • the work function of the PMOS transistor is adjusted first.
  • a standard photoresist process is used to initially form a layer of photoresist over the semiconductor wafer 10 and then the photoresist layer patterned and developed to form a patterned photoresist layer 220 that simultaneously protects the region containing the NMOS transistor while exposing the region containing the PMOS transistor, as shown in FIG. 2L .
  • the PMOS work function adjustment implant 230 is now performed on the exposed region containing the PMOS transistor 60 .
  • p-type dopants selected from the Group IIIa series e.g. B, Al, Ga, In, TI
  • Ga is implanted into the gate electrode 113 with a standard high current implanter (such as the high current implanters sold by Varian or Axcellis).
  • the Group IIIa series dopant is implanted into the upper 1 ⁇ 3 of the gate electrode 113 in order to guard against the diffusion of the Group IIIa series dopants past the gate dielectric 100 (into the channel region) during any subsequent anneal process.
  • Any suitable process parameters may be used, such as a dose of 2 ⁇ 10 14 to 2 ⁇ 10 15 atoms/cm 2 and an energy of 5 to 20 keV.
  • the PMOS transistor has a work function of approximately 5.0 eV.
  • the O or O 2 implant can be done either before or after the Group IIIa implant in the PMOS transistor 60 .
  • This optional implant provides further control of the tuning of the gate electrode work function during the fabrication of the semiconductor wafer 10 .
  • etch a portion of the gate electrode 113 after the work function implant to create a nickel-rich FUSI gate electrode 110 , thereby increasing the work function of the PMOS FUSI gate electrode 110 .
  • Any suitable process may be used to reduce the height of the gate electrode 110 , such as a standard wet or dry etch.
  • the Ga dopant interacts with the oxygen within the gate dielectric 100 , creating a layer of Ga 2 O 3 that is preferably located close to the interface between the gate dielectric 100 and the Ga implanted gate electrode 113 .
  • the presence of Ga in the gate dielectric 100 may cause an increase in the k value of the gate dielectric 100 .
  • the presence of Ga 2 O 3 at or near the interface between the gate dielectric 113 and the silicon substrate 20 may cause undesirable consequences, such as decreased channel mobility and increased gate dielectric leakage.
  • a standard ash and clean process is used to remove the patterned photoresist 220 over the NMOS region and now the work function adjustment implant process is performed on the NMOS region.
  • a standard photoresist process is used to form a layer of photoresist over the semiconductor wafer 10 and then the photoresist layer is patterned and developed to form a patterned photoresist layer 220 that simultaneously protects the region containing the PMOS transistor while exposing the region containing the NMOS transistor, as shown in FIG. 2M .
  • the NMOS work function adjustment implant 240 is now performed on the exposed region containing the NMOS transistor 70 .
  • n-type dopants selected from the lanthanide series e.g. Yb, Gd, Ce, Pr, Nd, Sm, Eu, Tb, Dy, Ho, Er, Tm
  • Yb is implanted into the gate electrode 113 with a standard high current implanter (such as the high current implanters sold by Varian or Axcellis).
  • the lanthanide series dopant is implanted into the upper 1 ⁇ 3 of the gate electrode 113 in order to guard against the diffusion of the lanthanide series dopants past the gate dielectric 100 (into the channel region) during any subsequent anneal process.
  • Any suitable process parameters may be used, such as an implantation of Yb species at a dose of 1 ⁇ 10 14 to 5 ⁇ 10 15 atoms/cm 2 and energy of 15 to 30 keV.
  • the NMOS transistor 70 has a work function of approximately 4.1 eV.
  • n-type dopants such as As, P, Sb, or a combination thereof
  • This optional implant supports additional tuning of the work function of the lanthanide implanted NMOS transistor 70 . If used, this optional implant is performed before the work function adjustment implant 240 because an n-type dopant (such as As) will probably facilitate the out diffusion of the lanthanide series dopant during the work function adjustment implant 240 (thereby moving the work function away from the band edge).
  • the Yb dopant interacts with the oxygen within the gate dielectric 100 , creating a layer of Yb 2 O 3 that is preferably located close to the interface between the gate dielectric 100 and the Yb-implanted gate electrode 113 .
  • the presence of Yb in the gate dielectric 100 may cause in increase in the k value of the gate dielectric 100 .
  • the presence of Yb 2 O 3 at or near the interface between the gate dielectric 113 and the silicon substrate 20 may cause undesirable consequences, such as decreased channel mobility and increased gate dielectric leakage.
  • the dopants implanted during the work function adjustment implantation steps 230 and 240 will not be affected by the anneal temperatures used earlier to anneal the source/drain regions 80 (and the extension regions 90 ). Therefore the reduced thermal budget of the work function implant processes 230 , 240 of this example application accommodate a more sensitive tuning of the work function (than can be realized with the large thermal budget of the source/drain anneal in combination with the work function adjustment implant).
  • the PMD isolation layer 210 (and the etch stop layer 200 ) protected the silicided source/drain region 80 from the work function adjustment implants 230 , 240 .
  • the PMD isolation layer 210 (and the etch stop layer 200 ) will protect the silicided source/drain region 80 from the gate silicide process (infra).
  • a standard ash and clean process is used to remove the patterned photoresist 220 over the PMOS region, as shown in FIG. 2N , and the semiconductor wafer 10 is now prepared for the gate silicide loop.
  • the semiconductor wafer 10 is prepared for the gate silicide loop by removing the PMD isolation layer 210 with any suitable process, such as a wet clean (using dilute HF) or a back grind process.
  • the etch stop layer 200 remains on the semiconductor wafer 10 during the gate silicide loop in order to protect the silicided source/drain regions 80 from increased silicidation.
  • a standard etch may be performed to reduce the height of the PMOS gate electrode 113 before the gate silicide loop is performed. This optional step of reducing the height of the PMOS gate electrode 113 facilitates the formation of a nickel-rich silicide in the PMOS transistor 60 , thereby increasing the work function of the FUSI gate electrode 110 .
  • a gate metal layer 250 is now formed over the semiconductor wafer 10 .
  • the metal layer 250 is preferably comprised of nickel; however, other suitable materials such as cobalt, platinum, titanium, tantalum, molybdenum, tungsten, or an alloy may be used.
  • the metal layer 250 is designed to fully silicidize the polysilicon electrode 250 . As it takes approximately 1 nm of nickel to fully silicidize approximately 1.8 nm of polysilicon, the thickness of the metal layer 250 should be at least 56% of the thickness of the polysilicon gate electrode 113 . To provide process margin, it is suggested that the thickness of the metal layer 250 should be at least 60% of the thickness of the polysilicon gate electrode 113 .
  • An optional capping layer 260 may also be formed over the metal layer 250 . If used, the capping layer 230 acts as a passivation layer that prevents the diffusion of oxygen from ambient into the metal layer 250 .
  • the capping layer may be any suitable material, such as TiN or Ti. In the example application, the optional capping layer 260 is between 5-30 nm thick.
  • the semiconductor wafer 10 is now annealed with any suitable process 270 , such as a RTA.
  • the silicide anneal is performed for 10-60 seconds at a temperature between 300-500° C.
  • the polysilicon gate electrode 113 becomes a fully silicided (“FUSI”)gate electrode 110 , as shown in FIG. 2Q .
  • FUSI fully silicided
  • the metal layer 250 will not react with the silicided sources/drains 80 because they are protected from further silicidation by their previously formed etch stop layer 200 .
  • the next step is the removal of the un-reacted portions of the silicidaton metal layer 250 , as shown in FIG. 2R .
  • the metal layer 250 (and the capping layer 260 , if used) is removed with any suitable process such as a selective wet etch process (i.e. using a fluid mixture of sulfuric acid, hydrogen peroxide, and water).
  • the second silicide anneal is performed for 30-120 seconds at a temperature between 400-600° C. If the initial anneal process did not complete the silicidation process, this second anneal will ensure the formation of a NiSi having a lowered sheet resistance.
  • the gate electrode 110 is fully silicided (“FUSI”) through the silicidation process in the example application.
  • the lanthanide series dopant contained within the NMOS transistor 70 will diffuse during the anneal steps of the gate silicide loop (causing lanthanide elements to react with the gate dielectric 100 ). As a result, the NMOS transistor 70 will have a higher k value (plus a higher capacitance) and the gate leakage may be reduced.
  • the gate silicide loop will form a nickel-rich silicide 111 within the PMOS gate electrode if the height of the PMOS gate electrode 113 was reduced before the gate silicidation process, as discussed supra.
  • the presence of a nickel-rich suicide may allow the PMOS transistor 60 to have an increased work function.
  • the etch stop layer 200 and the PMD isolation layer 210 would be formed over the semiconductor wafer 10 after the formation of the source/drain regions, as shown in FIG. 3A . Then the subsequent steps of the invention discussed above and shown in FIGS. 2I-2S would be performed.
  • the semiconductor wafer 10 is prepared for the silicide loop by removing the etch stop layer 200 , as shown in FIG. 3B . Now the semiconductor wafer 10 is ready for the silicide loop, which forms the silicide 120 on the exposed upper surface of the source/drain regions 80 .
  • a metal layer 125 (plus an optional capping layer, if used) is formed over the semiconductor wafer 10 , as shown in FIG. 3C .
  • the semiconductor wafer 10 is then annealed (using an RTA process) in order to form the source/drain silicide films 120 , as also shown in FIG. 3D .
  • the un-reacted metal layer 125 (and the optional capping layer, if used) is now removed with a wet etch process and the semiconductor wafer 10 may now be subjected to a second RTA silicide anneal, as described above.
  • the fabrication of the semiconductor wafer 10 now continues (using standard process steps) until the semiconductor device is complete.
  • the next step is the formation of the dielectric insulator layer 160 using plasma-enhanced chemical vapor deposition (“PECVD”) or another suitable process (see FIG. 1 ).
  • PECVD plasma-enhanced chemical vapor deposition
  • the dielectric insulator 160 may be comprised of any suitable material such as SiO 2 or OSG. (However, a dielectric liner may be formed over the semiconductor wafer 10 before the placement of the dielectric insulator 160 .)
  • the contacts 170 are formed by etching the dielectric insulator layer 160 to expose the desired gate, source and/or drain.
  • the etched spaces are usually filled with a liner 180 to improve the electrical interface between the silicide and the contact 170 .
  • contacts 170 are formed within the liner 180 ; creating the electrical interconnections between various semiconductor components located within the semiconductor substrate 20 .
  • the fabrication of the final integrated circuit continues with the fabrication of the back-end structure. Once the fabrication process is complete, the integrated circuit will be tested and then packaged.
  • interfacial layers may be formed between any of the layers shown.
  • any of the implant processes may be followed by a post ion implantation clean.
  • an anneal process may be performed after any step in the above-described fabrication process. When used, the anneal process can improve the microstructure of materials and thereby improve the quality of the semiconductor structure. Additionally, if a metal other than Ni is used then higher temperatures may be required for the described anneal processes.

Abstract

A method for making PMOS and NMOS transistors 60, 70 on a semiconductor substrate includes having a gate hardmask over the gate electrode layer during the formation of transistor source/drain regions. The method includes an independent work function adjustment process that implants Group IIIa series dopants into a gate polysilicon layer of a PMOS transistor and implants Lanthanide series dopants into a gate polysilicon layer of NMOS.

Description

    BACKGROUND OF THE INVENTION
  • This invention relates to the fabrication of dual work function metal gates for CMOS devices.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a cross-sectional view of a semiconductor structure in accordance with the present invention.
  • FIGS. 2A-2S are cross-sectional diagrams of a process for forming a transistor in accordance with the present invention.
  • FIGS. 3A-3E are cross-sectional diagrams of a process for forming a transistor in accordance with an alternative embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention is described with reference to the attached figures, wherein like reference numerals are used throughout the figures to designate similar or equivalent elements. The figures are not drawn to scale and they are provided merely to illustrate the invention. Several aspects of the invention are described below with reference to example applications for illustration. It should be understood that numerous specific details, relationships, and methods are set forth to provide a full understanding of the invention. One skilled in the relevant art, however, will readily recognize that the invention can be practiced without one or more of the specific details or with other methods. In other instances, well-known structures or operations are not shown in detail to avoid obscuring the invention. The present invention is not limited by the illustrated ordering of acts or events, as some acts may occur in different orders and/or concurrently with other acts or events. Furthermore, not all illustrated acts or events are required to implement a methodology in accordance with the present invention.
  • Referring to the drawings, FIG. 1 is a cross-sectional view of a semiconductor wafer 10 in accordance with the present invention. In the example application, CMOS transistors 60, 70 are formed within a semiconductor substrate 20 having a p-well 30 containing the NMOS transistor 70 and an n-well 40 containing PMOS transistor 60.
  • The CMOS transistors 60, 70 are electrically insulated from other active devices located within the semiconductor wafer 10 (not shown) by shallow trench isolation structures 50 formed within the semiconductor substrate 20; however, any conventional isolation structure may be used such as field oxide regions or implanted isolation regions. The semiconductor substrate 20 may be a single-crystalline substrate that is doped with n-type and p-type dopants; however, it may also be silicon germanium (“SiGe”) substrate, a silicon-on-insulator (“SOI”) substrate, or a single-crystalline substrate having an epitaxial silicon layer that is doped with n-type and p-type dopants.
  • Transistors, such as CMOS transistors 60, 70, are generally comprised of a gate, source, and drain. More specifically, as shown in FIG. 1, the active portion of the CMOS transistors are comprised of source/drain regions 80, source/drain extension regions 90, and a gate stack that is comprised of a gate dielectric 100 and gate electrode 110.
  • The example PMOS transistor 60 is a p-channel MOS transistor. Therefore it is formed within an n-well region 40 of the semiconductor substrate 20. In addition, the deep source/drain regions 80 and the extension regions 90 have p-type dopants, such as boron. The extension regions 90 may be lightly doped (“LDD”), medium doped (“MDD”), or highly doped (“HDD”). However, sources/drain regions 80 are usually heavily doped. The PMOS gate stack is initially comprised of a p-type doped polysilicon electrode 113 and gate oxide dielectric 100. Subsequent fabrication (described below) adds additional dopants to adjust the work function of the PMOS transistor 60 and then converts the polysilicon electrode 113 to a fully silicided (“FUSI”) gate electrode 110.
  • The example NMOS transistor 70 is an n-channel MOS transistor. Therefore it is formed within a p-well region 30 of the semiconductor substrate 20. In addition, the deep sources and drains 80 and the source and drain extensions 90 have n-type dopants such as arsenic, phosphorous, antimony, or a combination of n-type dopants. The extension regions 90 may be LDD, MDD, or HDD. However, sources/drain regions 80 are usually heavily doped. The NMOS gate stack is initially comprised of an n-type doped polysilicon electrode 113 and gate oxide dielectric 100. Subsequent fabrication adds additional dopants to adjust the work function of the NMOS transistor 70 and then converts the polysilicon electrode 113 to a fully silicided (“FUSI”) gate electrode 110.
  • An offset structure comprising source/drain sidewalls 150 is used during fabrication to enable the proper placement of the source/drain regions 80. More specifically, the sources/drain regions 80 are formed with the gate stack and source/drain sidewalls 150 as a mask. The extension regions 90 are formed with the gate stack as a mask in the example embodiment. However, it is within the scope of the invention to form the extension regions 90 using the gate stack plus extension sidewalls that are located proximate the gate stack (not shown) as a mask.
  • The sources/drain regions 80 have a layer of silicide 120 that is formed within the top surface of the sources/drain regions 80 during the fabrication process (as described below). The silicide layer 120 formed within the top surface of the sources/drain regions 80 is preferably NiSi or Ni2Si; however, it is within the scope of the invention to fabricate the silicide 120 with other metals (such as cobalt, platinum, titanium, tantalum, molybdenum, tungsten, or alloys of these metals). In the example application, the silicide layer 120 that is formed on the top surface of the sources/drain regions 80 is a self-aligned silicide (i.e. a “salicide”). Generally, the source/drain silicide layer 120 is NiSi, Ni2Si, or other Ni rich phase of nickel silicide for PMOS transistors 60 and NiSi for NMOS transistors 70. However, where a single electrode phase is used in both electrodes, then Ni rich or NiSi is used in both (NMOS and PMOS) transistors.
  • The gate electrode 110 is also silicided during the semiconductor fabrication process (as also described below). The purpose of the silicide formed within the gate electrode 110 and the top portion of the sources/drain regions 80 is the reduction of the contact resistance between the transistors 60, 70 and the electrical contacts 170, 180. The FUSI gate electrode 110 is fully silicided (“FUSI”) and is preferably comprised of NiSi or Ni2Si; however, other metals may be used, such as cobalt, platinum, titanium, tantalum, molybdenum, tungsten, or an alloy.
  • A layer of dielectric insulation 160 surrounds the transistors 60, 70. The composition of dielectric insulation 160 may be any suitable material such as SiO2, tetraethylorthosilicate (“TEOS”), or organosilicate glass (“OSG”). The dielectric material 160 electrically insulates the metal contacts 170 (and contact liners 180) that electrically connect the CMOS transistors 60, 70 to other active or passive devices (not shown) that are located throughout the semiconductor wafer 10. An optional dielectric liner (not shown in FIG. 1) may be formed before the placement of the dielectric insulation layer 160. If used, the dielectric liner may be any suitable material such as silicon nitride.
  • In this example application, the contacts 170 are comprised of W; however, any suitable material (such as Cu, Ti, Al, or an alloy) may be used. In addition, an optional liner material 180 such as Ti, TiN, or Ta (or any combination or layer stack thereof) may be used to reduce the contact resistance at the interface between the contacts 170 and the selected FUSI gate electrode 110 or silicided sources/drain regions 80.
  • Subsequent fabrication will create the “back-end” portion of the integrated circuit (not shown). The back-end generally contains one or more interconnect layers (and possibly via layers) that properly route electrical signals and power though out the completed integrated circuit.
  • In the example application shown in FIG. 1, the FUSI gate electrode 110 of NMOS transistor 70 is doped with an element, such as Yb (ytterbium), from the lanthanide series to shift the work function of the NMOS transistor 70. It is also within the scope of the invention to implant additional n-type dopants into the FUSI gate electrode 110, such as As, in order to reduce (i.e. scale down) the work function enhancement that results from the presence of the lanthanide element dopant. Moreover, the gate dielectric 100 of the NMOS transistor 70 also contains dopants, such as Yb (ytterbium), from the lanthanide series. For example, the lanthanide series dopant Yb will react with the oxygen in the gate dielectric to form Yb2O3. (Note that the heaviest concentration of Yb2O3 will be along the interface between the dielectric 100 and the Yb doped FUSI electrode 110.) The presence of Yb in the gate dielectric may increase the dielectric constant (“k”) value of the gate dielectric 100.
  • Similarly, the FUSI gate electrode 110 of PMOS transistor 60 is doped with an element, such as Ga (gallium), from the Group IIIa series to shift the work function of the PMOS transistor 60. It is also within the scope of the invention to oxidize the Group IIIa dopant in order to accelerate (i.e. increase) the work function enhancement that results from the presence of the Group IIIa element dopant or its oxide. Moreover, the gate dielectric 100 of the PMOS transistor 60 also contains dopants, such as Ga, from the Group IIIa series. For example, the Group IIIa series dopant Ga will react with the oxygen in the gate dielectric to form Ga2O3. (Note that the heaviest concentration of Ga2O3 will be along the interface between the dielectric 100 and the Ga doped FUSI electrode 110.) The presence of Ga in the gate dielectric may increase the dielectric constant (“k”) value of the gate dielectric 100.
  • Referring again to the drawings, FIGS. 2A-2S are cross-sectional views of a partially fabricated semiconductor wafer 10 illustrating a process for forming an example PMOS transistor 60 and NMOS transistor 70 in accordance with the present invention. The following example application is exemplary but not restrictive of alternative ways of implementing the principles of the invention. Moreover, features and procedures whose implementations are well known to those skilled in the art are omitted for brevity. For example, the implementation of common fabrication steps lies within the ability of those skilled in the art and accordingly any detailed discussion thereof may be omitted.
  • FIG. 2A is a cross-sectional view of the semiconductor wafer 10 after the formation of the gate oxide layer 105 and the gate polysilicon layer 115 on the top surface of a semiconductor substrate 20. In the example application, the semiconductor substrate 20 is silicon; however any suitable material such as germanium or gallium arsenide may be used. The semiconductor substrate 20 contains a p-well 30 for the NMOS transistor 70 and an n-well 40 for the PMOS transistor 60. In addition, the semiconductor substrate 20 contains shallow trench isolation structures 50 that are formed using any suitable standard process.
  • The gate oxide layer 105 and the gate polysilicon layer 115 are formed using well-known manufacturing techniques. The first layer formed over the surface of the semiconductor substrate 20 is a gate dielectric oxide layer 105. As an example, the gate dielectric layer 105 is silicon dioxide that is 10-50 Å thick and it is formed with a thermal oxidation process. However, the gate dielectric layer 105 may be any suitable material, such as plasma nitrided silicon oxide, silicon nitride, or a high-k gate dielectric material, and it may be formed using any one of a variety of standard processes such as an oxidation process, thermal nitridation, atomic layer deposition (“ALD”), plasma nitridation, physical vapor deposition (“PVD”), or chemical vapor deposition (“CVD”).
  • A gate electrode polysilicon layer 115 is then formed on the surface of the gate dielectric layer 105. The gate electrode layer 115 is comprised of polycrystalline silicon and it is 500-1500 Å thick in the example application. However, it is within the scope of the invention to use other materials such as an amorphous silicon, a silicon alloy (e.g. SiGe), or other suitable materials. The gate electrode layer 115 may be formed using any standard process technique such as CVD or PVD. In addition, the gate polysilicon layer 115 may be any suitable thickness, such as 500-1500 Å.
  • In accordance with the example embodiment, a gate hardmask layer 145 is then formed on the surface of the gate electrode layer 115. The gate hardmask layer 145 is comprised of silicon dioxide (SiO2) in the example application. However, it is within the scope of the invention to use other materials such as amorphous silicon, silicon-rich nitride, SiON, SiC, tetraethylorthosilicate (“TEOS”), plasma tetra Ethyl Oxysilane (“PTEOS”), or a combinational stack of these materials materials. Preferably, the gate hardmask layer 145 is formed with a rapid thermal CVD (“RTCVD”) process using silane or dichlorosilane and ammonia precursors; however, any suitable process may be used. In addition, the gate hardmask layer 145 may be any suitable thickness, such as 100-600 Å. The purpose of the gate hardmask layer 145 is to protect the gate polysilicon layer 113 during the source/drain formation and silicidation processes (described below).
  • After a pattern and etch process, a gate stack having a gate dielectric 100, a gate electrode 113, and gate hardmask layer 140 will be formed from the gate oxide layer 105, the gate polysilicon layer 115, and the gate hardmask layer 145 respectively. This gate stack, shown in FIG. 2B, may be created through a variety of processes. For example, the gate stack may be created by forming a layer of photoresist over the semiconductor wafer, patterning the photoresist, and then using the photoresist pattern to etch the gate oxide layer 105, the doped gate polysilicon layer 115, and the hardmask layer 145. The gate stack is etched using any suitable etch process that is capable of etching polysilicon doped with lanthanide or Group IIIa, such as an anisotropic etch using plasma or reactive ions.
  • The fabrication of the PMOS transistors 60 and the NMOS transistors 70 now continues with standard process steps. Generally, the next step is the formation of the extension regions 90 using the gate stack as a template, as shown in FIG. 2C. The extension regions 90 are formed near the top surface of the semiconductor substrate 20 using any standard process. For example, the extension regions 90 may be formed by low-energy ion implantation, gas phase diffusion, or solid phase diffusion. The dopants used to create the extension regions 90 for a PMOS transistor 120 are p-type (i.e. boron). The dopants used to create the extension regions 90 for a NMOS transistor 70 are n-type (i.e. phosphorous or arsenic). However, other dopants or combinations of dopants may be used.
  • Alternatively, extension sidewalls (not shown) may be formed on the outer surface of the gate stack and used (along with the gate stack) as the mask to form the extension regions 90. If used, the extension sidewalls may be formed from a single material or may be formed from more than one layer of materials. For example, the extension sidewalls may be comprised of an oxide, oxi-nitride, silicon dioxide, nitride, or any other dielectric material or layers of dielectric materials. The material layers for the extension sidewalls may be formed with any suitable process, such as thermal oxidation, or deposition by ALD, CVD, or PVD. Preferably, at least one layer of the extension sidewall is comprised of a silicon nitride that is formed with a CVD process that uses a bis-t-butylaminosilane (“BTBAS”) precursor. Forming the silicon nitride layer with that precursor will help guard against the etching of the extension sidewalls during the process of removing the gate hardmask layer later in the fabrication process (because of the low etch rate of BTBAS in the etching solution that is used for the hardmask layer removal).
  • At some point after the implantation of the extension regions 90, the extension regions 90 are activated by an anneal process (performed now or later). This anneal step may be performed with any suitable process such as rapid thermal anneal (“RTA”).
  • It is within the scope of the embodiment to also form halo implant regions within the p-well 30 and the n-well 40 (not shown). The optional halo implants (sometimes called “pocket implants” or “punch through stoppers” because of their ability to stop punch through current) may be formed with any standard implant or diffusion process within (or proximate to) the extension regions 90.
  • Referring to FIG. 2D, source/drain sidewalls 150 are now formed proximate to the gate stack (or to the extension sidewalls, if used). The source/drain sidewalls 150 may be formed using any standard process and materials. The example source/drain sidewalls 150 may be comprised of a cap oxide and a silicon nitride layer that are formed with a CVD process and subsequently anisotropically etched (preferably using standard anisotropic plasma etch processes). However, it is within the scope of the invention to use more layers (i.e. a spacer oxide layer, a silicon layer, and a final oxide layer) or less layers (i.e. just a silicon oxide layer or a silicon nitride layer) to create the source/drain sidewalls 150. It is to be noted that the semiconductor wafer 10 is usually subjected to a standard post-etch cleaning process after the formation of the source/drain sidewalls 150.
  • Now the source/drain sidewalls 150 (and the gate stack) are used as a template for the implantation of dopants into the source/drain regions 80 shown in FIG. 2D. The source/drain regions 80 may be formed through any one of a variety of processes, such as deep ion implantation or deep diffusion. The dopants used to create the source/drain regions 80 for a PMOS transistor 60 are typically boron; however, other dopants or combinations for dopants may be used. The dopants used to create the source/drain regions 80 for a NMOS transistor 70 are typically phosphorous or arsenic; however, other dopants or combinations for dopants may be used.
  • The implantation of the dopants is self-aligned with respect to the outer edges of the source/drain sidewalls 150. After the dopants are implanted, the source/drain regions 80 are activated by an anneal step. (However, the extension region anneal and the source/drain region anneal may be combined and performed at this point in the fabrication process.) This anneal step acts to repair the damage to the semiconductor wafer and to activate the dopants. The activation anneal may be performed by any suitable technique such as RTA (including spike anneal), flash lamp annealing (“FLA”), laser annealing, or a combination thereof. This anneal step often causes lateral and vertical migration of dopants in the extension regions 90 and the sources/drain regions 80 (not shown). In addition, this anneal step will cause the recrystallization of the ion implant areas 80, 90 (or the full crystallization of the ion implant areas 80, 90 if this is the first anneal).
  • It is to be noted that the gate hardmask 140 blocked the implantation of dopants into the polysilicon electrode 113 during the implementation processes that were used to form the extension regions 90 and the source/drain regions 80. Specifically, the gate hardmask 140 stores the dopants that were directed to the gate electrode 113 and then those stored dopants are removed when the gate hardmask is removed (as described infra.). As a result, the gate hardmask 140 may protect the gate electrode 113 from an undesirable work function shift.
  • In the example embodiment, the next step in the manufacturing process is the performance of the source/drain silicide loop. The purpose of the source/drain silicide loop is the creation of a source/drain silicide 120 on the exposed top surface of the source/drain regions 80. Referring to FIG. 2E, the first step of the suicide loop is the deposition of an metal layer 125 over the top surface of the semiconductor wafer 10. The metal layer 125 is preferably comprised of Ni; however, other suitable materials such as Co, Pt, Ti, Ta, Mo, W, or their alloys may be used. In the example application, the silicidation metal layer 125 is between 4-10 nm thick and is formed using a PVD process.
  • An optional capping layer (not shown) may also be formed over the metal layer 125. If used, the capping layer acts as a passivation layer that prevents the diffusion of oxygen from ambient into the metal layer 125. The capping layer may be any suitable material, such as TiN, and may be between 5-30 nm thick.
  • The second step of the silicide loop is an anneal. The semiconductor wafer 10 may be annealed with any suitable process, such as RTA. In the example application, the silicide anneal is performed for 10-60 seconds at a temperature between 300-500° C. This anneal process will cause a silicide 120 (i.e. a Ni-rich silicide or Ni mono-silicide) to form over all active surfaces that are in contact with the metal layer 125; namely, the surface of the source/drain regions 80. These silicide regions 120 are shown in FIG. 2F.
  • It is to be noted that the metal layer 125 will only react with the active substrate (i.e. exposed Si); namely, the source/drain 80. Therefore, the source/drain silicide 120 formed by this annealing process is considered a self-aligned silicide (“salicide”). It is also to be noted that the gate electrode 113 was not modified by the silicide loop anneal because the gate electrode 113 was protected from the metal layer 125 by the gate hardmask 140 and the source/drain sidewalls 150 (which overlap the gate hardmask 140).
  • The third step in the silicide loop is the removal of the un-reacted metal layer 125, as shown in FIG. 2G. The un-reacted metal layer 125 (and the capping layer, if used) is removed using any suitable process such as a wet etch process (i.e. using a fluid mixture of sulfuric acid, hydrogen peroxide, and water).
  • The fourth step of the silicide loop is the performance of a second anneal (such as another RTA) to further react the source/drain silicide 120 with the source/drain regions 80. In the example application, a second silicide anneal is performed for 10-60 seconds at a temperature between 400-600° C. If the initial anneal process of the silicide loop did not complete the silicidation process, this second anneal will ensure the formation of a mono-silicide NiSi—which lowers the sheet resistance of the source/drain silicide 120.
  • As shown in FIG. 2H, the next step is the conformal deposition of an etch stop layer 200 over the semiconductor wafer 10. Preferably, the etch stop layer 200 is Si3N4; however, any other suitable material such as bistertianry-butylamionsilane (“BTBAS”) may be used. In the example application, the etch stop layer 200 is less than 350 Å thick and it is formed using a standard deposition process.
  • As also shown in FIG. 2H, a Pre-Metal Deposition (“PMD”) isolation layer 210 is deposited over the etch stop layer 200. The PMD isolation layer 210 is preferably TEOS; however, other dielectric materials such as OSG may be used. In the example application, the PMD isolation layer 210 is between 1000-3000 Å thick, and it is formed using a standard deposition process.
  • A standard Chemical Mechanical Polish (“CMP”), which is selective to silicon nitride, is now performed. As shown in FIG. 2I, the CMP continues to planarize the PMD isolation layer 210 until those portions of the etch stop layer 200 that are located over the gate hardmask 140 of the PMOS 60 and NMOS 70 transistors are exposed. However, it is within the scope of the invention to use suitable alternative processes (that are selective to silicon nitride) to expose the portions of the etch stop layer 200 that are located over the gate hardmasks 140. For example, a dry etch process may also be used to reduce the thickness of the PMD isolation layer 210, thereby exposing the highest surfaces of the etch stop layer 200 (which are located over the gate hardmasks 140 of the PMOS 60 and NMOS 70 transistors).
  • As shown in FIG. 2J, the exposed portion of the etch stop layer 200 is now removed with any suitable process, such as a wet etch (using a solution containing H3PO4 at a temperature between 160-180° C.) or a dry etch. Also as shown in FIG. 2J, the gate hardmasks 140 of the PMOS transistor 60 and the NMOS 70 transistor are now exposed.
  • In the example application, the gate hardmask 140 is removed by a wet etch using a dilute HF solution. However, any suitable process may be used to remove the gate hardmask 140, such as a wet etch using buffered HF or a dry etch using anhydrous HF. As noted above the dopants collected in the gate hardmask 140 during the implantation of the source/drain regions (FIG. 2D) are now removed with the gate hardmask 140. Because the source/drain sidewalls 150 were formed with the gate hardmask 140 in place over the gate electrode 113, the source/drain sidewall structures 150 will now stretch beyond the top surface of the gate electrode 113, as shown in FIG. 2K. With the gate hardmask 140 removed, the polysilicon gate electrode 113 is now exposed and therefore available for dopant implantation to tune the work function of the PMOS 60 and NMOS 70 transistors. As noted supra, the dopants intercepted and stored in the gate hardmask 140 (to protect the poly gate electrode 113 from the source/drain implantation step) are removed with gate hardmask material during this etch step.
  • As discussed supra, the work function of the PMOS transistor 60 and the NMOS transistor 70 is adjusted with different dopant types; therefore, the work function adjustment implant is performed on the PMOS transistor 60 and the NMOS transistor 70 in separate steps. In the example application the work function of the PMOS transistor is adjusted first. (However, those skilled in the art realize that the work function of the NMOS transistor could be adjusted first.) A standard photoresist process is used to initially form a layer of photoresist over the semiconductor wafer 10 and then the photoresist layer patterned and developed to form a patterned photoresist layer 220 that simultaneously protects the region containing the NMOS transistor while exposing the region containing the PMOS transistor, as shown in FIG. 2L.
  • The PMOS work function adjustment implant 230 is now performed on the exposed region containing the PMOS transistor 60. Specifically, p-type dopants selected from the Group IIIa series (e.g. B, Al, Ga, In, TI) are implanted into the exposed gate electrode 113 of the PMOS transistor 60. In the example application, Ga is implanted into the gate electrode 113 with a standard high current implanter (such as the high current implanters sold by Varian or Axcellis). Moreover, the Group IIIa series dopant is implanted into the upper ⅓ of the gate electrode 113 in order to guard against the diffusion of the Group IIIa series dopants past the gate dielectric 100 (into the channel region) during any subsequent anneal process. Any suitable process parameters may be used, such as a dose of 2×1014 to 2×1015 atoms/cm2 and an energy of 5 to 20 keV. In the example application, the PMOS transistor has a work function of approximately 5.0 eV.
  • It is within the scope of the invention to also simultaneously implant oxygen into the gate electrode 113 to push the work function of the PMOS transistor 60 containing the Group IIIa dopants toward the silicon band edge. The O or O2 implant can be done either before or after the Group IIIa implant in the PMOS transistor 60. This optional implant provides further control of the tuning of the gate electrode work function during the fabrication of the semiconductor wafer 10.
  • It is also within the scope of the invention to etch a portion of the gate electrode 113 after the work function implant to create a nickel-rich FUSI gate electrode 110, thereby increasing the work function of the PMOS FUSI gate electrode 110. Any suitable process may be used to reduce the height of the gate electrode 110, such as a standard wet or dry etch.
  • It is to be noted that in the example application that the Ga dopant interacts with the oxygen within the gate dielectric 100, creating a layer of Ga2O3 that is preferably located close to the interface between the gate dielectric 100 and the Ga implanted gate electrode 113. The presence of Ga in the gate dielectric 100 may cause an increase in the k value of the gate dielectric 100. However, the presence of Ga2O3 at or near the interface between the gate dielectric 113 and the silicon substrate 20 may cause undesirable consequences, such as decreased channel mobility and increased gate dielectric leakage.
  • A standard ash and clean process is used to remove the patterned photoresist 220 over the NMOS region and now the work function adjustment implant process is performed on the NMOS region. First, a standard photoresist process is used to form a layer of photoresist over the semiconductor wafer 10 and then the photoresist layer is patterned and developed to form a patterned photoresist layer 220 that simultaneously protects the region containing the PMOS transistor while exposing the region containing the NMOS transistor, as shown in FIG. 2M.
  • The NMOS work function adjustment implant 240 is now performed on the exposed region containing the NMOS transistor 70. Specifically, n-type dopants selected from the lanthanide series (e.g. Yb, Gd, Ce, Pr, Nd, Sm, Eu, Tb, Dy, Ho, Er, Tm) are implanted into the exposed gate electrode 113 of the NMOS transistor 70. In the example application, Yb is implanted into the gate electrode 113 with a standard high current implanter (such as the high current implanters sold by Varian or Axcellis). Moreover, the lanthanide series dopant is implanted into the upper ⅓ of the gate electrode 113 in order to guard against the diffusion of the lanthanide series dopants past the gate dielectric 100 (into the channel region) during any subsequent anneal process. Any suitable process parameters may be used, such as an implantation of Yb species at a dose of 1×1014 to 5×1015 atoms/cm2 and energy of 15 to 30 keV. In the example application, the NMOS transistor 70 has a work function of approximately 4.1 eV.
  • It is within the scope of the invention to also simultaneously implant standard n-type dopants, such as As, P, Sb, or a combination thereof—into the gate electrode 113 to adjust the work function of the NMOS transistor 70 containing lanthanide element dopants away from the band edge of silicon. This optional implant supports additional tuning of the work function of the lanthanide implanted NMOS transistor 70. If used, this optional implant is performed before the work function adjustment implant 240 because an n-type dopant (such as As) will probably facilitate the out diffusion of the lanthanide series dopant during the work function adjustment implant 240 (thereby moving the work function away from the band edge).
  • It is to be noted that in the example application that the Yb dopant interacts with the oxygen within the gate dielectric 100, creating a layer of Yb2O3 that is preferably located close to the interface between the gate dielectric 100 and the Yb-implanted gate electrode 113. The presence of Yb in the gate dielectric 100 may cause in increase in the k value of the gate dielectric 100. However, the presence of Yb2O3 at or near the interface between the gate dielectric 113 and the silicon substrate 20 may cause undesirable consequences, such as decreased channel mobility and increased gate dielectric leakage.
  • It is also to be noted that the dopants implanted during the work function adjustment implantation steps 230 and 240 will not be affected by the anneal temperatures used earlier to anneal the source/drain regions 80 (and the extension regions 90). Therefore the reduced thermal budget of the work function implant processes 230, 240 of this example application accommodate a more sensitive tuning of the work function (than can be realized with the large thermal budget of the source/drain anneal in combination with the work function adjustment implant).
  • The PMD isolation layer 210 (and the etch stop layer 200) protected the silicided source/drain region 80 from the work function adjustment implants 230, 240. In addition, the PMD isolation layer 210 (and the etch stop layer 200) will protect the silicided source/drain region 80 from the gate silicide process (infra).
  • A standard ash and clean process is used to remove the patterned photoresist 220 over the PMOS region, as shown in FIG. 2N, and the semiconductor wafer 10 is now prepared for the gate silicide loop. Specifically, the semiconductor wafer 10 is prepared for the gate silicide loop by removing the PMD isolation layer 210 with any suitable process, such as a wet clean (using dilute HF) or a back grind process. As shown in FIG. 20, the etch stop layer 200 remains on the semiconductor wafer 10 during the gate silicide loop in order to protect the silicided source/drain regions 80 from increased silicidation. In addition, a standard etch may be performed to reduce the height of the PMOS gate electrode 113 before the gate silicide loop is performed. This optional step of reducing the height of the PMOS gate electrode 113 facilitates the formation of a nickel-rich silicide in the PMOS transistor 60, thereby increasing the work function of the FUSI gate electrode 110.
  • As shown in FIG. 2P, a gate metal layer 250 is now formed over the semiconductor wafer 10. The metal layer 250 is preferably comprised of nickel; however, other suitable materials such as cobalt, platinum, titanium, tantalum, molybdenum, tungsten, or an alloy may be used. Preferably, the metal layer 250 is designed to fully silicidize the polysilicon electrode 250. As it takes approximately 1 nm of nickel to fully silicidize approximately 1.8 nm of polysilicon, the thickness of the metal layer 250 should be at least 56% of the thickness of the polysilicon gate electrode 113. To provide process margin, it is suggested that the thickness of the metal layer 250 should be at least 60% of the thickness of the polysilicon gate electrode 113.
  • An optional capping layer 260 may also be formed over the metal layer 250. If used, the capping layer 230 acts as a passivation layer that prevents the diffusion of oxygen from ambient into the metal layer 250. The capping layer may be any suitable material, such as TiN or Ti. In the example application, the optional capping layer 260 is between 5-30 nm thick.
  • In accordance with the invention, the semiconductor wafer 10 is now annealed with any suitable process 270, such as a RTA. In the example application, the silicide anneal is performed for 10-60 seconds at a temperature between 300-500° C. In the example application, the polysilicon gate electrode 113 becomes a fully silicided (“FUSI”)gate electrode 110, as shown in FIG. 2Q. It is to be noted that the metal layer 250 will not react with the silicided sources/drains 80 because they are protected from further silicidation by their previously formed etch stop layer 200.
  • The next step is the removal of the un-reacted portions of the silicidaton metal layer 250, as shown in FIG. 2R. The metal layer 250 (and the capping layer 260, if used) is removed with any suitable process such as a selective wet etch process (i.e. using a fluid mixture of sulfuric acid, hydrogen peroxide, and water).
  • It is within the scope of the invention to perform another silicide anneal (such as another RTA) at this point in the manufacturing process in order to further react the gate silicide 110. In the example application, the second silicide anneal is performed for 30-120 seconds at a temperature between 400-600° C. If the initial anneal process did not complete the silicidation process, this second anneal will ensure the formation of a NiSi having a lowered sheet resistance. As stated above, the gate electrode 110 is fully silicided (“FUSI”) through the silicidation process in the example application.
  • It is to be noted that the lanthanide series dopant contained within the NMOS transistor 70 will diffuse during the anneal steps of the gate silicide loop (causing lanthanide elements to react with the gate dielectric 100). As a result, the NMOS transistor 70 will have a higher k value (plus a higher capacitance) and the gate leakage may be reduced.
  • As shown in FIG. 2S, the gate silicide loop will form a nickel-rich silicide 111 within the PMOS gate electrode if the height of the PMOS gate electrode 113 was reduced before the gate silicidation process, as discussed supra. The presence of a nickel-rich suicide may allow the PMOS transistor 60 to have an increased work function.
  • It is within the scope of the invention to perform the source/drain silicide loop after the gate silicide loop, as shown in FIGS. 3A-3E, instead of performing the source/drain silicide loop before the gate silicide loop, as discussed supra. With this alternative fabrication process, the etch stop layer 200 and the PMD isolation layer 210 would be formed over the semiconductor wafer 10 after the formation of the source/drain regions, as shown in FIG. 3A. Then the subsequent steps of the invention discussed above and shown in FIGS. 2I-2S would be performed. Once the gate silicide loop is complete (FIG. 2R or 2S), the semiconductor wafer 10 is prepared for the silicide loop by removing the etch stop layer 200, as shown in FIG. 3B. Now the semiconductor wafer 10 is ready for the silicide loop, which forms the silicide 120 on the exposed upper surface of the source/drain regions 80.
  • Using the silicide loop process describe above, a metal layer 125 (plus an optional capping layer, if used) is formed over the semiconductor wafer 10, as shown in FIG. 3C. The semiconductor wafer 10 is then annealed (using an RTA process) in order to form the source/drain silicide films 120, as also shown in FIG. 3D.
  • As shown in FIG. 3E, the un-reacted metal layer 125 (and the optional capping layer, if used) is now removed with a wet etch process and the semiconductor wafer 10 may now be subjected to a second RTA silicide anneal, as described above.
  • Upon completion of the formation of source/drain suicides 120, the work function adjustment implant, and the formation of the gate FUSI electrodes 110—using any of the process flows described above—the fabrication of the semiconductor wafer 10 now continues (using standard process steps) until the semiconductor device is complete. Generally, the next step is the formation of the dielectric insulator layer 160 using plasma-enhanced chemical vapor deposition (“PECVD”) or another suitable process (see FIG. 1). The dielectric insulator 160 may be comprised of any suitable material such as SiO2 or OSG. (However, a dielectric liner may be formed over the semiconductor wafer 10 before the placement of the dielectric insulator 160.)
  • The contacts 170 are formed by etching the dielectric insulator layer 160 to expose the desired gate, source and/or drain. The etched spaces are usually filled with a liner 180 to improve the electrical interface between the silicide and the contact 170. Then contacts 170 are formed within the liner 180; creating the electrical interconnections between various semiconductor components located within the semiconductor substrate 20.
  • As discussed above, the fabrication of the final integrated circuit continues with the fabrication of the back-end structure. Once the fabrication process is complete, the integrated circuit will be tested and then packaged.
  • Various additional modifications to the invention as described above are within the scope of the claimed invention. As an example, interfacial layers may be formed between any of the layers shown. In addition, any of the implant processes may be followed by a post ion implantation clean. Furthermore, an anneal process may be performed after any step in the above-described fabrication process. When used, the anneal process can improve the microstructure of materials and thereby improve the quality of the semiconductor structure. Additionally, if a metal other than Ni is used then higher temperatures may be required for the described anneal processes.
  • While various embodiments of the present invention have been described above, it should be understood that they have been presented by way of example only, and not limitation. Numerous changes to the disclosed embodiments can be made in accordance with the disclosure herein without departing from the spirit or scope of the invention. Thus, the breadth and scope of the present invention should not be limited by any of the above described embodiments. Rather, the scope of the invention should be defined in accordance with the following claims and their equivalents.

Claims (11)

1-49. (canceled)
50. An NMOS transistor, comprising:
a semiconductor substrate;
a gate dielectric coupled to said semiconductor substrate;
a fully silicided gate electrode coupled to said gate dielectric; and
source/drain regions located within said semiconductor substrate;
wherein said fully silicided gate electrode of said NMOS transistor includes a lanthanide series dopant.
51. The NMOS transistor of claim 50 wherein said fully silicided gate electrode also include an As dopant.
52. The NMOS transistor of claim 50 wherein said lanthanide series dopant is Yb.
53. The NMOS transistor of claim 50 wherein said gate dielectric includes a lanthanide series dopant.
54. The NMOS transistor of claim 53 wherein said lanthanide series dopant is Yb.
55. A PMOS transistor, comprising:
a semiconductor substrate;
a gate dielectric coupled to said semiconductor substrate;
a fully silicided gate electrode coupled to said gate dielectric;
source/drain regions located within said semiconductor substrate;
wherein said fully silicided gate electrode of said PMOS transistor includes a Group IIIa dopant.
56. The PMOS transistor of claim 55 wherein said fully silicided gate electrode also includes oxygen.
57. The PMOS transistor of claim 55 wherein said Group IIIa dopant is Ga.
58. The PMOS transistor of claim 55 wherein said gate dielectric includes a Group IIIa dopant.
59. The PMOS transistor of claim 58 wherein said Group IIIa dopant is Ga.
US12/255,500 2006-10-31 2008-10-21 Integration Scheme for Dual Work Function Metal Gates Abandoned US20090039439A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US12/255,500 US20090039439A1 (en) 2007-03-30 2008-10-21 Integration Scheme for Dual Work Function Metal Gates
US12/965,528 US8748246B2 (en) 2007-03-30 2010-12-10 Integration scheme for dual work function metal gates
US12/979,908 US8409943B2 (en) 2006-10-31 2010-12-28 Work function adjustment with the implant of lanthanides
US13/855,457 US8802519B2 (en) 2006-10-31 2013-04-02 Work function adjustment with the implant of lanthanides
US14/320,719 US20140315377A1 (en) 2006-10-31 2014-07-01 Work function adjustment with the implant of lanthanides

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/694,662 US20080237743A1 (en) 2007-03-30 2007-03-30 Integration Scheme for Dual Work Function Metal Gates
US12/255,500 US20090039439A1 (en) 2007-03-30 2008-10-21 Integration Scheme for Dual Work Function Metal Gates

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US11/694,662 Division US20080237743A1 (en) 2006-10-31 2007-03-30 Integration Scheme for Dual Work Function Metal Gates
US12/979,908 Division US8409943B2 (en) 2006-10-31 2010-12-28 Work function adjustment with the implant of lanthanides

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/965,528 Continuation US8748246B2 (en) 2006-10-31 2010-12-10 Integration scheme for dual work function metal gates

Publications (1)

Publication Number Publication Date
US20090039439A1 true US20090039439A1 (en) 2009-02-12

Family

ID=39792751

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/694,662 Abandoned US20080237743A1 (en) 2006-10-31 2007-03-30 Integration Scheme for Dual Work Function Metal Gates
US12/255,500 Abandoned US20090039439A1 (en) 2006-10-31 2008-10-21 Integration Scheme for Dual Work Function Metal Gates
US12/965,528 Active 2027-07-11 US8748246B2 (en) 2006-10-31 2010-12-10 Integration scheme for dual work function metal gates

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/694,662 Abandoned US20080237743A1 (en) 2006-10-31 2007-03-30 Integration Scheme for Dual Work Function Metal Gates

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/965,528 Active 2027-07-11 US8748246B2 (en) 2006-10-31 2010-12-10 Integration scheme for dual work function metal gates

Country Status (1)

Country Link
US (3) US20080237743A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120156865A1 (en) * 2010-12-21 2012-06-21 Globalfoundries Inc. Enhanced Patterning Uniformity of Gate Electrodes of a Semiconductor Device by Late Gate Doping
US8492852B2 (en) 2010-06-02 2013-07-23 International Business Machines Corporation Interface structure for channel mobility improvement in high-k metal gate stack
US8815674B1 (en) * 2013-02-13 2014-08-26 Globalfoundries Inc. Methods of forming a semiconductor device by performing a wet acid etching process while preventing or reducing loss of active area and/or isolation regions
WO2019156673A1 (en) * 2018-02-08 2019-08-15 Intel Corporation Silicide structure of an integrated transistor device and method of providing same

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100600878B1 (en) * 2004-06-29 2006-07-14 삼성에스디아이 주식회사 Thin film transistor and method for fabricating of the same
US8008216B2 (en) * 2007-09-24 2011-08-30 Texas Instruments Incorporated Nitrogen profile in high-K dielectrics using ultrathin disposable capping layers
US7745320B2 (en) * 2008-05-21 2010-06-29 Chartered Semiconductor Manufacturing, Ltd. Method for reducing silicide defects in integrated circuits
CN102479723A (en) * 2010-11-30 2012-05-30 中国科学院微电子研究所 Manufacturing method of FUSI metal gate MOSFET device
CN103855007A (en) * 2012-11-30 2014-06-11 中国科学院微电子研究所 Manufacturing method of P type MOSFE
CN103855013A (en) * 2012-11-30 2014-06-11 中国科学院微电子研究所 Manufacturing method of N type MOSFET
US9023725B2 (en) * 2012-12-19 2015-05-05 Globalfoundries Singapore Pte. Ltd. Filament free silicide formation
US10304826B2 (en) 2012-12-28 2019-05-28 Taiwan Semiconductor Manufacturing Company Complimentary metal-oxide-semiconductor (CMOS) with low contact resistivity and method of forming same
US20150270134A1 (en) * 2014-03-19 2015-09-24 Qualcomm Incorporated Methods of forming a metal-insulator-semiconductor (mis) structure and a dual contact device
US9318574B2 (en) 2014-06-18 2016-04-19 International Business Machines Corporation Method and structure for enabling high aspect ratio sacrificial gates
US9349631B2 (en) * 2014-10-02 2016-05-24 Globalfoundries Inc. Method for defining an isolation region(s) of a semiconductor structure
KR102311552B1 (en) 2014-12-04 2021-10-12 삼성전자주식회사 Semiconductor device and method for manufacturing of the same
US9865693B1 (en) * 2016-08-04 2018-01-09 United Microelectronics Corporation Semiconductor memory cell, semiconductor memory device, and method of manufacturing semiconductor memory device
US10304938B2 (en) 2016-09-01 2019-05-28 International Business Machines Corporation Maskless method to reduce source-drain contact resistance in CMOS devices
KR102391512B1 (en) * 2017-08-17 2022-04-27 삼성전자주식회사 Semiconductor device
US11004687B2 (en) * 2019-02-11 2021-05-11 Applied Materials, Inc. Gate contact over active processes

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060163662A1 (en) * 2005-01-27 2006-07-27 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing semiconductor device
US20060286802A1 (en) * 2005-05-11 2006-12-21 Hongyu Yu Low work function metal alloy
US20070152273A1 (en) * 2005-12-30 2007-07-05 International Bunisess Machines Corporation High performance circuit with metal and polygate electrodes
US20080160736A1 (en) * 2006-12-28 2008-07-03 Texas Instruments Inc. Lanthanide series metal implant to control work function of metal gate electrodes

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005136198A (en) * 2003-10-30 2005-05-26 Toshiba Corp Method for manufacturing semiconductor device
JP4058022B2 (en) * 2004-05-25 2008-03-05 株式会社東芝 Manufacturing method of semiconductor device
JP4268569B2 (en) * 2004-06-16 2009-05-27 富士通マイクロエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7022597B2 (en) * 2004-07-16 2006-04-04 Tekcore Co., Ltd. Method for manufacturing gallium nitride based transparent conductive oxidized film ohmic electrodes
US7253049B2 (en) * 2004-12-20 2007-08-07 Texas Instruments Incorporated Method for fabricating dual work function metal gates
US7195969B2 (en) * 2004-12-31 2007-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Strained channel CMOS device with fully silicided gate electrode
JP4220509B2 (en) * 2005-09-06 2009-02-04 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
JP2007157744A (en) * 2005-11-30 2007-06-21 Toshiba Corp Semiconductor device, and process for fabricating same
US8304342B2 (en) * 2006-10-31 2012-11-06 Texas Instruments Incorporated Sacrificial CMP etch stop layer
US7659189B2 (en) * 2007-03-16 2010-02-09 United Microelectronics Corp. Method for forming fully silicided gate electrode in a semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060163662A1 (en) * 2005-01-27 2006-07-27 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing semiconductor device
US20060286802A1 (en) * 2005-05-11 2006-12-21 Hongyu Yu Low work function metal alloy
US20070152273A1 (en) * 2005-12-30 2007-07-05 International Bunisess Machines Corporation High performance circuit with metal and polygate electrodes
US20080160736A1 (en) * 2006-12-28 2008-07-03 Texas Instruments Inc. Lanthanide series metal implant to control work function of metal gate electrodes

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8492852B2 (en) 2010-06-02 2013-07-23 International Business Machines Corporation Interface structure for channel mobility improvement in high-k metal gate stack
US20120156865A1 (en) * 2010-12-21 2012-06-21 Globalfoundries Inc. Enhanced Patterning Uniformity of Gate Electrodes of a Semiconductor Device by Late Gate Doping
US9281200B2 (en) * 2010-12-21 2016-03-08 Globalfoundries Inc. Enhanced patterning uniformity of gate electrodes of a semiconductor device by late gate doping
US8815674B1 (en) * 2013-02-13 2014-08-26 Globalfoundries Inc. Methods of forming a semiconductor device by performing a wet acid etching process while preventing or reducing loss of active area and/or isolation regions
WO2019156673A1 (en) * 2018-02-08 2019-08-15 Intel Corporation Silicide structure of an integrated transistor device and method of providing same
JP2021518050A (en) * 2018-02-08 2021-07-29 インテル・コーポレーション A VDD structure of an integrated transistor device and a method for providing the VDD structure.
US11476334B2 (en) 2018-02-08 2022-10-18 Intel Corporation Silicide structure of an integrated transistor device and method of providing same
JP7268939B2 (en) 2018-02-08 2023-05-08 インテル・コーポレーション Methods and systems for manufacturing integrated circuit devices, transistor structures

Also Published As

Publication number Publication date
US20110223754A1 (en) 2011-09-15
US8748246B2 (en) 2014-06-10
US20080237743A1 (en) 2008-10-02

Similar Documents

Publication Publication Date Title
US8748246B2 (en) Integration scheme for dual work function metal gates
US7148097B2 (en) Integrated circuit containing polysilicon gate transistors and fully silicidized metal gate transistors
US7253049B2 (en) Method for fabricating dual work function metal gates
US7666748B2 (en) Method of forming amorphous source/drain extensions
US8629021B2 (en) Integration scheme for an NMOS metal gate
US7892906B2 (en) Method for forming CMOS transistors having FUSI gate electrodes and targeted work functions
US7629655B2 (en) Semiconductor device with multiple silicide regions
US20070037326A1 (en) Shallow source/drain regions for CMOS transistors
US20220102553A1 (en) Damage implantation of cap layer
US7582934B2 (en) Isolation spacer for thin SOI devices
US20060166457A1 (en) Method of making transistors and non-silicided polysilicon resistors for mixed signal circuits
US20070029608A1 (en) Offset spacers for CMOS transistors
US20110151637A1 (en) Method for Improving the Thermal Stability of Silicide
US7687861B2 (en) Silicided regions for NMOS and PMOS devices
US20070099407A1 (en) Method for fabricating a transistor using a low temperature spike anneal
JP5056418B2 (en) Semiconductor device and manufacturing method thereof
WO2013139063A1 (en) Semiconductor structure and manufacturing method therefor
US20060057853A1 (en) Thermal oxidation for improved silicide formation
KR100678314B1 (en) Manufacturing method for semiconductor device having low contact resistance

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION