US20080191289A1 - Fabrication of transistors with a fully silicided gate electrode and channel strain - Google Patents

Fabrication of transistors with a fully silicided gate electrode and channel strain Download PDF

Info

Publication number
US20080191289A1
US20080191289A1 US11/674,902 US67490207A US2008191289A1 US 20080191289 A1 US20080191289 A1 US 20080191289A1 US 67490207 A US67490207 A US 67490207A US 2008191289 A1 US2008191289 A1 US 2008191289A1
Authority
US
United States
Prior art keywords
gate
layer
gate electrode
sige
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/674,902
Other versions
US7416949B1 (en
Inventor
Michael Francis Pas
Shaofeng Yu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Priority to US11/674,902 priority Critical patent/US7416949B1/en
Assigned to TEXAS INSTRUMENTS INC. reassignment TEXAS INSTRUMENTS INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PAS, MICHAEL FRANCIS, YU, SHAOFENG
Priority to US12/173,518 priority patent/US20080283941A1/en
Publication of US20080191289A1 publication Critical patent/US20080191289A1/en
Application granted granted Critical
Publication of US7416949B1 publication Critical patent/US7416949B1/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Definitions

  • the disclosure is directed, in general, to semiconductor devices, and more specifically, to the manufacture of transistors having a fully silicided gate (FUSI) electrode and strained channel, and to devices having such transistors.
  • FUSI fully silicided gate
  • the disclosure provides a method of manufacturing a semiconductor device.
  • the method comprises forming first and second gates, including patterning a silicon-containing layer on a semiconductor substrate.
  • the patterned silicon-containing layer of the first gate, and first substrate portions adjacent to the first gate are etched simultaneously to form a first gate electrode opening and source and drain openings, respectively.
  • the second gate and second substrate portions adjacent to the second gate are masked.
  • the method also comprises forming SiGe simultaneously in the first gate electrode openings and in the source and drain openings, wherein the second gate and the second substrate portions are masked.
  • the SiGe is removed from an upper surface of the first gate to form a second gate electrode opening therein.
  • a metal is deposited simultaneously on the first and second gates to form a metal layer thereon.
  • the first and second gates are annealed to form fully silicided first and second gate electrodes.
  • An amount of the metal at an interface of the fully silicided gate electrode layer and an underlying gate dielectric layer is greater than an amount of the metal at a second interface of the second fully silicided gate electrode layer and an underlying second gate dielectric layer.
  • Another embodiment is method of manufacturing an integrated circuit that comprises forming one or more transistors on a semiconductor substrate, wherein at least one of the transistors is manufactured by steps that include the above-described process.
  • the transistor's manufacture further includes depositing a sacrificial layer on the substrate, wherein the first and second gates are covered by said sacrificial layer, and uncovering upper surfaces of the first and second gates, wherein the SiGe-filled source and drain openings remained covered.
  • the method also includes depositing a pre-metal dielectric layer on the substrate and inter-level dielectric layers on the pre-metal dielectric layer and forming interconnects through one or more of the inter-level dielectric layers to interconnect the at least one transistors to each other, or to other transistors of the integrated circuit.
  • the integrated circuit comprises one or more transistors on or in a semiconductor substrate. At least one of the transistors includes a gate electrode and source and drain structures.
  • the gate electrode has a fully silicided gate electrode layer with a ratio of Ni:Si ranging from about 2:1 to about 3:1.
  • the source and drain structures are located in openings of the substrate and adjacent to the gate electrode, wherein the source and drain structures are filled with SiGe.
  • FIGS. 1 to 10 present cross-section views of selected steps in example implementation of a method of fabricating a semiconductor device according to the principles of the present disclosure.
  • FIGS. 1 to 10 present cross-section views of selected steps of an example implementation of a method of fabricating a semiconductor device 100 according to the principles of the present disclosure.
  • the device 100 includes one or more transistors (e.g., transistors 102 , 104 ) such as metal-on-oxide (MOS), transistors, such as pMOS or nMOS transistors.
  • MOS metal-on-oxide
  • the method includes forming at least one of these transistors 102 on a semiconductor substrate 105 by a manufacturing process as disclosed herein.
  • FIG. 1 shows the device 100 after forming an isolation structure 107 (e.g., shallow trench isolation or field oxide structure) in or on a semiconductor substrate 105 .
  • forming isolation structures 107 can include a dry-etch, wet etch, or a combination thereof, to form openings in a silicon substrate 105 , followed by depositing an insulator (e.g., silicon dioxide) in the openings.
  • the substrate 105 can include a silicon layer that is epitaxially grown on a silicon-germanium substrate, or other types of semiconductive material such as indium phosphide or gallium arsenide.
  • the substrate can be implanted with n- or p-type dopants to form doped wells 110 .
  • FIG. 1 also shows the device 100 after depositing a gate dielectric layer 115 on the substrate 105 , and after depositing a silicon-containing layer 120 (e.g., polysilicon) on the gate dielectric layer 115 .
  • a gate dielectric layer 115 on the substrate 105
  • a silicon-containing layer 120 e.g., polysilicon
  • Example insulating materials include plasma nitrided oxide, hafnium oxide, silicon oxide or high-k dielectric materials (e.g., dielectric constant of 4 or greater).
  • CVD chemical vapor deposition
  • PVD physical layer deposition
  • ALD atomic layer deposition
  • FIG. 2 shows the device 100 after forming first and second gates 205 , 210 .
  • Forming the first and second gates 205 , 210 can include patterning, in separate or combined steps, the dielectric layer 115 and the silicon-containing layer 120 ( FIG. 1 ), to form patterned first and dielectric layers 215 , 220 and first and second silicon-containing electrode layers 225 , 230 , respectively.
  • Forming the first and second gates 205 , 210 can also include forming insulating sidewalls 235 on the vertical walls 240 of the patterned dielectric layers 215 , 220 and patterned silicon-containing layers 225 , 230 . As illustrated in FIG.
  • a thickness 245 of the patterned first silicon-containing electrode layer 225 can be substantially the same as a thickness 250 of the second patterned silicon-containing electrode layer 230 .
  • both thicknesses 245 , 250 can equal about 50 to 150 nm ⁇ 10 percent, and in about 80 to 100 nm ⁇ 10 percent in some cases.
  • FIG. 3 shows the device 100 after etching simultaneously, the first patterned silicon-containing electrode layer 225 of the first gate 205 and first substrate portions 305 , 310 , adjacent to the first gate 205 , to form a first gate electrode opening 315 and source and drain openings 320 , 322 respectively.
  • a portion of the first patterned silicon-containing electrode layer 225 remains in the first gate 205 at the conclusion of the simultaneous etch.
  • the thickness 330 of the patterned and etched first silicon-containing electrode layer 225 is less than the layer's 225 thickness 245 ( FIG. 2 ) before the simultaneous etch.
  • the second gate 210 and second substrate portions 340 , 345 adjacent to the second gate 210 , are masked during the simultaneous etch.
  • a mask layer 350 comprising a conventional oxide layer, nitride layer, or both, can be blanket deposited on the substrate 105 and then patterned to expose those portions of the at least one transistor 102 to which the simultaneous etch is then applied.
  • the simultaneous etch are configured to be selective towards the insulating sidewalls 235 and mask layer 350 .
  • the etch rate of the substrate 105 and first silicon-containing electrode layer 225 is at least about 10 times greater than the etch rate of the insulating sidewalls 235 or mask layer 350 .
  • Some embodiments of the simultaneous etch are configured to remove the substrate 105 and patterned first silicon-containing electrode layer 225 at substantially the same rate. That is, the simultaneous etch removes about the same thickness 355 of the patterned first silicon-containing electrode layer 225 as a thickness 360 of substrate 105 removed. E.g., in some cases, the thicknesses 355 , 360 removed are both equal to within about 10 percent, e.g., about 50 nm ⁇ 5 nm.
  • the simultaneous etch include exposing the first gate 205 and first substrate portions to an HBr-containing etchant gas (e.g., a reactive ion etch comprising HEr).
  • an HBr-containing etchant gas e.g., a reactive ion etch comprising HEr.
  • Embodiments of the simultaneous etch can include an isotropic etch and an anisotropic etch. In some cases both the isotropic etch and anisotropic etch comprise HEr.
  • the simultaneous etch can includes HEr, HCl, combinations thereof, or other conventional substrate etchants.
  • FIG. 4 shows the device 100 after forming SiGe 405 , 410 simultaneously in the first gate electrode opening 315 ( FIG. 3 ) and the source and drain openings 320 , 322 respectively.
  • the second gate 210 and second substrate portions 340 , 345 adjacent to the second gate 210 are masked and SiGe 405 , 410 is formed in the openings 315 , 320 , 322 ( FIG. 3 ).
  • the mask layer 350 e.g., an oxide layer
  • the SiGe 410 in the source and drain openings 320 , 322 form first source and drain structures 420 , 425 that configured to produce a compressive stress in the channel region 430 of the first transistor 102 .
  • the SiGe 405 in the first gate electrode opening 315 can also produce a compressive stress in the channel region 430 .
  • Forming the SiGe 405 , 410 can include growing SiGe in each of the first gate electrode opening 315 and source and drain openings 320 , 322 until the openings 315 , 320 , 322 are filled with SiGe.
  • An example SiGe 405 , 410 forming process includes a chemical vapor deposition process having about 0 to 50 percent atomic percent Ge at different stages in the deposition process.
  • SiGe can be selectively epitaxially grown in the openings 315 , 320 , 322 (e.g., grown on silicon surfaces of the openings 315 , 320 , 322 to the exclusion of silicon oxide or silicon nitride-covered surfaces on the substrate) using a CVD process comprising SiH 4 , or Si 2 H 6 , plus GeH 4 and HCl, and optionally B 2 H 6 .
  • a CVD process comprising SiH 4 , or Si 2 H 6 , plus GeH 4 and HCl, and optionally B 2 H 6 .
  • FIG. 4 also shows the device 100 after masking the second transistor 104 (e.g., mask layer 350 in FIG. 3 , or a photoresist mask) and implanting dopants 435 into the first source and drain structures 420 , 425 .
  • the second transistor 104 e.g., mask layer 350 in FIG. 3 , or a photoresist mask
  • dopants 435 are implanted when the transistor 102 is a pMOS transistor.
  • Implanting the dopants 435 serves to lower the resistance the source and drain structures 420 , 425 .
  • the first transistor 102 can be masked and dopants 437 implanted into the second substrate portions 340 , 345 as part of forming second source and drain structures 440 , 445 of the second transistor 104 .
  • dopants 437 implanted when the transistor 104 is an nMOS transistor.
  • One skilled in the art would be familiar with the procedures to mask non-implanted areas, implant dopants, and the means to diffuse the dopants into the substrate 105 using conventional processes like rapid thermal or laser annealing.
  • FIG. 5 shows the device 100 after depositing a sacrificial layer 510 on the substrate 105 , where the first and second gates 205 , 210 are covered by the PMD layer 510 .
  • a sacrificial layer 510 layer comprising an insulating layer 515 composed of silicon dioxide, tetra-ethyl-ortho-silicate (TEOS), or similar material can be deposited by CVD.
  • Depositing the sacrificial layer 510 can further include depositing a nitride layer 520 (e.g., silicon nitride layer) using CVD.
  • FIGS. 6 and 7 show the device 100 at selected stages of removing the SiGe 405 from the first gate 205 .
  • FIG. 6 shows the device 100 after uncovering upper surfaces 610 , 620 (e.g., the surfaces facing away from the substrate) of first and second gates 205 , 210 .
  • Uncovering the upper surfaces 610 can include a CMP process.
  • the CMP process includes the use of a polishing pad and slurry that planarizes the insulating layer 515 of the sacrificial layer 510 and stops on the nitride layer 520 .
  • Portions of the nitride layer 520 that lay directly on the upper surfaces 610 , 620 can then be removed using an etching procedure, e.g., a plasma etch. In other cases, however, both of the insulating layer 515 and nitride layer 520 that are over the upper surfaces 610 , 620 can be removed by the CMP process, which can comprise single or multiple polishing steps. As illustrated in FIG. 6 the upper surfaces 610 , 620 of the gates 205 , 210 can be coplanar with the uppermost surface 630 of CMP planarized sacrificial layer 510 . As also illustrated, the SiGe-filled the source and drain openings 320 , 322 remained covered by the sacrificial layer 510 .
  • an etching procedure e.g., a plasma etch.
  • both of the insulating layer 515 and nitride layer 520 that are over the upper surfaces 610 , 620 can be removed by the CMP process, which can
  • FIG. 7 shows the device 100 after subjecting the upper surfaces 610 , 620 of the gates 205 , 210 ( FIG. 6 ) to an etch process to thereby selectively remove the SiGe 405 ( FIG. 6 ) from the first gate 205 and form a second gate electrode opening 710 therein.
  • the second gate electrode opening 710 is substantially the same in size as the first gate electrode opening 315 ( FIG. 3 ). That is, substantially all of the SiGe 405 is removed (e.g., at least about 99 percent) from the first gate 205 , while the sidewalls 235 and remaining portion of the first patterned silicon-containing electrode layer 225 are left substantially unaltered by the SiGe removal etch process.
  • the etch rate of the SiGe 405 ( FIG. 6 ) is at least about 100 times greater than the etch rate of the insulating sidewalls 235 or of the first patterned silicon-containing electrode layer 225 that remains in the first gate 205 .
  • the SiGe removal etch is selective to the second gate 210 . That is, the process for removing the SiGe 405 from the first gate 205 leaves the second gate 210 substantially unaltered.
  • the removal rate of the second patterned silicon-containing electrode layer 230 is less than about 10 percent, and in some cases, less than about 1 percent, of the removal rate of the SiGe 405 of the first gate 205 .
  • Some embodiments of the selective etch process comprise a wet etch comprising NH 4 OH, H 2 O 2 and H 2 O.
  • the etch process can be a wet etch using a mixture of NH 4 OH:H 2 O 2 :H 2 O in ratios of about 1:1:5, respectively.
  • the etch process is not selective. Rather, the second gate 210 is covered with a mask (e.g., a mask similar to the mask layer 350 depicted in FIG. 3 ) to prevent the undesired removal of the second patterned silicon-containing electrode layer 230 while removing the SiGe 405 from the first gate 205 . As further illustrated in FIG. 7 , removing the SiGe 405 from the first gate 205 also leaves the SiGe-filled source and drain structures 420 , 425 substantially unaltered because these structures 420 , 425 are covered by the sacrificial layer 510 .
  • a mask e.g., a mask similar to the mask layer 350 depicted in FIG. 3
  • FIG. 8 shows the device 100 after depositing a metal 805 simultaneously on the first and second gates 205 , 210 to form a metal layer 810 thereon.
  • the metal layer 810 can be deposited directly on the patterned first and second silicon-containing layers 225 , 230 .
  • the metal layer includes a refractory metal such as nickel, deposited by conventional means (e.g., a PVD process).
  • Substantially the same thickness 820 of metal layer 810 is deposited on the first and second gates 205 , 210 in a single metal deposition step. As illustrated in FIG. 8 , sufficient amounts of the metal can be deposited so as to fill the second gate electrode opening 710 ( FIG. 7 ). However, because a portion of the silicon-containing layer of the first gate 205 was previously removed ( FIG. 3 ), the first and second gates 205 , 210 have different thickness ratios of the metal layer 810 to the patterned first and second silicon-containing layers 225 , 230 .
  • a ratio of the thickness 820 of the metal layer 810 to the thickness 330 ( FIG. 3 ) of the patterned and etched first silicon-containing layer 225 is greater than a ratio of the thickness 820 of the metal layer 810 to the thickness 250 ( FIG. 2 ) of the patterned second silicon-containing layer 230 .
  • a ratio of the thickness 820 of the metal layer 810 to a thickness 330 of the silicon-containing layer 225 for the first gate 205 ranges from about 2:1 to 3:1.
  • a ratio of a thickness 820 of the metal layer 810 to a thickness 250 of the silicon-containing layer 230 for the second gate electrode 210 ranges from about 0.9:1 to 1.1:1.
  • FIG. 9 shows the device 100 after annealing the first and second gates 205 , 210 to form fully silicided first and second gates electrodes 910 , 920 . That is, the materials of the first patterned silicon-containing electrode layer 225 and the metal layer 810 ( FIG. 8 ) are heated to a temperature that is sufficient to inter-diffuse the atoms of the first patterned silicon-containing electrode layers 225 and the metal layer 810 to form the first fully silicided electrode layer 910 . Similarly, the anneal causes the second patterned silicon-containing electrode layers 225 and the metal layer 810 to inter-diffuse to form the second fully silicided electrode layer 920 .
  • FIG. 9 shows that the fully silicided first and second gates electrodes 910 , 920 can protrude above the uppermost surface 630 of the planarized sacrificial layer 510 . This follows because the volume occupied by the metal-silicide crystal structure of the fully silicided first and second gates electrodes 910 , 920 can be greater than the sum of the volumes of silicon-containing electrode layers 225 , 230 and the metal layer 810 ( FIG. 8 ) that the electrode 910 , 920 were formed from.
  • electrodes 910 , 920 comprising NiSi, Ni 2 Si, Ni 31 Si 12 , Ni 3 Si, or mixed phase crystals of Ni and Si, will cause an expansive stress on the gate's 205 , 210 sidewalls 235 , which could potentially delaminate the sidewalls 235 from the electrodes 910 , 920 .
  • the anneal includes a first anneal having an uppermost temperature of about 400° C. and a second anneal having an uppermost temperature of about 500° C.
  • the anneal includes a first anneal to a temperature of about 320 to 400° C. for about 30 to 60 seconds, followed by a second anneal to a temperature of about 400 to 500° C. for about 60 seconds.
  • the first anneal is sufficient to fully diffuse the atoms of the first patterned silicon-containing electrode layers 225 and the metal layer 810 to form a homogenous fully silicided first gate electrodes 910 .
  • the second anneal does not substantially change the distribution of atoms (e.g., Ni and Si atoms) in the fully silicided first gate electrodes 910 .
  • the second anneal serves to further diffuse the atoms of the second patterned silicon-containing electrode layers 230 and the metal layer 810 .
  • portions 930 of the metal layer 810 do not interdiffuse with the patterned first and second silicon-containing layers 225 , 230 ( FIG. 8 ).
  • This unreacted metal layer portion 930 can be removed using conventional procedures before conducting additional device manufacturing steps.
  • removing the unreacted metal layer portion 930 of nickel can include exposure to a solution of H 2 SO 4 :H 2 O 2 in a 6:1 ratio.
  • the unreacted metal portion 930 is removed between the first and second anneals.
  • An amount of the metal 805 ( FIG. 8 ) at an interface 940 of the fully silicided gate electrode layer 910 and an underlying gate dielectric layer 215 is greater than an amount of the metal 805 an second interface 950 of the second fully silicided gate electrode layer 920 and an underlying second gate dielectric layer 220 .
  • the amount of the metal 805 in the fully silicided first gate electrode 910 is greater than an amount of the metal 805 in the fully silicided second gate electrode 920 .
  • the fully silicided first gate electrode 910 comprises Ni 2 Si while the fully silicided second gate electrode layer 920 comprises NiSi. E.g., there can be Ni 2 Si and NiSi at the respective interfaces 940 , 950 of the gates 205 , 210 . In other cases, the fully silicided first gate electrode 910 comprises Ni 3 Si or Ni 31 Si 12 , while the fully silicided second gate electrode layer 920 comprises NiSi (e.g., Ni 3 Si or Ni 31 Si 12 at the interface 940 and NiSi at the second interface 950 ).
  • a fully silicided first gate electrode 910 comprising Ni 3 Si or Ni 31 Si 12 at the interface 940 has a higher work function than a fully silicided first gate electrode 910 comprising Ni 2 Si at the second interface 950
  • a fully silicided first gate electrode 910 comprising Ni 2 Si will impart less expansive stress on the first gate's 205 sidewalls 235 than Ni 3 Si.
  • a fully silicided first gate electrode 910 comprising Ni 31 Si 12 provide a suitable combination of high work function plus an acceptable level expansive stress.
  • the method can include conventional processes to remove the sacrificial layer 510 ( FIG. 9 ), and form formed metal silicide electrodes 1005 on the source and drain structures 420 , 425 , 440 , 445 , and gate electrodes 910 , 920 . Thereafter a pre-metal nitride layer 1010 and pre-metal dielectric (PMD) layer 1015 can be deposited on the substrate 105 .
  • PMD pre-metal dielectric
  • interconnects 1025 can be formed through the ILD layers 1010 and PMD layer 1005 .
  • the interconnects 1025 can include metal contacts, lines, single or dual damascene structures, comprising tungsten, copper, or other metals.
  • Certain interconnects 1025 contact conventionally Formed metal silicide electrodes 1005 located on the source and drain structures 420 , 425 , 440 , 445 , and gate electrodes 910 , 920 , to thereby interconnect the transistors 102 to each other, or to other transistors 104 of the integrated circuit.
  • the first and second transistors 102 , 104 configured as pMOS and nMOS transistors, respectively, can be interconnected to form a complementary MOS (CMOS) device 1027 .
  • CMOS complementary MOS
  • FIG. 10 illustrates another embodiment, a semiconductor device 100 .
  • the device 100 is configured as an integrated circuit.
  • the integrated circuit 100 can comprise one or more transistors 102 , 104 on or in the semiconductor substrate 105 .
  • At least one of the transistors 102 includes a gate 205 having a fully silicided gate electrode layer 910 with a ratio of Ni:Si that ranges from about 2:1 to 3:1.
  • the transistor 102 also includes source and drain structures 420 , 425 located in openings 320 , 322 of the substrate 105 and adjacent to the gate 205 , wherein the source and drain structures 420 , 425 are filled with SiGe.
  • the gate 205 has an interface 940 that corresponds to that portion of the first fully silicided electrode layer 910 that directly contacts the first patterned gate dielectric layer 215 .
  • the ratio of Ni to Si (Ni:Si) at the interface 940 equals about 2:1. In other embodiments, the Ni:Si ratio at the interface 940 equals about 3:1.
  • the device 100 configured as an integrated circuit can further include a second transistor 104 .
  • the second transistor 104 comprises a second gate 210 having a fully silicided second gate electrode layer 920 . Similar to the first gate 205 , the second gate 210 has a second interface 950 . A ratio of Ni:Si at the second interface 950 ranges from about 0.9:1 to 1.1:1.
  • the second transistor 104 also includes second source and drain structures 440 , 445 located in the substrate 105 and adjacent to the second gate 210 , wherein the second source and drain structures 440 , 445 are free of SiGe.
  • the different Ni:Si ratios at the interface 940 and second interface 950 facilitates the work function of the first transistor 102 and second transistor 104 to be different from each other. E.g., at least about 0.3 eV different in some cases, and about 0.8 eV in other cases.
  • the work function of the first transistor 102 configured as a pMOS transistor can range from about 4.8 to 5.0 eV.
  • the work function of the second transistor 104 configured as an nMOS transistor can range from about 4.2 to 4.5 eV.
  • the Ni:Si ratio in the second fully silicided gate electrode 920 increases from the second interface 950 to the upper surface 620 of the second gate 210 .
  • This is in contrast to the first fully silicided gate electrode 910 which in the same embodiment, can have a uniform Ni to Si ratio from the interface 940 to the upper surface 610 of the first gate 210 .
  • the Ni:Si ratio changes by less than 10 percent from the interface 940 to the upper surface 610 .
  • the second source and drain structures 440 , 445 are not formed in openings of the substrate 105 . Rather, the second source and drain structures 440 , 445 can be formed by implanting dopants into the substrate 105 and annealing the substrates 105 to diffuse the dopants. In other cases, however, the second source and drain structures 440 , 445 can be formed by forming openings in the substrate 105 and filing the openings with a strain producing material other than SiGe, e.g., SiC.

Abstract

Manufacturing a semiconductor device by forming first and second gates including patterning a silicon-containing layer on a substrate. Etched simultaneously the patterned silicon-containing layer of the first gate, and first substrate portions adjacent to the first gate to form a first gate electrode and source and drain openings. Forming SiGe simultaneously in first gate electrode source and drain openings. Second gate and second substrate portions are masked. SiGe is removed from an upper surface of the first gate to form a second opening therein. A metal deposited on the first and second gates forms a metal layer thereon. Annealing first and second gates to form FUSI first and second gate electrodes. A metal amount at an interface of the FUSI gate electrode layer and an underlying gate dielectric layer is greater than at a second interface of the second FUSI gate electrode layer and an underlying second gate dielectric layer.

Description

    TECHNICAL FIELD
  • The disclosure is directed, in general, to semiconductor devices, and more specifically, to the manufacture of transistors having a fully silicided gate (FUSI) electrode and strained channel, and to devices having such transistors.
  • BACKGROUND
  • The continuing push to produce faster semiconductor devices with lower power consumption has resulted in device miniaturization. As part of these efforts, there is interest in the use metal gate electrodes and in producing channel strain in transistors. The use of metal gates can avoid the depletion of gate charge carriers at the interface between the gate and gate dielectric, such as encountered when a polysilicon gate electrode is biased to invert the channel. The production of strain can improve carrier mobility in the channel region of semiconductor substrates.
  • Unfortunately, the manufacture of semiconductor devices having metal gates and channel strain are not without problems. The addition of manufacturing processes for metal gate into existing semiconductor device manufacturing processes has been problematic. E.g., it has proven difficult to use a single metal with different work function in complementary nMOS and pMOS transistors. Additionally, the incorporation of strain-producing materials into semiconductor substrates without causing a high leakage current, and making electrical contacts to such materials, has also been problematic. The integration of metal gates and channel strain fabrication process into the same transistor using an efficient process presents additional challenges.
  • Accordingly, what is needed is a method for manufacturing semiconductor devices that integrates the manufacture of metal gate electrodes of the appropriate work function with a strained channel.
  • SUMMARY
  • The disclosure provides a method of manufacturing a semiconductor device. The method comprises forming first and second gates, including patterning a silicon-containing layer on a semiconductor substrate. The patterned silicon-containing layer of the first gate, and first substrate portions adjacent to the first gate, are etched simultaneously to form a first gate electrode opening and source and drain openings, respectively. The second gate and second substrate portions adjacent to the second gate are masked. The method also comprises forming SiGe simultaneously in the first gate electrode openings and in the source and drain openings, wherein the second gate and the second substrate portions are masked. The SiGe is removed from an upper surface of the first gate to form a second gate electrode opening therein. A metal is deposited simultaneously on the first and second gates to form a metal layer thereon. The first and second gates are annealed to form fully silicided first and second gate electrodes. An amount of the metal at an interface of the fully silicided gate electrode layer and an underlying gate dielectric layer is greater than an amount of the metal at a second interface of the second fully silicided gate electrode layer and an underlying second gate dielectric layer.
  • Another embodiment is method of manufacturing an integrated circuit that comprises forming one or more transistors on a semiconductor substrate, wherein at least one of the transistors is manufactured by steps that include the above-described process. The transistor's manufacture further includes depositing a sacrificial layer on the substrate, wherein the first and second gates are covered by said sacrificial layer, and uncovering upper surfaces of the first and second gates, wherein the SiGe-filled source and drain openings remained covered. The method also includes depositing a pre-metal dielectric layer on the substrate and inter-level dielectric layers on the pre-metal dielectric layer and forming interconnects through one or more of the inter-level dielectric layers to interconnect the at least one transistors to each other, or to other transistors of the integrated circuit.
  • Another embodiment is an integrated circuit. The integrated circuit comprises one or more transistors on or in a semiconductor substrate. At least one of the transistors includes a gate electrode and source and drain structures. The gate electrode has a fully silicided gate electrode layer with a ratio of Ni:Si ranging from about 2:1 to about 3:1. The source and drain structures are located in openings of the substrate and adjacent to the gate electrode, wherein the source and drain structures are filled with SiGe.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1 to 10 present cross-section views of selected steps in example implementation of a method of fabricating a semiconductor device according to the principles of the present disclosure.
  • DETAILED DESCRIPTION
  • One embodiment of the disclosure is a method of manufacturing a semiconductor device. FIGS. 1 to 10 present cross-section views of selected steps of an example implementation of a method of fabricating a semiconductor device 100 according to the principles of the present disclosure. In some embodiments the device 100 includes one or more transistors (e.g., transistors 102, 104) such as metal-on-oxide (MOS), transistors, such as pMOS or nMOS transistors. The method includes forming at least one of these transistors 102 on a semiconductor substrate 105 by a manufacturing process as disclosed herein.
  • FIG. 1 shows the device 100 after forming an isolation structure 107 (e.g., shallow trench isolation or field oxide structure) in or on a semiconductor substrate 105. E.g., forming isolation structures 107 can include a dry-etch, wet etch, or a combination thereof, to form openings in a silicon substrate 105, followed by depositing an insulator (e.g., silicon dioxide) in the openings. The substrate 105 can include a silicon layer that is epitaxially grown on a silicon-germanium substrate, or other types of semiconductive material such as indium phosphide or gallium arsenide. The substrate can be implanted with n- or p-type dopants to form doped wells 110.
  • FIG. 1 also shows the device 100 after depositing a gate dielectric layer 115 on the substrate 105, and after depositing a silicon-containing layer 120 (e.g., polysilicon) on the gate dielectric layer 115. Example insulating materials include plasma nitrided oxide, hafnium oxide, silicon oxide or high-k dielectric materials (e.g., dielectric constant of 4 or greater). Chemical vapor deposition (CVD), physical layer deposition (PVD), atomic layer deposition (ALD), or other conventional methods can be used to deposit the layers 115, 120.
  • FIG. 2 shows the device 100 after forming first and second gates 205, 210. Forming the first and second gates 205, 210 can include patterning, in separate or combined steps, the dielectric layer 115 and the silicon-containing layer 120 (FIG. 1), to form patterned first and dielectric layers 215, 220 and first and second silicon-containing electrode layers 225, 230, respectively. Forming the first and second gates 205, 210 can also include forming insulating sidewalls 235 on the vertical walls 240 of the patterned dielectric layers 215, 220 and patterned silicon-containing layers 225, 230. As illustrated in FIG. 2, a thickness 245 of the patterned first silicon-containing electrode layer 225 can be substantially the same as a thickness 250 of the second patterned silicon-containing electrode layer 230. E.g., both thicknesses 245, 250 can equal about 50 to 150 nm±10 percent, and in about 80 to 100 nm±10 percent in some cases.
  • FIG. 3 shows the device 100 after etching simultaneously, the first patterned silicon-containing electrode layer 225 of the first gate 205 and first substrate portions 305, 310, adjacent to the first gate 205, to form a first gate electrode opening 315 and source and drain openings 320, 322 respectively. A portion of the first patterned silicon-containing electrode layer 225 remains in the first gate 205 at the conclusion of the simultaneous etch. The thickness 330 of the patterned and etched first silicon-containing electrode layer 225 is less than the layer's 225 thickness 245 (FIG. 2) before the simultaneous etch. The second gate 210 and second substrate portions 340, 345, adjacent to the second gate 210, are masked during the simultaneous etch. E.g., a mask layer 350 comprising a conventional oxide layer, nitride layer, or both, can be blanket deposited on the substrate 105 and then patterned to expose those portions of the at least one transistor 102 to which the simultaneous etch is then applied.
  • Some embodiments of the simultaneous etch are configured to be selective towards the insulating sidewalls 235 and mask layer 350. E.g., the etch rate of the substrate 105 and first silicon-containing electrode layer 225 is at least about 10 times greater than the etch rate of the insulating sidewalls 235 or mask layer 350. Some embodiments of the simultaneous etch are configured to remove the substrate 105 and patterned first silicon-containing electrode layer 225 at substantially the same rate. That is, the simultaneous etch removes about the same thickness 355 of the patterned first silicon-containing electrode layer 225 as a thickness 360 of substrate 105 removed. E.g., in some cases, the thicknesses 355, 360 removed are both equal to within about 10 percent, e.g., about 50 nm±5 nm.
  • Certain embodiments of the simultaneous etch include exposing the first gate 205 and first substrate portions to an HBr-containing etchant gas (e.g., a reactive ion etch comprising HEr). Embodiments of the simultaneous etch can include an isotropic etch and an anisotropic etch. In some cases both the isotropic etch and anisotropic etch comprise HEr. E.g., the simultaneous etch can includes HEr, HCl, combinations thereof, or other conventional substrate etchants.
  • FIG. 4 shows the device 100 after forming SiGe 405, 410 simultaneously in the first gate electrode opening 315 (FIG. 3) and the source and drain openings 320, 322 respectively. The second gate 210 and second substrate portions 340, 345 adjacent to the second gate 210 are masked and SiGe 405, 410 is formed in the openings 315, 320, 322 (FIG. 3). For instance, the mask layer 350 (e.g., an oxide layer) can be left over the second gate 210 and second substrate portions 340, 345. The SiGe 410 in the source and drain openings 320, 322 form first source and drain structures 420, 425 that configured to produce a compressive stress in the channel region 430 of the first transistor 102. The SiGe 405 in the first gate electrode opening 315 can also produce a compressive stress in the channel region 430.
  • Forming the SiGe 405, 410 can include growing SiGe in each of the first gate electrode opening 315 and source and drain openings 320, 322 until the openings 315, 320, 322 are filled with SiGe. An example SiGe 405, 410 forming process includes a chemical vapor deposition process having about 0 to 50 percent atomic percent Ge at different stages in the deposition process. E.g., SiGe can be selectively epitaxially grown in the openings 315, 320, 322 (e.g., grown on silicon surfaces of the openings 315, 320, 322 to the exclusion of silicon oxide or silicon nitride-covered surfaces on the substrate) using a CVD process comprising SiH4, or Si2H6, plus GeH4 and HCl, and optionally B2H6. One skilled in the art would appreciate that there are a variety of different ways to grow SiGe in the openings 315, 320, 322.
  • FIG. 4 also shows the device 100 after masking the second transistor 104 (e.g., mask layer 350 in FIG. 3, or a photoresist mask) and implanting dopants 435 into the first source and drain structures 420, 425. E.g., p-type dopants are implanted when the transistor 102 is a pMOS transistor. Implanting the dopants 435 serves to lower the resistance the source and drain structures 420, 425. Additionally, either before or after forming the first source and drain structures 420, 425, the first transistor 102 can be masked and dopants 437 implanted into the second substrate portions 340, 345 as part of forming second source and drain structures 440, 445 of the second transistor 104. E.g., n-type dopants are implanted when the transistor 104 is an nMOS transistor. One skilled in the art would be familiar with the procedures to mask non-implanted areas, implant dopants, and the means to diffuse the dopants into the substrate 105 using conventional processes like rapid thermal or laser annealing.
  • FIG. 5 shows the device 100 after depositing a sacrificial layer 510 on the substrate 105, where the first and second gates 205, 210 are covered by the PMD layer 510. E.g., after removing the mask layer 350 (FIG. 3), a sacrificial layer 510 layer comprising an insulating layer 515 composed of silicon dioxide, tetra-ethyl-ortho-silicate (TEOS), or similar material can be deposited by CVD. Depositing the sacrificial layer 510 can further include depositing a nitride layer 520 (e.g., silicon nitride layer) using CVD.
  • FIGS. 6 and 7 show the device 100 at selected stages of removing the SiGe 405 from the first gate 205. FIG. 6 shows the device 100 after uncovering upper surfaces 610, 620 (e.g., the surfaces facing away from the substrate) of first and second gates 205, 210. Uncovering the upper surfaces 610 can include a CMP process. E.g., in some cases the CMP process includes the use of a polishing pad and slurry that planarizes the insulating layer 515 of the sacrificial layer 510 and stops on the nitride layer 520. Portions of the nitride layer 520 that lay directly on the upper surfaces 610, 620 can then be removed using an etching procedure, e.g., a plasma etch. In other cases, however, both of the insulating layer 515 and nitride layer 520 that are over the upper surfaces 610, 620 can be removed by the CMP process, which can comprise single or multiple polishing steps. As illustrated in FIG. 6 the upper surfaces 610, 620 of the gates 205, 210 can be coplanar with the uppermost surface 630 of CMP planarized sacrificial layer 510. As also illustrated, the SiGe-filled the source and drain openings 320, 322 remained covered by the sacrificial layer 510.
  • FIG. 7 shows the device 100 after subjecting the upper surfaces 610, 620 of the gates 205, 210 (FIG. 6) to an etch process to thereby selectively remove the SiGe 405 (FIG. 6) from the first gate 205 and form a second gate electrode opening 710 therein. In some cases, the second gate electrode opening 710 is substantially the same in size as the first gate electrode opening 315 (FIG. 3). That is, substantially all of the SiGe 405 is removed (e.g., at least about 99 percent) from the first gate 205, while the sidewalls 235 and remaining portion of the first patterned silicon-containing electrode layer 225 are left substantially unaltered by the SiGe removal etch process. E.g., the etch rate of the SiGe 405 (FIG. 6) is at least about 100 times greater than the etch rate of the insulating sidewalls 235 or of the first patterned silicon-containing electrode layer 225 that remains in the first gate 205.
  • In some cases, the SiGe removal etch is selective to the second gate 210. That is, the process for removing the SiGe 405 from the first gate 205 leaves the second gate 210 substantially unaltered. E.g., the removal rate of the second patterned silicon-containing electrode layer 230 is less than about 10 percent, and in some cases, less than about 1 percent, of the removal rate of the SiGe 405 of the first gate 205. Some embodiments of the selective etch process comprise a wet etch comprising NH4OH, H2O2 and H2O. E.g., the etch process can be a wet etch using a mixture of NH4OH:H2O2:H2O in ratios of about 1:1:5, respectively.
  • In other cases, however, the etch process is not selective. Rather, the second gate 210 is covered with a mask (e.g., a mask similar to the mask layer 350 depicted in FIG. 3) to prevent the undesired removal of the second patterned silicon-containing electrode layer 230 while removing the SiGe 405 from the first gate 205. As further illustrated in FIG. 7, removing the SiGe 405 from the first gate 205 also leaves the SiGe-filled source and drain structures 420, 425 substantially unaltered because these structures 420, 425 are covered by the sacrificial layer 510.
  • FIG. 8 shows the device 100 after depositing a metal 805 simultaneously on the first and second gates 205, 210 to form a metal layer 810 thereon. The metal layer 810 can be deposited directly on the patterned first and second silicon-containing layers 225, 230. In some cases, the metal layer includes a refractory metal such as nickel, deposited by conventional means (e.g., a PVD process).
  • Substantially the same thickness 820 of metal layer 810 is deposited on the first and second gates 205, 210 in a single metal deposition step. As illustrated in FIG. 8, sufficient amounts of the metal can be deposited so as to fill the second gate electrode opening 710 (FIG. 7). However, because a portion of the silicon-containing layer of the first gate 205 was previously removed (FIG. 3), the first and second gates 205, 210 have different thickness ratios of the metal layer 810 to the patterned first and second silicon-containing layers 225, 230.
  • For instance, a ratio of the thickness 820 of the metal layer 810 to the thickness 330 (FIG. 3) of the patterned and etched first silicon-containing layer 225 is greater than a ratio of the thickness 820 of the metal layer 810 to the thickness 250 (FIG. 2) of the patterned second silicon-containing layer 230. E.g., a ratio of the thickness 820 of the metal layer 810 to a thickness 330 of the silicon-containing layer 225 for the first gate 205 ranges from about 2:1 to 3:1. E.g., a ratio of a thickness 820 of the metal layer 810 to a thickness 250 of the silicon-containing layer 230 for the second gate electrode 210 ranges from about 0.9:1 to 1.1:1.
  • FIG. 9 shows the device 100 after annealing the first and second gates 205, 210 to form fully silicided first and second gates electrodes 910, 920. That is, the materials of the first patterned silicon-containing electrode layer 225 and the metal layer 810 (FIG. 8) are heated to a temperature that is sufficient to inter-diffuse the atoms of the first patterned silicon-containing electrode layers 225 and the metal layer 810 to form the first fully silicided electrode layer 910. Similarly, the anneal causes the second patterned silicon-containing electrode layers 225 and the metal layer 810 to inter-diffuse to form the second fully silicided electrode layer 920.
  • FIG. 9 shows that the fully silicided first and second gates electrodes 910, 920 can protrude above the uppermost surface 630 of the planarized sacrificial layer 510. This follows because the volume occupied by the metal-silicide crystal structure of the fully silicided first and second gates electrodes 910, 920 can be greater than the sum of the volumes of silicon-containing electrode layers 225, 230 and the metal layer 810 (FIG. 8) that the electrode 910, 920 were formed from. E.g., the formation of electrodes 910, 920 comprising NiSi, Ni2Si, Ni31Si12, Ni3Si, or mixed phase crystals of Ni and Si, will cause an expansive stress on the gate's 205, 210 sidewalls 235, which could potentially delaminate the sidewalls 235 from the electrodes 910, 920.
  • In some embodiments, the anneal includes a first anneal having an uppermost temperature of about 400° C. and a second anneal having an uppermost temperature of about 500° C. E.g., is some cases the anneal includes a first anneal to a temperature of about 320 to 400° C. for about 30 to 60 seconds, followed by a second anneal to a temperature of about 400 to 500° C. for about 60 seconds. In some embodiments the first anneal is sufficient to fully diffuse the atoms of the first patterned silicon-containing electrode layers 225 and the metal layer 810 to form a homogenous fully silicided first gate electrodes 910. Consequently, the second anneal does not substantially change the distribution of atoms (e.g., Ni and Si atoms) in the fully silicided first gate electrodes 910. In such instances the second anneal serves to further diffuse the atoms of the second patterned silicon-containing electrode layers 230 and the metal layer 810.
  • In some cases, portions 930 of the metal layer 810 do not interdiffuse with the patterned first and second silicon-containing layers 225, 230 (FIG. 8). This unreacted metal layer portion 930 can be removed using conventional procedures before conducting additional device manufacturing steps. E.g., removing the unreacted metal layer portion 930 of nickel can include exposure to a solution of H2SO4:H2O2 in a 6:1 ratio. In some embodiments the unreacted metal portion 930 is removed between the first and second anneals.
  • An amount of the metal 805 (FIG. 8) at an interface 940 of the fully silicided gate electrode layer 910 and an underlying gate dielectric layer 215 is greater than an amount of the metal 805 an second interface 950 of the second fully silicided gate electrode layer 920 and an underlying second gate dielectric layer 220. In some instance, the amount of the metal 805 in the fully silicided first gate electrode 910 is greater than an amount of the metal 805 in the fully silicided second gate electrode 920. E.g., there can be a greater amount of nickel in the fully silicided first gate electrode layer 910 than in the fully silicided second gate electrode layer 920. In some cases, the fully silicided first gate electrode 910 comprises Ni2Si while the fully silicided second gate electrode layer 920 comprises NiSi. E.g., there can be Ni2Si and NiSi at the respective interfaces 940, 950 of the gates 205, 210. In other cases, the fully silicided first gate electrode 910 comprises Ni3Si or Ni31Si12, while the fully silicided second gate electrode layer 920 comprises NiSi (e.g., Ni3Si or Ni31Si12 at the interface 940 and NiSi at the second interface 950). A fully silicided first gate electrode 910 comprising Ni3Si or Ni31Si12 at the interface 940 has a higher work function than a fully silicided first gate electrode 910 comprising Ni2Si at the second interface 950 A fully silicided first gate electrode 910 comprising Ni2Si, however, will impart less expansive stress on the first gate's 205 sidewalls 235 than Ni3Si. In some cases, a fully silicided first gate electrode 910 comprising Ni31Si12 provide a suitable combination of high work function plus an acceptable level expansive stress.
  • One skilled in the art would appreciate that there can be multiple additional manufacturing steps to complete the fabrication of the device 100. E.g., as illustrated in FIG. 10, for embodiments of the device 100 configured as an integrated circuit, the method can include conventional processes to remove the sacrificial layer 510 (FIG. 9), and form formed metal silicide electrodes 1005 on the source and drain structures 420, 425, 440, 445, and gate electrodes 910, 920. Thereafter a pre-metal nitride layer 1010 and pre-metal dielectric (PMD) layer 1015 can be deposited on the substrate 105. One or more inter-level dielectric layers (IDL) 1020 can then be deposited on the pre-metal dielectric layer 1015. As further illustrated, interconnects 1025 can be formed through the ILD layers 1010 and PMD layer 1005. The interconnects 1025 can include metal contacts, lines, single or dual damascene structures, comprising tungsten, copper, or other metals. Certain interconnects 1025 contact conventionally Formed metal silicide electrodes 1005 located on the source and drain structures 420, 425, 440, 445, and gate electrodes 910, 920, to thereby interconnect the transistors 102 to each other, or to other transistors 104 of the integrated circuit. E.g., the first and second transistors 102, 104, configured as pMOS and nMOS transistors, respectively, can be interconnected to form a complementary MOS (CMOS) device 1027.
  • FIG. 10 illustrates another embodiment, a semiconductor device 100. In this example, the device 100 is configured as an integrated circuit. The integrated circuit 100 can comprise one or more transistors 102, 104 on or in the semiconductor substrate 105. At least one of the transistors 102 includes a gate 205 having a fully silicided gate electrode layer 910 with a ratio of Ni:Si that ranges from about 2:1 to 3:1. The transistor 102 also includes source and drain structures 420, 425 located in openings 320, 322 of the substrate 105 and adjacent to the gate 205, wherein the source and drain structures 420, 425 are filled with SiGe.
  • As a consequence of performing the anneal as discussed in the context of FIG. 9, the gate 205 has an interface 940 that corresponds to that portion of the first fully silicided electrode layer 910 that directly contacts the first patterned gate dielectric layer 215. In some embodiments, the ratio of Ni to Si (Ni:Si) at the interface 940 equals about 2:1. In other embodiments, the Ni:Si ratio at the interface 940 equals about 3:1.
  • As further illustrated in FIG. 10 the device 100 configured as an integrated circuit can further include a second transistor 104. The second transistor 104 comprises a second gate 210 having a fully silicided second gate electrode layer 920. Similar to the first gate 205, the second gate 210 has a second interface 950. A ratio of Ni:Si at the second interface 950 ranges from about 0.9:1 to 1.1:1. The second transistor 104 also includes second source and drain structures 440, 445 located in the substrate 105 and adjacent to the second gate 210, wherein the second source and drain structures 440, 445 are free of SiGe.
  • The different Ni:Si ratios at the interface 940 and second interface 950 facilitates the work function of the first transistor 102 and second transistor 104 to be different from each other. E.g., at least about 0.3 eV different in some cases, and about 0.8 eV in other cases. E.g., in some embodiments, the work function of the first transistor 102 configured as a pMOS transistor can range from about 4.8 to 5.0 eV. The work function of the second transistor 104 configured as an nMOS transistor can range from about 4.2 to 4.5 eV.
  • In some embodiments, the Ni:Si ratio in the second fully silicided gate electrode 920 increases from the second interface 950 to the upper surface 620 of the second gate 210. E.g., in some cases there is a continuously increasing gradient of Ni:Si from about 1:1 at the second interface 950 to about 2:1 or greater at the upper surface 620 of the fully silicided second gate electrode layer 920. This is in contrast to the first fully silicided gate electrode 910, which in the same embodiment, can have a uniform Ni to Si ratio from the interface 940 to the upper surface 610 of the first gate 210. E.g., the Ni:Si ratio changes by less than 10 percent from the interface 940 to the upper surface 610.
  • As discussed in the context of FIG. 4, in some embodiments, the second source and drain structures 440, 445 are not formed in openings of the substrate 105. Rather, the second source and drain structures 440, 445 can be formed by implanting dopants into the substrate 105 and annealing the substrates 105 to diffuse the dopants. In other cases, however, the second source and drain structures 440, 445 can be formed by forming openings in the substrate 105 and filing the openings with a strain producing material other than SiGe, e.g., SiC.
  • Those skilled in the art to which the invention relates will appreciate that other and further additions, deletions, substitutions and modifications may be made to the described example embodiments, without departing from the invention.

Claims (20)

1. A method of manufacturing a semiconductor device, comprising:
forming first and second gates including patterning a silicon-containing layer on a semiconductor substrate;
etching simultaneously said patterned silicon-containing layer of said first gate and first substrate portions adjacent to said first gate, to form a first gate electrode opening and source and drain openings, respectively, wherein said second gate and second substrate portions adjacent to said second gate are masked;
forming SiGe simultaneously in said first gate electrode openings and in said source and drain openings, wherein said second gate electrode and said second substrate portions are masked;
removing said SiGe from an upper surface of said first gate to form a second opening therein;
depositing a metal simultaneously on said first and second gates to form a metal layer thereon; and
annealing said first and second gates to form fully silicided first and second gate electrodes, wherein an amount of said metal at an interface of said fully silicided gate electrode layer and an underlying gate dielectric layer is greater than an amount of said metal an second interface of said second fully silicided gate electrode layer and an underlying second gate dielectric layer.
2. The method of claim 1, wherein said simultaneous etch includes exposing said first gate and first substrate portions to an HBr-containing etchant gas.
3. The method of claim 1, wherein said simultaneous etch includes an isotropic etch and an anisotropic etch.
4. The method of claim 1, wherein forming said SiGe includes a chemical vapor deposition process using Si and Ge having about 0 to 50 percent atomic percent Ge.
5. The method of claim 1, wherein removing said SiGe from said upper surface of said first gate includes uncovering upper surfaces of said first and second gate electrodes.
6. The method of claim 5, wherein uncovering said upper surfaces includes a CMP process.
7. The method of claim 5, wherein uncovering said upper surfaces includes a nitride layer etch process.
8. The method of claim 1, wherein removing said SiGe layer includes subjecting said upper surfaces to an etchant having NH4OH, H2O2 and H2O.
9. The method of claim 1, wherein said metal layer includes a refractory metal.
10. The method of claim 1, wherein a ratio of a thickness of said metal layer to a thickness of said silicon-containing layer of said first gate ranges from about 2:1 to 3:1.
11. The method of claim 1, wherein a ratio of a thickness of said metal layer to a thickness of said silicon-containing layer for said second gate ranges from about 0.9:1 to 1.1:1.
12. The method of claim 1, wherein said annealing includes a first anneal having an uppermost temperature of about 400° C. and a second anneal having an uppermost temperature of about 500° C.
13. A method of manufacturing an integrated circuit, comprising:
forming one or more transistors on a semiconductor substrate, wherein at least one of said transistors is manufactured by a process that includes:
forming first and second gates, including patterning a silicon-containing layer on said semiconductor substrate;
etching simultaneously said patterned silicon-containing layer of said first gate and first substrate portions adjacent to said first gate, to form a first gate electrode opening and source and drain openings, respectively, wherein said second gate and second substrate portions adjacent to said second gate are masked;
forming SiGe simultaneously in said first gate electrode openings and on said source and drain openings, wherein said second gate and said second substrate portions are masked;
depositing a sacrificial layer on said substrate, wherein said first and second gates are covered by said sacrificial layer;
uncovering upper surfaces of said first and second gates, wherein said SiGe-filled source and drain openings remained covered;
removing said SiGe from said upper surface of said first gate, wherein during said removal said upper surface of second gate is substantially unaltered;
depositing a metal layer having nickel simultaneously on said first and second gates; and
annealing said first and second gates to form fully silicided first and second gate electrodes wherein an amount of said nickel at an interface of said fully silicided gate electrode layer and an underlying gate dielectric layer is greater than an amount of said nickel at a second interface of said second fully silicided gate electrode layer and an underlying second gate dielectric layer;
depositing a pre-metal dielectric layer on said substrate and inter-level dielectric layers on said pre-metal dielectric layer; and
forming interconnects through one or more of said inter-level dielectric layers to interconnect said at least one transistors to each other, or to other transistors of said integrated circuit.
14. The method of claim 13, wherein removing said SiGe layer includes subjecting said upper surfaces to a wet etch using a mixture of about 1:1:5 NH4OH:H2O2:H2O.
15. The method of claim 13, wherein said annealing includes a first anneal of about 320 to 400° C. for about 30 to 50 seconds and a second anneal of about 400 to 500° C. for 60 seconds.
16. An integrated circuit, comprising:
one or more transistors on or in a semiconductor substrate, wherein at least one of said transistors includes:
a gate having a fully silicided gate electrode layer with a ratio of Ni:Si ranging from about 2:1 to 3:1; and
source and drain structures located in openings of said substrate and adjacent to said gate, wherein said source and drain structures are filled with SiGe.
17. The circuit of claim 16, wherein said ratio equals about 2:1 at an interface of said fully silicided gate electrode layer and an underlying gate dielectric layer.
18. The circuit of claim 16, wherein said ratio equals about 3:1 at an interface of said fully silicided gate electrode layer and an underlying gate dielectric layer.
19. The circuit of claim 16, further including a second transistor including:
a second gate having a fully silicided second gate electrode layer, wherein a second interface of said fully silicided second gate electrode layer and an underlying second dielectric layer has a second ratio of Ni:Si ranging from about 0.9:1 to 1.1:1; and
second source and drain structures in said substrate and adjacent to said second gate, wherein said source and drain structures are free of SiGe.
20. The circuit of claim 19, wherein said second ratio increases from said second interface to an upper surface of said second gate.
US11/674,902 2007-02-14 2007-02-14 Fabrication of transistors with a fully silicided gate electrode and channel strain Active 2027-03-08 US7416949B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/674,902 US7416949B1 (en) 2007-02-14 2007-02-14 Fabrication of transistors with a fully silicided gate electrode and channel strain
US12/173,518 US20080283941A1 (en) 2007-02-14 2008-07-15 Fabrication of transistors with a fully silicided gate electrode and channel strain

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/674,902 US7416949B1 (en) 2007-02-14 2007-02-14 Fabrication of transistors with a fully silicided gate electrode and channel strain

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/173,518 Division US20080283941A1 (en) 2007-02-14 2008-07-15 Fabrication of transistors with a fully silicided gate electrode and channel strain

Publications (2)

Publication Number Publication Date
US20080191289A1 true US20080191289A1 (en) 2008-08-14
US7416949B1 US7416949B1 (en) 2008-08-26

Family

ID=39685103

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/674,902 Active 2027-03-08 US7416949B1 (en) 2007-02-14 2007-02-14 Fabrication of transistors with a fully silicided gate electrode and channel strain
US12/173,518 Abandoned US20080283941A1 (en) 2007-02-14 2008-07-15 Fabrication of transistors with a fully silicided gate electrode and channel strain

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/173,518 Abandoned US20080283941A1 (en) 2007-02-14 2008-07-15 Fabrication of transistors with a fully silicided gate electrode and channel strain

Country Status (1)

Country Link
US (2) US7416949B1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090020821A1 (en) * 2007-06-25 2009-01-22 Interuniversitair Microelektronica Centrum Vzw (Imec) Dual workfunction semiconductor device
US20100314698A1 (en) * 2004-06-18 2010-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US20110042752A1 (en) * 2009-08-20 2011-02-24 Sony Corporation Semiconductor device and method for manufacturing the same
US20130214362A1 (en) * 2011-08-25 2013-08-22 Commissariat A L'energie Atomique Et Aux Ene Alt Method of producing a device with transistors strained by means of an external layer
CN107871784A (en) * 2016-09-23 2018-04-03 住友电气工业株式会社 Semiconductor devices

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7642153B2 (en) * 2007-10-23 2010-01-05 Texas Instruments Incorporated Methods for forming gate electrodes for integrated circuits
DE102009046241B4 (en) * 2009-10-30 2012-12-06 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Deformation gain in transistors having an embedded strain-inducing semiconductor alloy by edge rounding at the top of the gate electrode
US8313990B2 (en) 2009-12-04 2012-11-20 International Business Machines Corporation Nanowire FET having induced radial strain
US8309991B2 (en) * 2009-12-04 2012-11-13 International Business Machines Corporation Nanowire FET having induced radial strain
US9117691B2 (en) 2012-12-28 2015-08-25 Texas Instruments Incorporated Low cost transistors

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040245583A1 (en) * 2003-06-05 2004-12-09 Masatada Horiuchi Semiconductor device and manufacturing method thereof
US20070218637A1 (en) * 2006-03-15 2007-09-20 Yamaha Corporation Method for forming silicon oxide film and for manufacturing capacitor and semiconductor device
US20070275528A1 (en) * 2006-03-31 2007-11-29 Osamu Koike Method of manufacturing semiconductor device

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7338865B2 (en) 2004-07-23 2008-03-04 Texas Instruments Incorporated Method for manufacturing dual work function gate electrodes through local thickness-limited silicidation
JP5015446B2 (en) * 2005-05-16 2012-08-29 アイメック Method for forming double fully silicided gates and device obtained by said method
US7525160B2 (en) * 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
JP2007242894A (en) * 2006-03-08 2007-09-20 Toshiba Corp Semiconductor device and its manufacturing method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040245583A1 (en) * 2003-06-05 2004-12-09 Masatada Horiuchi Semiconductor device and manufacturing method thereof
US20070218637A1 (en) * 2006-03-15 2007-09-20 Yamaha Corporation Method for forming silicon oxide film and for manufacturing capacitor and semiconductor device
US20070275528A1 (en) * 2006-03-31 2007-11-29 Osamu Koike Method of manufacturing semiconductor device

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100314698A1 (en) * 2004-06-18 2010-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US8791528B2 (en) * 2004-06-18 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US20090020821A1 (en) * 2007-06-25 2009-01-22 Interuniversitair Microelektronica Centrum Vzw (Imec) Dual workfunction semiconductor device
US7851297B2 (en) * 2007-06-25 2010-12-14 Imec Dual workfunction semiconductor device
US20110042752A1 (en) * 2009-08-20 2011-02-24 Sony Corporation Semiconductor device and method for manufacturing the same
US8896068B2 (en) * 2009-08-20 2014-11-25 Sony Corporation Semiconductor device including source/drain regions and a gate electrode, and having contact portions
US20130214362A1 (en) * 2011-08-25 2013-08-22 Commissariat A L'energie Atomique Et Aux Ene Alt Method of producing a device with transistors strained by means of an external layer
US8664104B2 (en) * 2011-08-25 2014-03-04 Commissariat à l'énergie atomique et aux énergies alternatives Method of producing a device with transistors strained by means of an external layer
CN107871784A (en) * 2016-09-23 2018-04-03 住友电气工业株式会社 Semiconductor devices

Also Published As

Publication number Publication date
US20080283941A1 (en) 2008-11-20
US7416949B1 (en) 2008-08-26

Similar Documents

Publication Publication Date Title
US7416949B1 (en) Fabrication of transistors with a fully silicided gate electrode and channel strain
CN100442464C (en) Semiconductor device fabrication method
KR101479291B1 (en) Strain enhanced semiconductor devices and methods for their fabrication
US7229871B2 (en) Integrated circuit containing polysilicon gate transistors and fully silicidized metal gate transistors
TWI331781B (en) Semiconductor fabrication method, method of forming a strained semiconductor structure
US7372099B2 (en) Semiconductor device and its manufacturing method
US7071065B1 (en) Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication
CN100449780C (en) PMOS transistor strain optimization with raised junction regions
KR101600553B1 (en) Methods for fabricating mos devices having epitaxially grown stress-inducing source and drain regions
US20110027950A1 (en) Method for forming a semiconductor device having a photodetector
US20070296052A1 (en) Methods of forming silicide regions and resulting MOS devices
US20060166457A1 (en) Method of making transistors and non-silicided polysilicon resistors for mixed signal circuits
JP2013545315A (en) Structure and method for Vt tuning and short channel control with high K / metal gate MOSFETs.
EP1579485A2 (en) Method of forming a thick strained silicon layer and semiconductor structures incorporating a thick strained silicon layer
US20230369130A1 (en) Method of Manufacturing Semiconductor Devices with Multiple Silicide Regions
US8575014B2 (en) Semiconductor device fabricated using a metal microstructure control process
US11728223B2 (en) Semiconductor device and methods of manufacture
WO2013016852A1 (en) Method of fabricating semiconductor device
KR100558011B1 (en) Method of forming a MOS transistor having fully silicided metal gate electrode
JP2006128427A (en) Semiconductor device and manufacturing method therefor
TW201330253A (en) MOSFET integrated circuit with improved silicide thickness uniformity and methods for its manufacture
US7332435B2 (en) Silicide structure for ultra-shallow junction for MOS devices
CN107919393B (en) Semiconductor device and manufacturing method thereof
JP2008124441A (en) Manufacturing method of semiconductor device
KR20020049350A (en) Method of manufacturing a semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: TEXAS INSTRUMENTS INC., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:PAS, MICHAEL FRANCIS;YU, SHAOFENG;REEL/FRAME:018946/0801

Effective date: 20070214

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12