US20080160767A1 - Semiconductor Device And Method For Forming Pattern In The Same - Google Patents

Semiconductor Device And Method For Forming Pattern In The Same Download PDF

Info

Publication number
US20080160767A1
US20080160767A1 US11/760,090 US76009007A US2008160767A1 US 20080160767 A1 US20080160767 A1 US 20080160767A1 US 76009007 A US76009007 A US 76009007A US 2008160767 A1 US2008160767 A1 US 2008160767A1
Authority
US
United States
Prior art keywords
hard mask
mask layer
layer pattern
pattern
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/760,090
Other versions
US7550384B2 (en
Inventor
Keun Do Ban
Cheol Kyu Bok
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Assigned to HYNIX SEMICONDUCTOR INC. reassignment HYNIX SEMICONDUCTOR INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BAN, KEUN DO, BOK, CHEOL KYU
Publication of US20080160767A1 publication Critical patent/US20080160767A1/en
Application granted granted Critical
Publication of US7550384B2 publication Critical patent/US7550384B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A method for forming a fine pattern of a semiconductor device includes forming a first hard mask layer over a semiconductor substrate and a second hard mask layer over the first hard mask layer, selectively etching the second hard mask layer and the first hard mask layer by using a line/space mask as an etching mask to form a second hard mask layer pattern and a first hard mask layer pattern, forming an insulating film filling the second hard mask layer pattern and the first hard mask layer pattern, selectively etching the second hard mask layer and its underlying first hard mask layer pattern by using the insulating film as an etching mask to form a fourth hard mask layer pattern overlying a third hard mask layer pattern, removing the insulating film and the fourth hard mask layer pattern, and patterning the semiconductor substrate by using the third hard mask layer pattern as an etching mask, to form a fine pattern.

Description

    CROSS-REFERENCES TO RELATED APPLICATIONS
  • The present application claims priority to Korean patent application number 10-2006-0137008, filed on Dec. 28, 2006, which is incorporated by reference in its entirety.
  • BACKGROUND OF THE INVENTION
  • The present invention relates to a memory device. More particularly, the present invention relates to a method for forming a pattern in a semiconductor device by employing a self-aligned double exposure technology.
  • In general, a semiconductor device such as dynamic random access memory (“DRAM”) includes numerous fine patterns. Such patterns are formed through a photolithography process. In order to form a pattern by a photolithography process, a photoresist (“PR”) film is coated over a target layer to be patterned. Next, an exposure process is performed to change solubility in a given potion of the PR film. Subsequently, a developing process is performed to form a PR pattern exposing the target layer. That is, the PR pattern is formed by removing the portion of which the solubility is changed, or by removing the portion of which the solubility is not changed. Later, the exposed target layer is etched using the PR pattern, and then the PR pattern is stripped to form a target layer pattern.
  • In the photolithography process, resolution and depth of focus (“DOF”) are two important issues. Resolution (R) can be expressed by Equation 1 below.
  • R = k 1 λ NA , ( 1 )
  • wherein k1 is a constant determined by a kind and thicknesses of PR film, λ is a wavelength of light source, and NA stands for a numerical aperture of exposure equipment.
  • According to the above Equation 1, the shorter the wavelength (λ) of a light source is and the larger the NA of exposure equipment is, the finer is a pattern formed over a wafer. However, λ of a light source being used and the NA of exposure equipment have not kept abreast of recently rapid advances in integration of a semiconductor device. Therefore, resolution enhancement technology (“RET”) for improving resolution and DOF is being applied by incorporating diverse methods. For example, the RET technology includes phase shift mask (“PSM”), off-axis illumination (“OAI”), optical proximity correction (“OPC”) and the like. Besides, there is a technology called double exposure technique (“DET”) capable of forming a fine pattern over a wafer. Critical Dimension (CD) uniformity in the DET depends on overall overlay accuracy of a first exposure mask and a second exposure mask.
  • However, it is difficult to control the overlay of the first and second exposure masks to fall within the error range. Moreover, technical difficulties make it hard to achieve improvement of exposure equipment.
  • BRIEF SUMMARY OF THE INVENTION
  • Embodiments of the present invention are directed to an improved method for forming a pattern in a semiconductor device. According to one embodiment of the present invention, the improved method for forming a pattern in a semiconductor device utilizes a self-aligned double exposure technology.
  • According to an embodiment of the present invention, a method for forming a pattern in a semiconductor device includes: forming a stacked structure of a first hard mask layer over a semiconductor substrate and a second hard mask layer over the first hard mask layer, selectively etching the second hard mask layer and the first hard mask layer by using a line/space mask as an etching mask to form a second hard mask layer pattern and a first hard mask layer pattern, wherein a line width of an upper part of the second hard mask layer pattern is narrower than that of its lower part, forming an insulating film filling the second hard mask layer pattern and the first hard mask layer pattern, selectively etching the second hard mask layer and its underlying first hard mask layer pattern by using the insulating film as an etching mask to form a third hard mask layer pattern over a fourth hard mask layer pattern, removing the insulating film and the third hard mask layer pattern, and patterning the semiconductor substrate by using the fourth hard mask layer pattern as an etching mask to form a fine pattern.
  • According to another embodiment, a semiconductor device has fine patterns that are formed according to the method for forming a pattern described herein.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1 a to 1 k are cross-sectional views illustrating a method for forming a pattern in a semiconductor device according to an embodiment of the present invention.
  • DESCRIPTION OF EMBODIMENTS
  • The present invention relates to a semiconductor device with fine patterns that are formed on the basis of a self-aligned double exposure technique. The fine patterns are realized with one exposure mask by using a modified stacked structure of hard mask layers and an isotropic etching method. Such fine patterns are uniformly formed to improve the degree of the integration and yield of semiconductor devices. According to the improved method for forming a fine pattern, the fabrication process of a semiconductor device is also simplified to reduce processing costs.
  • FIGS. 1 a to 1 k are cross-sectional views illustrating a method for forming a pattern in a semiconductor device according to an embodiment of the present invention. A first hard mask layer 120, a second hard mask layer 130, a third hard mask layer 140, and a fourth hard mask layer 150 are formed over a semiconductor substrate 110 having an etch-target layer (not shown). A photoresist film (not shown) is formed over the fourth hard mask layer 150. The photoresist film is exposed and developed using a line/space mask (not shown) to form a photoresist pattern 160. The fourth hard mask layer 150 and the third hard mask layer 140 are selectively etched using the photoresist pattern 160 as an etching mask to form a fourth hard mask layer pattern 152 and a third hard mask layer pattern 142.
  • According to one embodiment of the invention, the first hard mask layer 120 is formed of an amorphous carbon film; the second hard mask layer 130 is formed of a silicon oxynitride (SiON) film; the third hard mask layer 140 is formed of a polysilicon layer or a silicon nitride (Si3N4) film; and the fourth hard mask layer 150 is formed of a silicon oxide (SiO2) film. In another embodiment, a ratio of the line width 160 a of the photoresist pattern 160 to the width of the space 160 b defined between the neighboring photoresist patterns 160 is in a range of about 2.5:1.5 to about 3.5:0.5. In addition, the ratio of the line width 160 a to the width of the space 160 a is preferably substantially about 3:1. In another embodiment of the present invention, the process of selectively etching the fourth hard mask layer 150 and the third hard mask layer 140 is performed by an anisotropic dry etching method.
  • Referring to FIGS. 1 c through 1 f, the fourth hard mask layer pattern 152 is selectively etched by using the photoresist pattern 160 as an etching mask to form a fifth hard mask layer pattern 154. The photoresist pattern 160 is then removed. An insulating film 170 is formed over the semiconductor substrate 110 to fill the third hard mask layer pattern 142 and the fifth hard mask layer pattern 154. The insulating film 170 is etched until the top surface of the fifth hard mask layer pattern 154 is exposed (FIG. 1 f).
  • According to one embodiment of the present invention, the fifth hard mask layer pattern 154 is formed by an isotropic wet etching method. In addition, the isotropic etching method may be adjusted so that a latitudinal line width 154 a of an upper part (for example 154 b) of the fifth hard mask layer pattern 154 is substantially equal to that of the space 160 b defined between the neighboring photoresist patterns 160 shown in FIG. 1 b (see also FIG. 1 d). In another embodiment of the present invention, a ratio of the line width 154 a of the upper part (for example 154 b) of the fifth hard mask layer pattern 154 to the width 154 c of the lower part (for example 154 d) is in a range of about 1.5:2.5 to about 0.5:3.5. In addition, the ratio of the line width 154 a to the width 154 c is substantially about 1:3. In another embodiment of the present invention, the insulating film 170 is formed of a spin-on-carbon (“SOC”) film so that the fifth hard mask layer pattern 154 which upper part is etched wider than its lower part is filled. In addition, the etching process of etching the insulating film 170 preferably is performed by an etch-back method using a gas including O2.
  • Referring to FIGS. 1 g to 1 i, the exposed fifth hard mask layer pattern 154 and its underlying third hard mask layer pattern 142 are selectively etched using the insulating film 170 as an etching mask to form a seventh hard mask layer pattern 156 and a sixth hard mask layer pattern 144, which exposes the second hard mask layer 130 (FIG. 1 h). The insulating film 170 is removed. The seventh hard mask layer pattern 156 is removed (FIG. 1 i).
  • According to one embodiment of the present invention, the process of etching the fifth hard mask layer pattern 154 and the third hard mask layer pattern 142 is performed by an anisotropic dry etching method. The process of removing the insulating film 170 preferably is performed by a plasma etching method using a gas including O2. In addition, the second hard mask layer pattern 130 formed of a silicon oxynitride (SiON) film can serve as an etch stop film during a plasma etching method to prevent the first hard mask layer 120 from being etched.
  • In another embodiment of the present invention, the process of removing the seventh hard mask layer pattern 156 is performed by a wet etching method using a buffer oxide etchant (“BOE”) solution. In the wet etching method using the BOE solution, the sixth hard mask layer pattern 144 and the second hard mask layer 130 are not etched so that a seventh hard mask layer pattern 156 that is formed of a silicon oxide (SiO2) film can be selectively removed. In another embodiment of the present invention, a ratio of a latitudinal line width 144 a of the sixth hard mask layer pattern 144 to the width 144 b of the space 144 b defined between the neighboring sixth hard mask layer patterns 144 is substantially about 1:1 (See FIG. 1 i). For example, the line width of each of two pattern elements will be about equal to the width of the space between them.
  • Referring to FIGS. 1 j and 1 k, the second hard mask layer 130 is etched using the sixth hard mask layer pattern 144 as an etching mask to form a eighth hard mask layer pattern 132. The first hard mask layer 120 is etched using the eighth hard mask layer pattern 132 to form a ninth hard mask layer pattern 122. The etch target layer is patterned using the ninth hard mask layer pattern 122 to form a fine pattern. Accordingly, a fine pattern can be formed in a semiconductor device based on the self-aligned double exposure technology having one mask process.
  • As described above, according to the semiconductor device and the pattern formation method for the same, it becomes possible to form a fine pattern having uniform critical dimension, irrespective of the overlay accuracy of exposure equipment. Accordingly, the integration and yield of semiconductor devices are improved. Moreover, since the double exposure technique uses one exposure mask, the overall fine pattern formation process is simplified to reduce processing costs.
  • The above embodiments of the present invention are illustrative and not limitative. Throughout the specification, where methods and compositions are described as including steps or materials, it is contemplated that the methods and compositions can also consist essentially of, or consist of, any combination of the recited steps or materials, unless described otherwise. Although processes have been described with reference to particular embodiments, a person of ordinary skill in the art will readily appreciate that various alternatives and equivalents are possible. Other additions, subtractions, or modifications will be obvious to a person of ordinary skill in the art in view of the present disclosure and are intended to fall within the scope of the appended claims. The invention is not limited by the lithography steps or materials described herein, nor is the invention limited to any specific type of semiconductor device. For example, the present invention may be implemented in a dynamic random access memory (DRAM) device or non volatile memory device. The order of steps may be changed without departing from the scope or spirit of the method, unless described otherwise. In addition, individual steps may be combined, omitted, or further subdivided into additional steps, and intervening steps may be applicable.

Claims (22)

1. A method for forming a fine pattern in a semiconductor device, the method comprising:
forming a first hard mask layer over a semiconductor substrate and a second hard mask layer over the first hard mask layer;
selectively etching the second hard mask layer and the first hard mask layer by using a line/space mask as an etching mask to form a second hard mask layer pattern and a first hard mask layer pattern, wherein a line width of an upper part of the second hard mask layer pattern is narrower than that of its lower part;
forming an insulating film filling the second hard mask layer pattern and the first hard mask layer pattern;
selectively etching the second hard mask layer and its underlying first hard mask layer pattern by using the insulating film as an etching mask to form a third hard mask layer pattern and an underlying fourth hard mask layer pattern;
removing the insulating film and the third hard mask layer pattern; and
patterning the semiconductor substrate by using the fourth hard mask layer pattern as an etching mask, to form a pattern.
2. The method of claim 1, wherein the forming of the second hard mask layer pattern and the first hard mask layer pattern comprises:
selectively etching the second hard mask layer and the first hard mask layer by using a line/space mask to form a fifth hard mask layer pattern and the first hard mask layer pattern; and
selectively etching the fifth hard mask layer pattern to form the second hard mask layer pattern, wherein the line width of an upper part of the second hard mask layer pattern is narrower than that of its lower part.
3. The method of claim 2, wherein the process of etching the second hard mask layer and the first hard mask layer comprises an anisotropic dry etching method.
4. The method of claim 2, wherein the process of etching the fifth hard mask layer pattern comprises an isotropic wet etching method.
5. The method of claim 1, wherein a ratio of the line width of the upper part of the second hard mask layer pattern to the width of the lower part is in a range of about 1.5:2.5 to about 0.5:3.5.
6. The method of claim 1, wherein a ratio of a line width of the upper part of the second hard mask layer pattern to the width of the lower part is substantially about 1:3.
7. The method of claim 1, further comprising forming a photoresist pattern over the second hard mask layer by using a line/space mask.
8. The method of claim 7, wherein a ratio of a line width of the photoresist pattern to the width of a space defined between the neighboring photoresist patterns is in a range of about 2.5:1.5 to about 3.5:0.5.
9. The method of claim 7, wherein a ratio of a line width of the photoresist pattern to the width of a space defined between the neighboring photoresist patterns is substantially about 3:1.
10. The method of claim 1, wherein the formation of the insulating film comprises:
forming the insulating film over the semiconductor substrate to fill up the second hard mask layer pattern and the first hard mask layer pattern; and
etching the insulating film until the top surface of the second hard mask layer pattern is exposed.
11. The method of claim 10, wherein the process of etching the insulating film comprises an etch-back method using a gas including O2.
12. The method of claim 1, wherein the insulating film is formed of a spin-on-carbon (“SOC”) film.
13. The method of claim 1, wherein the process of selectively etching the second hard mask layer pattern and its underlying first hard mask layer pattern comprises an anisotropic dry etching method.
14. The method of claim 1, wherein the process of removing the insulating film and the fourth hard mask layer pattern comprises:
removing the insulating film; and then removing the fourth hard mask layer pattern.
15. The method of claim 14, wherein the process of removing the insulating film comprises a plasma etching method using a gas including O2.
16. The method of claim 14, wherein the process of removing the fourth hard mask layer pattern comprises a wet etching method using a buffer oxide etchant (“BOE”) solution.
17. The method of claim 1, wherein a ratio of line width of neighboring patterns to that the width of a space defined between the neighboring patterns is substantially about 1:1.
18. The method of claim 1, further comprising forming a buffer layer in the interface between the first hard mask layer pattern and the semiconductor substrate.
19. The method of claim 18, wherein the buffer layer is formed of a SiON film to serve as an etch stop layer for a plasma etching method using a gas including O2.
20. The method of claim 1, wherein the second hard mask layer is formed of a SiO2 film.
21. The method of claim 1, wherein the first hard mask layer is formed of a polysilicon layer or a Si3N4 film.
22. A semiconductor device comprising patterns formed according to the method of claim 1.
US11/760,090 2006-12-28 2007-06-08 Semiconductor device and method for forming pattern in the same Active US7550384B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2006-0137008 2006-12-28
KR1020060137008A KR100827534B1 (en) 2006-12-28 2006-12-28 Semiconductor device and method for forming fine pattern of the same

Publications (2)

Publication Number Publication Date
US20080160767A1 true US20080160767A1 (en) 2008-07-03
US7550384B2 US7550384B2 (en) 2009-06-23

Family

ID=39584619

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/760,090 Active US7550384B2 (en) 2006-12-28 2007-06-08 Semiconductor device and method for forming pattern in the same

Country Status (5)

Country Link
US (1) US7550384B2 (en)
JP (1) JP5017570B2 (en)
KR (1) KR100827534B1 (en)
CN (1) CN100595888C (en)
TW (1) TWI345262B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112967986A (en) * 2020-10-19 2021-06-15 重庆康佳光电技术研究院有限公司 Transfer component, preparation method thereof and transfer head

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100866723B1 (en) * 2006-12-28 2008-11-05 주식회사 하이닉스반도체 Semiconductor device and method for forming fine pattern of the same
JP4946625B2 (en) * 2007-05-21 2012-06-06 日本電産株式会社 motor
JP2009295745A (en) * 2008-06-04 2009-12-17 Toshiba Corp Method for manufacturing semiconductor device
CN102446704B (en) * 2010-10-14 2013-09-11 中芯国际集成电路制造(上海)有限公司 Dual patterning method
KR20120120729A (en) * 2011-04-25 2012-11-02 에스케이하이닉스 주식회사 Method for manufacturing metal pattern in semiconductor device
CN103426809B (en) * 2012-05-18 2016-02-03 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor making method based on self-aligned double patterning case
US9054158B2 (en) * 2013-02-08 2015-06-09 Texas Instruments Incorporated Method of forming a metal contact opening with a width that is smaller than the minimum feature size of a photolithographically-defined opening
CN104157564B (en) * 2013-05-15 2016-12-28 中芯国际集成电路制造(上海)有限公司 Improve the method for critical dimension uniformity after etching
CN104241099B (en) * 2013-06-09 2017-09-29 中芯国际集成电路制造(上海)有限公司 Self-aligned double patterning shape technique
US9012330B2 (en) * 2013-08-22 2015-04-21 Nanya Technology Corp. Method for semiconductor cross pitch doubled patterning process
CN106229255B (en) * 2016-07-27 2020-02-21 上海华虹宏力半导体制造有限公司 Method of self-aligned double exposure development process and semiconductor device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020096490A1 (en) * 2001-01-20 2002-07-25 Chia-Chieh Yu Photolithographic and etching method
US20060068547A1 (en) * 2004-07-12 2006-03-30 Sang-Hoon Lee Methods of forming self-aligned floating gates using multi-etching
US20070077748A1 (en) * 2005-09-30 2007-04-05 Dominik Olligs Method for forming a semiconductor product and semiconductor product
US20080017889A1 (en) * 2006-07-18 2008-01-24 Young-Ho Koh Wiring structure of a semiconductor device, method of forming the wiring structure, non-volatile memory device including the wiring structure, and method of manufacturing the non-volatile memory device

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03270227A (en) * 1990-03-20 1991-12-02 Mitsubishi Electric Corp Formation of fine pattern
KR960004291B1 (en) 1993-12-31 1996-03-30 엘지전선주식회사 Cable cutter
KR0144232B1 (en) * 1995-05-09 1998-08-17 김주용 Formation method of fine pattern in semiconductor device
KR0144489B1 (en) * 1995-10-04 1998-07-01 김주용 Process defect inspection method of semiconductor device
KR20040019652A (en) * 2002-08-28 2004-03-06 삼성전자주식회사 Method for forming conductive pattern of semiconductor device
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020096490A1 (en) * 2001-01-20 2002-07-25 Chia-Chieh Yu Photolithographic and etching method
US20060068547A1 (en) * 2004-07-12 2006-03-30 Sang-Hoon Lee Methods of forming self-aligned floating gates using multi-etching
US20070077748A1 (en) * 2005-09-30 2007-04-05 Dominik Olligs Method for forming a semiconductor product and semiconductor product
US20080017889A1 (en) * 2006-07-18 2008-01-24 Young-Ho Koh Wiring structure of a semiconductor device, method of forming the wiring structure, non-volatile memory device including the wiring structure, and method of manufacturing the non-volatile memory device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112967986A (en) * 2020-10-19 2021-06-15 重庆康佳光电技术研究院有限公司 Transfer component, preparation method thereof and transfer head

Also Published As

Publication number Publication date
JP5017570B2 (en) 2012-09-05
US7550384B2 (en) 2009-06-23
CN101211761A (en) 2008-07-02
TWI345262B (en) 2011-07-11
CN100595888C (en) 2010-03-24
JP2008166693A (en) 2008-07-17
KR100827534B1 (en) 2008-05-06
TW200828406A (en) 2008-07-01

Similar Documents

Publication Publication Date Title
US7550384B2 (en) Semiconductor device and method for forming pattern in the same
US7776747B2 (en) Semiconductor device and method for forming pattern in the same
US7776750B2 (en) Semiconductor device and method for forming a pattern in the same with double exposure technology
TWI424469B (en) Double patterning strategy for contact hole and trench
US7745339B2 (en) Method for forming fine pattern of semiconductor device
US8951918B2 (en) Method for fabricating patterned structure of semiconductor device
JP2003051495A (en) Forming method for contact hole of semiconductor element
US7687403B2 (en) Method of manufacturing flash memory device
US7510973B2 (en) Method for forming fine pattern in semiconductor device
US20080102643A1 (en) Patterning method
JP2010087300A (en) Method of manufacturing semiconductor device
US20110076832A1 (en) Dual etch method of defining active area in semiconductor device
US20090227110A1 (en) Method of Forming Mask Pattern
US20110248385A1 (en) Method for selectively forming symmetrical or asymmetrical features using a symmetrical photomask during fabrication of a semiconductor device and electronic systems including the semiconductor device
US8304174B2 (en) Method for fabricating semiconductor device
JP2005159264A (en) Method of forming pattern and method of manufacturing semiconductor device
TWI443758B (en) Method of forming gate conductor structures
US7906272B2 (en) Method of forming a pattern of a semiconductor device
US8524608B1 (en) Method for fabricating a patterned structure of a semiconductor device
US20080280216A1 (en) Method of forming a hard mask pattern in a semiconductor device
US7541286B2 (en) Method for manufacturing semiconductor device using KrF light source
JP2010087298A (en) Method of manufacturing semiconductor device
TW201120586A (en) Method to control critical dimension

Legal Events

Date Code Title Description
AS Assignment

Owner name: HYNIX SEMICONDUCTOR INC., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BAN, KEUN DO;BOK, CHEOL KYU;REEL/FRAME:019414/0742

Effective date: 20070529

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12