US20080150090A1 - DAMASCENE REPLACEMENT METAL GATE PROCESS WITH CONTROLLED GATE PROFILE AND LENGTH USING Si1-xGex AS SACRIFICIAL MATERIAL - Google Patents

DAMASCENE REPLACEMENT METAL GATE PROCESS WITH CONTROLLED GATE PROFILE AND LENGTH USING Si1-xGex AS SACRIFICIAL MATERIAL Download PDF

Info

Publication number
US20080150090A1
US20080150090A1 US12/021,728 US2172808A US2008150090A1 US 20080150090 A1 US20080150090 A1 US 20080150090A1 US 2172808 A US2172808 A US 2172808A US 2008150090 A1 US2008150090 A1 US 2008150090A1
Authority
US
United States
Prior art keywords
gate
polysilicon
metal
dielectric
profile
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/021,728
Inventor
Hong Lin
Wai Lo
Sey-Shing Sun
Richard Carter
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Bell Semiconductor LLC
Original Assignee
LSI Logic Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LSI Logic Corp filed Critical LSI Logic Corp
Priority to US12/021,728 priority Critical patent/US20080150090A1/en
Publication of US20080150090A1 publication Critical patent/US20080150090A1/en
Assigned to DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT reassignment DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: AGERE SYSTEMS LLC, LSI CORPORATION
Assigned to AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. reassignment AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LSI CORPORATION
Assigned to LSI CORPORATION, AGERE SYSTEMS LLC reassignment LSI CORPORATION TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS (RELEASES RF 032856-0031) Assignors: DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT
Assigned to BELL SEMICONDUCTOR, LLC reassignment BELL SEMICONDUCTOR, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD., BROADCOM CORPORATION
Assigned to CORTLAND CAPITAL MARKET SERVICES LLC, AS COLLATERAL AGENT reassignment CORTLAND CAPITAL MARKET SERVICES LLC, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BELL NORTHERN RESEARCH, LLC, BELL SEMICONDUCTOR, LLC, HILCO PATENT ACQUISITION 56, LLC
Assigned to HILCO PATENT ACQUISITION 56, LLC, BELL NORTHERN RESEARCH, LLC, BELL SEMICONDUCTOR, LLC reassignment HILCO PATENT ACQUISITION 56, LLC RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: CORTLAND CAPITAL MARKET SERVICES LLC
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al

Definitions

  • the present invention generally relates to damascene metal gate processes, and more specifically relates to a damascene metal gate process which uses Si 1-x Ge x as a sacrificial member.
  • MOS metal oxide semiconductor
  • a major challenge to the introduction of metal electrodes is addressing the issue of how to integrate the material into conventional transistor processing.
  • two metal types will be needed, one with an n-type work function and one with a p-type work function.
  • a single metal with a mid-gap work function can be used.
  • the integration question is still open.
  • Many candidate metals will not sustain a standard source/drain activation anneal due to either reaction with the gate dielectric or the low melting temperature of many metal materials.
  • a replacement gate approach is very appealing.
  • FIGS. 1-5 A replacement gate approach using a damascene scheme has been proposed previously, and is illustrated in FIGS. 1-5 .
  • the approach provides that polysilicon dummy gates 10 are fabricated using standard polysilicon gate CMOS process flow until the formation source/drain (wherein the source is identified with reference numeral 12 in FIG. 1 and the drain is identified with reference numeral 14 in FIG. 1 ).
  • pre-metal dielectric 16 is deposited on the silicon wafer 18 and a dielectric CMP planarization process is performed (as represented by arrows 20 in FIG. 2 ).
  • the dummy polysilicon 10 and gate oxide 22 are then removed by reactive ion etching (RIE) and/or wet chemical etching to form a gate groove 24 as shown in FIG. 3 .
  • RIE reactive ion etching
  • a new gate dielectric (SiO 2 or high-k dielectric) 26 and metal gate 28 are grown and/or deposited on the wafer and, as shown in FIG. 5 , a CMP step is performed (represented by arrows 30 in FIG. 5 ) to finally form the metal gate electrode 32 .
  • the main advantage of using a damascene process is that it avoids the thermal and plasma damages to the gate dielectric and metal electrode stacks during source/drain ion implantation, activation annealing and gate RIE.
  • a major problem of the existing damascene replacement scheme for metal gates is associated with the dummy polysilicon profile.
  • the standard CMOS polysilicon gate etch process in general can only achieve a tapered polysilicon profile 40 with an angle of 87-89 degrees as shown in FIG. 6 (i.e., the polysilicon will have an actual profile such as that which is shown in FIG. 6 , as opposed to the theoretical profile depicted in FIG. 1 ) causing a re-entrant gate groove 42 as shown in FIG. 7 (i.e., the gate groove will have an actual profile such as that which is shown in FIG. 7 as opposed to the theoretical profile depicted in FIG. 3 ).
  • An object of an embodiment of the present invention is to provide a method of forming a metal gate in a wafer which does not result in polysilicon residue being left in a groove before the groove is filled with metal.
  • Another object of an embodiment of the present invention is to provide a method of forming a metal gate in a wafer wherein a tapered groove is formed that tapers from an opening at its top to the bottom of the groove.
  • Yet another object of an embodiment of the present invention is to provide a metal gate in a wafer, where there is a groove which has a tapered profile which converges from an opening to a base, and there is metal in the groove, thereby providing the metal gate.
  • an embodiment of the present invention provides a method of forming a metal gate in a wafer wherein PolySi 1-x Ge x is used as a sacrificial member to form a tapered groove. Specifically, gate oxide, PolySi 1-x Ge x , and polysilicon is deposited on a wafer. A resist pattern is formed. A portion of the polysilicon, PolySi 1-x Ge x , and gate oxide is removed to provide a tapered profile.
  • the resist is removed; a dielectric liner is deposited, and then at least a portion of the dielectric liner is removed, thereby exposing the polysilicon and leaving the dielectric liner in contact with the polysilicon, PolySi 1-x Ge x , and gate oxide.
  • a dielectric is deposited, and a portion is removed thereby exposing the polysilicon.
  • the polysilicon, PolySi 1-x Ge x , and gate oxide is removed from inside the dielectric liner, thereby leaving a tapered gate groove. Metal is then deposited in the groove.
  • FIGS. 1-7 are views which relate to a prior art damascene metal gate process
  • FIG. 8 is a flow chart which illustrates a damascene metal gate process which is in accordance with an embodiment of the present invention.
  • FIGS. 9-14 are views which relate to the process illustrated in FIG. 8 .
  • the present invention aims to improve the dummy gate profile, eliminate the re-entrant profile of gate grooves, and extend the damascene replacement scheme to future technology nodes.
  • FIG. 8 illustrates the process on a step-and-step basis, and the progression of FIGS. 9 through 14 show the process being performed.
  • a gate oxide 50 is deposited oil a silicon wafer 52 , and then polysilicon 54 and PolySi 1-x Ge x 56 films are deposited.
  • the Ge composition in the PolySi 1-x Ge x may be anywhere from 15 to 50 percent depending on the application.
  • a resist 58 is patterned on the polysilicon 54 .
  • the wafer 52 is then processed through dummy gate etching (i.e., portions of the polysilicon 54 , PolySi 1-x Ge x 56 , and gate oxide 50 are etched away) and the resist 58 is stripped and cleaned, thereby providing that which is shown in FIG. 10 .
  • a dielectric liner 60 e.g. oxide or nitride, such as SiO2, Si3N4, or some other High-K dielectric
  • FIG. 11 is followed by an anisotropic plasma etch to remove the dielectric on top of the polysilicon and active areas, thereby leaving the structure as shown in FIG.
  • the dielectric liner 60 is left intact along the side wall 64 of the polysilicon 54 , PolySi 1-x Ge x 56 , and gate oxide 50 .
  • the dielectric liner 60 on the side wall 64 prevents Si 1-x Ge x 56 from further oxidizing and wet etching, thus preserving the dummy gate profile and gate electrode dimension throughout the subsequent processes.
  • the following steps are performed: LDD implantation and anneal, LTO oxide and Si3N4 deposition and etch to form spacer, SD implantation and anneal, salicide formation; depositing a pre-metal dielectric (such as a standard ILD oxide layer such as HDP oxide, FSG, or BPSG) on the polysilicon; and CMP removing a portion of the dielectric thereby exposing the polysilicon.
  • a pre-metal dielectric such as a standard ILD oxide layer such as HDP oxide, FSG, or BPSG
  • CMP CMP removing a portion of the dielectric thereby exposing the polysilicon.
  • the polysilicon 54 , PolySi 1-x Ge x 56 , and gate oxide 50 are removed from inside the dielectric liner 60 , thereby leaving a tapered gate groove 66 as shown in FIG. 13 .
  • the groove 66 is wider at an opening 68 at its top 70 than at its bottom 72 , proximate the silicon wafer 52 .
  • a gate dielectric 74 such as SiO2, SiON, or a high-K dielectric is deposited.
  • metal 76 is deposited into the groove 66 , and is etched to provide the final structure shown in FIG. 14 .
  • a re-entrant PolySi 1-x Ge x profile can be achieved (as shown in FIGS. 10-12 ), wherein the PolySi 1-x Ge x 56 is wider at its top 78 , proximate the polysilicon 54 , than it is at its bottom 80 , proximate the gate oxide 50 .
  • This re-entrant dummy gate profile then yields a tapered gate groove 66 as shown in FIG. 13 after processing through source/drain formation and dummy gate removal. This tapered profile thus can achieve much smaller gate length, improve gate dielectric and metal gate electrode gap fill.
  • the capability of the manipulation of Si 1-x Ge x resides in the fact that the Si 1 Ge x has higher oxidation rate than silicon, and germanium oxide is a volatile compound.
  • the present method involves the use of F/Cl2 based chemistries for plasma etching of polysilicon portion as well as the use of Cl2/HBr/O2 based chemistries for etching the PolySi 1-x Ge x portion of the film stack.
  • the profile of Si 1-x Ge x can be further manipulated by using a wet chemistry (such as SCl) that includes an oxidizing agent (such as H2O2 or O3) and an acid or base, such as NH4OH, to dissolve the oxidized surface.
  • a wet chemistry such as SCl
  • an oxidizing agent such as H2O2 or O3
  • an acid or base such as NH4OH

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A method of forming a metal gate in a wafer. PolySi1-xGex and polysilicon are used to form a tapered groove. Gate oxide, PolySi1-xGex, and polysilicon is deposited on a wafer. A resist pattern is formed. A portion of the polysilicon, PolySi1-xGex, and gate oxide is removed to provide a tapered profile. The resist is removed; a dielectric liner is deposited, and then at least a portion of the dielectric liner is removed, thereby exposing the polysilicon and leaving the dielectric liner in contact with the polysilicon, PolySi1-xGex, and gate oxide. A dielectric is deposited, and a portion is removed thereby exposing the polysilicon. The polysilicon, PolySi1-xGex, and gate oxide is removed from inside the dielectric liner, thereby leaving a tapered gate groove. Metal is then deposited in the groove.

Description

    RELATED APPLICATION (PRIORITY CLAIM)
  • This patent application is a divisional of U.S. patent application Ser. No. 10/889,901, filed on Jul. 13, 2004.
  • BACKGROUND
  • The present invention generally relates to damascene metal gate processes, and more specifically relates to a damascene metal gate process which uses Si1-xGex as a sacrificial member.
  • The aggressive scaling of metal oxide semiconductor (MOS) devices is quickly reaching the fundamental limits of SiO2 as the gate dielectric. Scaling requirements can no longer be achieved with SiO2 or nitrided-SiO2 gate dielectrics due to the presence of excessive leakage currents arising from direct tunneling and the lack of manufacturability of sub-1 nm oxides. Moreover, poly-Si depletion and threshold voltage shifts due to boron penetration into the channel region severely degrade device performance. Replacement of SiO2-based gate dielectrics with a high dielectric constant (high-k) material provides a means to address scaling issues. A high-k material allows for a physically thicker film to meet the required gate capacitance, while reducing the leakage current due to direct tunneling and improving manufacturability.
  • The issue of poly-Si depletion is still not overcome when using a high-k material, since the 3-6A contribution to EOT due to poly-Si depletion is still about 30-50% of the target EOT. As a result, the semiconductor industry began investigating metal gate electrodes. Replacement of poly-Si with a metal electrode solves both the boron penetration and poly-Si depletion issues. Moreover, the introduction of metal gates can prolong the use of SiO2 for one or two technology generations for high performance applications before requiring a switch to high-k dielectrics.
  • A major challenge to the introduction of metal electrodes is addressing the issue of how to integrate the material into conventional transistor processing. In the case of CMOS and partially depleted SOI, two metal types will be needed, one with an n-type work function and one with a p-type work function. In the case of fully depleted SOI, a single metal with a mid-gap work function can be used. Whether one type or two types of metals are used, the integration question is still open. Many candidate metals will not sustain a standard source/drain activation anneal due to either reaction with the gate dielectric or the low melting temperature of many metal materials. In order to increase the number of candidate metal materials, a replacement gate approach is very appealing.
  • A replacement gate approach using a damascene scheme has been proposed previously, and is illustrated in FIGS. 1-5. As shown in FIG. 1, the approach provides that polysilicon dummy gates 10 are fabricated using standard polysilicon gate CMOS process flow until the formation source/drain (wherein the source is identified with reference numeral 12 in FIG. 1 and the drain is identified with reference numeral 14 in FIG. 1). Then, as shown in FIG. 2, pre-metal dielectric 16 is deposited on the silicon wafer 18 and a dielectric CMP planarization process is performed (as represented by arrows 20 in FIG. 2). The dummy polysilicon 10 and gate oxide 22 are then removed by reactive ion etching (RIE) and/or wet chemical etching to form a gate groove 24 as shown in FIG. 3. As shown in FIG. 4, a new gate dielectric (SiO2 or high-k dielectric) 26 and metal gate 28 are grown and/or deposited on the wafer and, as shown in FIG. 5, a CMP step is performed (represented by arrows 30 in FIG. 5) to finally form the metal gate electrode 32. The main advantage of using a damascene process is that it avoids the thermal and plasma damages to the gate dielectric and metal electrode stacks during source/drain ion implantation, activation annealing and gate RIE.
  • A major problem of the existing damascene replacement scheme for metal gates is associated with the dummy polysilicon profile. The standard CMOS polysilicon gate etch process in general can only achieve a tapered polysilicon profile 40 with an angle of 87-89 degrees as shown in FIG. 6 (i.e., the polysilicon will have an actual profile such as that which is shown in FIG. 6, as opposed to the theoretical profile depicted in FIG. 1) causing a re-entrant gate groove 42 as shown in FIG. 7 (i.e., the gate groove will have an actual profile such as that which is shown in FIG. 7 as opposed to the theoretical profile depicted in FIG. 3). This leads to the following disadvantages of the scheme:
      • (a). Dummy polysilicon residue 44 as illustrated in FIG. 7: Incomplete removal of dummy polysilicon the sidewall, especially when a RIE is used to remove the dummy polysilicon.
      • (b). Incomplete dummy gate dielectric removal or undercut beneath residue polysilicon.
      • (c). Difficulty of groove filling with new gate dielectric and metal electrode. Voids could be formed due to the lack of gap filling capability for the gate dielectric and metal electrode inside these narrow and high aspect ratio grooves, which will in turn limit the scalability of this scheme for the future technology nodes.
    OBJECTS AND SUMMARY
  • An object of an embodiment of the present invention is to provide a method of forming a metal gate in a wafer which does not result in polysilicon residue being left in a groove before the groove is filled with metal.
  • Another object of an embodiment of the present invention is to provide a method of forming a metal gate in a wafer wherein a tapered groove is formed that tapers from an opening at its top to the bottom of the groove.
  • Yet another object of an embodiment of the present invention is to provide a metal gate in a wafer, where there is a groove which has a tapered profile which converges from an opening to a base, and there is metal in the groove, thereby providing the metal gate.
  • Briefly, and in accordance with at least one of the foregoing objects, an embodiment of the present invention provides a method of forming a metal gate in a wafer wherein PolySi1-xGex is used as a sacrificial member to form a tapered groove. Specifically, gate oxide, PolySi1-xGex, and polysilicon is deposited on a wafer. A resist pattern is formed. A portion of the polysilicon, PolySi1-xGex, and gate oxide is removed to provide a tapered profile. The resist is removed; a dielectric liner is deposited, and then at least a portion of the dielectric liner is removed, thereby exposing the polysilicon and leaving the dielectric liner in contact with the polysilicon, PolySi1-xGex, and gate oxide. A dielectric is deposited, and a portion is removed thereby exposing the polysilicon. The polysilicon, PolySi1-xGex, and gate oxide is removed from inside the dielectric liner, thereby leaving a tapered gate groove. Metal is then deposited in the groove.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The organization and manner of the structure and operation of the invention, together with further objects and advantages thereof, may best be understood by reference to the following description, taken in connection with the accompanying drawings, wherein:
  • FIGS. 1-7 are views which relate to a prior art damascene metal gate process;
  • FIG. 8 is a flow chart which illustrates a damascene metal gate process which is in accordance with an embodiment of the present invention; and
  • FIGS. 9-14 are views which relate to the process illustrated in FIG. 8.
  • DESCRIPTION
  • While the invention may be susceptible to embodiment in different forms, there are shown in the drawings, and herein will be described in detail, specific embodiments of the invention. The present disclosure is to be considered an example of the principles of the invention, and is not intended to limit the invention to that which is illustrated and described herein.
  • The present invention aims to improve the dummy gate profile, eliminate the re-entrant profile of gate grooves, and extend the damascene replacement scheme to future technology nodes.
  • Instead of using pure polysilicon as dummy gate, the new method involves the use of a polysilicon/PolySi1-xGex film stacks as a dummy gate. FIG. 8 illustrates the process on a step-and-step basis, and the progression of FIGS. 9 through 14 show the process being performed. Initially, as shown in FIG. 9, a gate oxide 50 is deposited oil a silicon wafer 52, and then polysilicon 54 and PolySi1-xGex 56 films are deposited. The Ge composition in the PolySi1-xGex may be anywhere from 15 to 50 percent depending on the application. Then, a resist 58 is patterned on the polysilicon 54. The wafer 52 is then processed through dummy gate etching (i.e., portions of the polysilicon 54, PolySi1-xGex 56, and gate oxide 50 are etched away) and the resist 58 is stripped and cleaned, thereby providing that which is shown in FIG. 10. After the desired degree of re-entrant profile has been achieved, a dielectric liner 60 (e.g. oxide or nitride, such as SiO2, Si3N4, or some other High-K dielectric) is deposited as shown in FIG. 11, which is followed by an anisotropic plasma etch to remove the dielectric on top of the polysilicon and active areas, thereby leaving the structure as shown in FIG. 12, wherein the top 62 of the polysilicon 54 is exposed, but the dielectric liner 60 is left intact along the side wall 64 of the polysilicon 54, PolySi1-xGex 56, and gate oxide 50. The dielectric liner 60 on the side wall 64 prevents Si1-xGex 56 from further oxidizing and wet etching, thus preserving the dummy gate profile and gate electrode dimension throughout the subsequent processes. Subsequently, the following steps are performed: LDD implantation and anneal, LTO oxide and Si3N4 deposition and etch to form spacer, SD implantation and anneal, salicide formation; depositing a pre-metal dielectric (such as a standard ILD oxide layer such as HDP oxide, FSG, or BPSG) on the polysilicon; and CMP removing a portion of the dielectric thereby exposing the polysilicon. Subsequently, the polysilicon 54, PolySi1-xGex 56, and gate oxide 50 are removed from inside the dielectric liner 60, thereby leaving a tapered gate groove 66 as shown in FIG. 13. As shown, the groove 66 is wider at an opening 68 at its top 70 than at its bottom 72, proximate the silicon wafer 52. Then, a gate dielectric 74 such as SiO2, SiON, or a high-K dielectric is deposited. Finally, metal 76 is deposited into the groove 66, and is etched to provide the final structure shown in FIG. 14.
  • By manipulating the Ge composition in the PolySi1-xGex, the plasma etching chemistries of Si1-xGex, oxidation, and oxide wet etching, a re-entrant PolySi1-xGex profile can be achieved (as shown in FIGS. 10-12), wherein the PolySi1-xGex 56 is wider at its top 78, proximate the polysilicon 54, than it is at its bottom 80, proximate the gate oxide 50. This re-entrant dummy gate profile then yields a tapered gate groove 66 as shown in FIG. 13 after processing through source/drain formation and dummy gate removal. This tapered profile thus can achieve much smaller gate length, improve gate dielectric and metal gate electrode gap fill.
  • The capability of the manipulation of Si1-xGex resides in the fact that the Si1 Gex has higher oxidation rate than silicon, and germanium oxide is a volatile compound. The present method involves the use of F/Cl2 based chemistries for plasma etching of polysilicon portion as well as the use of Cl2/HBr/O2 based chemistries for etching the PolySi1-xGex portion of the film stack. The inclusion of O2 in the etch chemistry results in a diminished Si1-xGex dimension with respect to the polysilicon (LsiGe/LSi=0.8 with LsiGe and Lsi being the dimensions of the PolySi1-xGex and silicon, respectively).
  • The profile of Si1-xGex can be further manipulated by using a wet chemistry (such as SCl) that includes an oxidizing agent (such as H2O2 or O3) and an acid or base, such as NH4OH, to dissolve the oxidized surface. The film thickness of polysilicon/Poly Si1-xGex, and the composition of Ge in the Si1-xGex alloy can also be adjusted to fit the requirements of the final profile and CDs.
  • Hence, the process has the following features:
      • (a). Deposition of polysilicon/Poly Si1-xGex film stacks as damascene replacement dummy gate materials.
      • (b). Manipulation of the plasma etch chemistries, Ge composition, film stack thickness, and wet clean chemistries to achieve a desired re-entrant dummy gate profile.
      • (c). Dielectric liner deposition and plasma etching to provide a dielectric sidewall for preserving the gate groove profile.
      • (d). A unique gate profile.
      • (e). Scalability: can be used to achieve small gate length without the need of small line print.
      • (f). Prevention of the incomplete polysilicon strip and undercut after dummy gate dielectric removal.
      • (g). Improved subsequent new gate dielectric and metal gate electrode gap fill capability.
  • While embodiments of the present invention are shown and described, it is envisioned that those skilled in the art may devise various modifications of the present invention without departing from the spirit and scope of the appended claims.

Claims (3)

1. A metal gate in a wafer comprising: a groove which has a tapered profile which converges from an opening to a base; metal in the groove, thereby providing said metal gate.
2. A metal gate as recited in claim 1, further comprising a dielectric liner on a side of said groove.
3. A metal gate as recited in claim 2, further comprising a dielectric layer, said dielectric liner being disposed between said dielectric layer and said metal.
US12/021,728 2004-07-13 2008-01-29 DAMASCENE REPLACEMENT METAL GATE PROCESS WITH CONTROLLED GATE PROFILE AND LENGTH USING Si1-xGex AS SACRIFICIAL MATERIAL Abandoned US20080150090A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/021,728 US20080150090A1 (en) 2004-07-13 2008-01-29 DAMASCENE REPLACEMENT METAL GATE PROCESS WITH CONTROLLED GATE PROFILE AND LENGTH USING Si1-xGex AS SACRIFICIAL MATERIAL

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/889,901 US7365015B2 (en) 2004-07-13 2004-07-13 Damascene replacement metal gate process with controlled gate profile and length using Si1-xGex as sacrificial material
US12/021,728 US20080150090A1 (en) 2004-07-13 2008-01-29 DAMASCENE REPLACEMENT METAL GATE PROCESS WITH CONTROLLED GATE PROFILE AND LENGTH USING Si1-xGex AS SACRIFICIAL MATERIAL

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/889,901 Division US7365015B2 (en) 2004-07-13 2004-07-13 Damascene replacement metal gate process with controlled gate profile and length using Si1-xGex as sacrificial material

Publications (1)

Publication Number Publication Date
US20080150090A1 true US20080150090A1 (en) 2008-06-26

Family

ID=35598578

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/889,901 Expired - Lifetime US7365015B2 (en) 2004-07-13 2004-07-13 Damascene replacement metal gate process with controlled gate profile and length using Si1-xGex as sacrificial material
US12/021,728 Abandoned US20080150090A1 (en) 2004-07-13 2008-01-29 DAMASCENE REPLACEMENT METAL GATE PROCESS WITH CONTROLLED GATE PROFILE AND LENGTH USING Si1-xGex AS SACRIFICIAL MATERIAL

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/889,901 Expired - Lifetime US7365015B2 (en) 2004-07-13 2004-07-13 Damascene replacement metal gate process with controlled gate profile and length using Si1-xGex as sacrificial material

Country Status (1)

Country Link
US (2) US7365015B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11075299B2 (en) 2019-07-01 2021-07-27 International Business Machines Corporation Transistor gate having tapered segments positioned above the fin channel
US12020210B2 (en) 2020-02-12 2024-06-25 Monday.com Ltd. Digital processing systems and methods for table information displayed in and accessible via calendar in collaborative work systems

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7560758B2 (en) 2006-06-29 2009-07-14 International Business Machines Corporation MOSFETs comprising source/drain recesses with slanted sidewall surfaces, and methods for fabricating the same
US7790559B2 (en) * 2008-02-27 2010-09-07 International Business Machines Corporation Semiconductor transistors having high-K gate dielectric layers and metal gate electrodes
US8546252B2 (en) * 2009-10-05 2013-10-01 International Business Machines Corporation Metal gate FET having reduced threshold voltage roll-off
US8329546B2 (en) * 2010-08-31 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Modified profile gate structure for semiconductor device and methods of forming thereof
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US8642424B2 (en) 2011-07-12 2014-02-04 International Business Machines Corporation Replacement metal gate structure and methods of manufacture
CN102956454A (en) * 2011-08-19 2013-03-06 中国科学院微电子研究所 Semiconductor structure and manufacturing method thereof
KR101929185B1 (en) 2012-05-02 2018-12-17 삼성전자 주식회사 Method for manufacturing semiconductor device
US9337190B2 (en) * 2013-03-12 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including dummy isolation gate structure and method of fabricating thereof
US9520474B2 (en) * 2013-09-12 2016-12-13 Taiwan Semiconductor Manufacturing Company Limited Methods of forming a semiconductor device with a gate stack having tapered sidewalls
WO2016013087A1 (en) 2014-07-24 2016-01-28 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Semiconductor device manufacturing method and semiconductor device
JP5977865B2 (en) * 2015-07-03 2016-08-24 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Semiconductor device manufacturing method and semiconductor device
JP5989197B2 (en) * 2015-07-13 2016-09-07 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Semiconductor device manufacturing method and semiconductor device
US9741577B2 (en) 2015-12-02 2017-08-22 International Business Machines Corporation Metal reflow for middle of line contacts
KR20170066914A (en) * 2015-12-07 2017-06-15 삼성전자주식회사 Semiconductor device and Method for fabricating the same
US9929250B1 (en) 2016-09-27 2018-03-27 International Business Machines Corporation Semiconductor device including optimized gate stack profile
US9960078B1 (en) 2017-03-23 2018-05-01 International Business Machines Corporation Reflow interconnect using Ru
US10672649B2 (en) 2017-11-08 2020-06-02 International Business Machines Corporation Advanced BEOL interconnect architecture
US10541199B2 (en) 2017-11-29 2020-01-21 International Business Machines Corporation BEOL integration with advanced interconnects
CN108091574A (en) * 2017-12-25 2018-05-29 深圳市晶特智造科技有限公司 Mos field effect transistor and preparation method thereof

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5543646A (en) * 1988-09-08 1996-08-06 Mitsubishi Denki Kabushiki Kaisha Field effect transistor with a shaped gate electrode
US20020008293A1 (en) * 1999-05-21 2002-01-24 Takashi Kuroi Semiconductor device including inversely tapered gate electrode and manufacturing method thereof
US6506649B2 (en) * 2001-03-19 2003-01-14 International Business Machines Corporation Method for forming notch gate having self-aligned raised source/drain structure
US6656808B2 (en) * 2000-09-05 2003-12-02 Samsung Electronics Co., Ltd. Transistor having variable width gate electrode and method of manufacturing the same
US20040142524A1 (en) * 2002-08-12 2004-07-22 Grupp Daniel E. Insulated gate field effect transistor having passivated Schottky barriers to the channel
US6858907B2 (en) * 2001-04-03 2005-02-22 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device having notched gate
US20050040477A1 (en) * 2003-08-18 2005-02-24 Advanced Micro Devices, Inc. Field effect transistor having increased carrier mobility
US7312125B1 (en) * 2004-02-05 2007-12-25 Advanced Micro Devices, Inc. Fully depleted strained semiconductor on insulator transistor and method of making the same

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100307986B1 (en) * 1997-08-28 2002-05-09 가네꼬 히사시 Method of manufacturing semiconductor device
KR100332108B1 (en) * 1999-06-29 2002-04-10 박종섭 Transistor in a semiconductor device and method of manufacuring the same
US20020155665A1 (en) * 2001-04-24 2002-10-24 International Business Machines Corporation, Formation of notched gate using a multi-layer stack

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5543646A (en) * 1988-09-08 1996-08-06 Mitsubishi Denki Kabushiki Kaisha Field effect transistor with a shaped gate electrode
US20020008293A1 (en) * 1999-05-21 2002-01-24 Takashi Kuroi Semiconductor device including inversely tapered gate electrode and manufacturing method thereof
US6656808B2 (en) * 2000-09-05 2003-12-02 Samsung Electronics Co., Ltd. Transistor having variable width gate electrode and method of manufacturing the same
US6506649B2 (en) * 2001-03-19 2003-01-14 International Business Machines Corporation Method for forming notch gate having self-aligned raised source/drain structure
US6858907B2 (en) * 2001-04-03 2005-02-22 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device having notched gate
US20040142524A1 (en) * 2002-08-12 2004-07-22 Grupp Daniel E. Insulated gate field effect transistor having passivated Schottky barriers to the channel
US20050040477A1 (en) * 2003-08-18 2005-02-24 Advanced Micro Devices, Inc. Field effect transistor having increased carrier mobility
US7312125B1 (en) * 2004-02-05 2007-12-25 Advanced Micro Devices, Inc. Fully depleted strained semiconductor on insulator transistor and method of making the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11075299B2 (en) 2019-07-01 2021-07-27 International Business Machines Corporation Transistor gate having tapered segments positioned above the fin channel
US12020210B2 (en) 2020-02-12 2024-06-25 Monday.com Ltd. Digital processing systems and methods for table information displayed in and accessible via calendar in collaborative work systems

Also Published As

Publication number Publication date
US20060011994A1 (en) 2006-01-19
US7365015B2 (en) 2008-04-29

Similar Documents

Publication Publication Date Title
US20080150090A1 (en) DAMASCENE REPLACEMENT METAL GATE PROCESS WITH CONTROLLED GATE PROFILE AND LENGTH USING Si1-xGex AS SACRIFICIAL MATERIAL
US10164039B2 (en) Semiconductor device having metal gate
US8421166B2 (en) Semiconductor device and fabrication thereof
US6706581B1 (en) Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US7012027B2 (en) Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US6514808B1 (en) Transistor having a high K dielectric and short gate length and method therefor
US7195969B2 (en) Strained channel CMOS device with fully silicided gate electrode
US8384165B2 (en) Application of gate edge liner to maintain gate length CD in a replacement gate transistor flow
US6261934B1 (en) Dry etch process for small-geometry metal gates over thin gate dielectric
US20070178634A1 (en) Cmos semiconductor devices having dual work function metal gate stacks
US20110006354A1 (en) Metal gate structure of a semiconductor device
US20070210354A1 (en) Semiconductor device and semiconductor device manufacturing method
US7579282B2 (en) Method for removing metal foot during high-k dielectric/metal gate etching
JP2009509359A (en) Multiple low and high K gate oxides on a single gate for reduced mirror capacitance and improved drive current
JP2006344836A (en) Semiconductor apparatus and manufacturing method thereof
US7608498B2 (en) Method of manufacturing semiconductor device
US8283217B2 (en) Prevention of oxygen absorption into high-K gate dielectric of silicon-on-insulator based finFET devices
US7981784B2 (en) Methods of manufacturing a semiconductor device
JP2009152342A (en) Method of manufacturing semiconductor device
TW202114059A (en) Methods for forming semiconductor devices
TWI478339B (en) Novel high-k metal gate structure and method of making
JP2007511086A (en) Method of incorporating a high-k gate insulator in a transistor manufacturing process
US7820555B2 (en) Method of patterning multilayer metal gate structures for CMOS devices
CN103117296A (en) Metallic oxide semiconductor transistor and forming method thereof
US20080070356A1 (en) Trench replacement gate process for transistors having elevated source and drain regions

Legal Events

Date Code Title Description
AS Assignment

Owner name: DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AG

Free format text: PATENT SECURITY AGREEMENT;ASSIGNORS:LSI CORPORATION;AGERE SYSTEMS LLC;REEL/FRAME:032856/0031

Effective date: 20140506

AS Assignment

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LSI CORPORATION;REEL/FRAME:035390/0388

Effective date: 20140814

AS Assignment

Owner name: LSI CORPORATION, CALIFORNIA

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS (RELEASES RF 032856-0031);ASSIGNOR:DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT;REEL/FRAME:037684/0039

Effective date: 20160201

Owner name: AGERE SYSTEMS LLC, PENNSYLVANIA

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS (RELEASES RF 032856-0031);ASSIGNOR:DEUTSCHE BANK AG NEW YORK BRANCH, AS COLLATERAL AGENT;REEL/FRAME:037684/0039

Effective date: 20160201

STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION

AS Assignment

Owner name: BELL SEMICONDUCTOR, LLC, ILLINOIS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;BROADCOM CORPORATION;REEL/FRAME:044886/0608

Effective date: 20171208

AS Assignment

Owner name: CORTLAND CAPITAL MARKET SERVICES LLC, AS COLLATERA

Free format text: SECURITY INTEREST;ASSIGNORS:HILCO PATENT ACQUISITION 56, LLC;BELL SEMICONDUCTOR, LLC;BELL NORTHERN RESEARCH, LLC;REEL/FRAME:045216/0020

Effective date: 20180124

AS Assignment

Owner name: BELL NORTHERN RESEARCH, LLC, ILLINOIS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:CORTLAND CAPITAL MARKET SERVICES LLC;REEL/FRAME:059720/0719

Effective date: 20220401

Owner name: BELL SEMICONDUCTOR, LLC, ILLINOIS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:CORTLAND CAPITAL MARKET SERVICES LLC;REEL/FRAME:059720/0719

Effective date: 20220401

Owner name: HILCO PATENT ACQUISITION 56, LLC, ILLINOIS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:CORTLAND CAPITAL MARKET SERVICES LLC;REEL/FRAME:059720/0719

Effective date: 20220401