US20080149995A1 - Nonvolatile memory device and methods of fabricating the same - Google Patents

Nonvolatile memory device and methods of fabricating the same Download PDF

Info

Publication number
US20080149995A1
US20080149995A1 US12/068,162 US6816208A US2008149995A1 US 20080149995 A1 US20080149995 A1 US 20080149995A1 US 6816208 A US6816208 A US 6816208A US 2008149995 A1 US2008149995 A1 US 2008149995A1
Authority
US
United States
Prior art keywords
memory device
nonvolatile memory
active regions
semiconductor substrate
control gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/068,162
Inventor
Tae Ho Choi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
DB HiTek Co Ltd
Original Assignee
Dongbu HitekCo Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dongbu HitekCo Ltd filed Critical Dongbu HitekCo Ltd
Priority to US12/068,162 priority Critical patent/US20080149995A1/en
Assigned to DONGBU HITEK CO., LTD. reassignment DONGBU HITEK CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHOI, TAE HO
Publication of US20080149995A1 publication Critical patent/US20080149995A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Definitions

  • the present disclosure relates to nonvolatile memory and, more particularly, to nonvolatile memory devices and methods of fabricating the same.
  • volatile memories including chiefly random access memories (RAM) such as dynamic random access memories (DRAM) and static random access memories (SRAM), retain their memory data when the power is turned on, but lose the stored data when the power is turned off.
  • nonvolatile memories including chiefly read only memories (ROM), retain their memory data even after the power is turned off.
  • the nonvolatile memories may be subdivided into ROM, programmable ROM (PROM), erasable PROM (EPROM), and electrically erasable PROM (EEPROM).
  • ROM read only memory
  • PROM programmable ROM
  • EPROM erasable PROM
  • EEPROM electrically erasable PROM
  • the nonvolatile memories may be divided into a floating gate family and a metal insulator semiconductor (MIS) family comprising a multi-layer of two or more dielectrics.
  • the memory devices of the floating gate family use potential wells to achieve memory characteristics.
  • EPROM tunnel oxide (ETOX) structures and split gate structures are widely applied to flash EEPROM.
  • the split gate structure comprises two transistors in one cell.
  • the memory devices of the MIS family perform memory functions by using traps positioned on a dielectric bulk, the interface between dielectrics, and the interface between the dielectric and the semiconductor.
  • the MONOS metal oxide nitride oxide semiconductor
  • SONON semiconductor oxide nitride oxide semiconductor
  • FIG. 1 is a cross-sectional view of a flash memory cell structure formed by a related art technology.
  • a gate oxide layer 12 is deposited on a semiconductor substrate 10 having at least one device isolation layer 11 .
  • a first polysilicon layer 13 is deposited on the gate oxide layer 12 .
  • the first polysilicon layer 13 is used as a floating gate.
  • a dielectric layer 15 and a second polysilicon layer 16 are sequentially deposited on the first polysilicon layer 13 .
  • the second polysilicon layer 16 is used as a control gate.
  • a metal layer 17 and a nitride layer 18 are sequentially deposited on the second polysilicon layer 16 .
  • a cell structure is patterned to complete a flash memory cell by removing some portion of the gate oxide layer 12 , the first polysilicon layer 13 , the dielectric layer 15 , the second polysilicon layer 16 , the metal layer 17 , and the nitride layer 18 .
  • the above-mentioned flash memory cell has a flat-plate type floating gate and a control gate.
  • an electric potential of a control gate has to be thoroughly transferred to a floating gate to enhance the erase and program characteristics of a device.
  • the voltages of 0V, 5V, and 9V are applied to a source, a drain, and a control gate, respectively. If the voltage applied to the control gate is thoroughly transferred in a gate oxide via a floating gate and forms an electric field, hot electrons are more rapidly transferred into the floating gate.
  • the voltages of ⁇ 7V and 5V are applied to the control gate and the source, respectively.
  • a simple multi-layered ETOX cell structure is the simplest structure and can achieve a small cell in size.
  • the ETOX cell structure has a shortcoming that an effective cell size greatly increases because drain contacts have to be formed along a bit line.
  • the simple multi-layered cell may have a very small size compared to other cell structures if those drain contacts are eliminated.
  • the cell malfunctions since an over erase must be controlled and the disturbance during program operation must be adjusted.
  • erase operation is performed through a silicon substrate, a source, or a drain, the reliability of a thin oxide layer must be ensured.
  • a select transistor without a floating gate and a storage transistor with a floating gate are serially connected.
  • the size of a unit cell becomes larger.
  • the cell size may considerably increase because a process margin is secured to self-align each gate and each channel of the select transistor and the storage transistor.
  • the additional select transistor by using the additional select transistor, cell malfunctions due to an over erase can be prevented because the cell can be turned off by the select transistor, even if it is over erased.
  • program disturbances can be prevented because a reverse program, in which a source region and a drain region are reversed due to asymmetric structure, is obviated.
  • junctions have to be preformed because a word line is formed in the same direction with that of active regions.
  • a silicide process to reduce junction resistance cannot be performed because the junctions are formed by ion implantation and gates are then formed.
  • the present invention is directed to a nonvolatile memory device and methods of fabricating the same that substantially obviate one or more problems due to limitations and disadvantages of the related art.
  • the present invention advantageously provides a flash memory device with a small size, which obviates malfunctions due to an over erase and a reverse program.
  • the present invention also provides methods of fabricating a flash memory device of split gate structure, which can perform a silicide process to reduce the resistance of cell junctions.
  • the present invention provides a method of fabricating a nonvolatile memory device including forming a plurality of device isolation layers in a semiconductor substrate to define a plurality of active regions, sequentially depositing an insulating layer and a first conductive layer on the semiconductor substrate, forming a hard mask pattern on the first conductive layer, forming a plurality of floating gates on the insulating layer by etching the first conductive layer using the hard mask pattern as a mask, forming a tunnel insulating layer on the semiconductor substrate including floating gates and the insulating layer, depositing a second conductive layer on the tunnel insulating layer, forming a plurality of control gate electrodes across the active regions by etching the second conductive layer, forming source and drain regions in the semiconductor substrate by performing an ion implantation, and forming contacts in the drain regions.
  • FIG. 1 is a cross-sectional view of a flash memory cell structure formed by a related art technology
  • FIG. 2 is a plan view illustrating array structure of split gate structure cells fabricated by a related art technology
  • FIG. 3 is a plan view of an example array structure of split gate structure cells constructed in accordance with the present invention.
  • FIGS. 4 a through 4 e are cross-sectional views illustrating an example process of fabricating a nonvolatile memory device performed in accordance with the present invention.
  • a split gate structure cell has buried junction structure in which junctions are preformed because a word line is formed in the same direction with that of active regions.
  • a silicide process to reduce junction resistance is non-applicable because ion implantation is first performed and gates are then formed.
  • FIG. 2 is a plan view illustrating an array structure of split gate structure cells fabricated by a related art technology. As shown in FIG. 2 , a word line 23 is formed in an x-axis direction and a bit line 24 is positioned in a y-axis direction. Cell junctions (source, drain) are in the state of virtual ground and a silicide process cannot be performed.
  • FIG. 3 is a plan view of an example array structure of split gate structure cells constructed in accordance with the present invention.
  • a word line is positioned in a y-axis direction.
  • a bit line connected to a drain contact is formed in an x-axis direction.
  • a plurality of active regions 110 is defined by forming a plurality of device isolation layers 100 in a semiconductor substrate.
  • a plurality of control gate electrodes 120 is formed across the stop regions of the active regions 110 .
  • Floating gates 130 are positioned between the control gate electrodes 120 and the active regions 110 .
  • the floating gates 130 are overlapped with the control gates 120 .
  • the control gate electrode 120 is extended from one sidewall of each floating gate 130 so that the control gate electrode 120 covers some portions of the active regions 110 adjacent the floating gates 130 .
  • Source regions 140 are formed in the active regions between adjacent floating gates.
  • Drain regions 150 are formed in the active regions between portions of control gate electrodes 120 which are extended from one sidewall of each floating gate 130 .
  • a drain contact 151 as a bit line contact plug is positioned on each drain region 150 .
  • a tunnel oxide layer is deposited between the floating gates 130 and the control gates 120 .
  • a gate insulating layer is positioned between the floating gates 130 and the active regions. The gate insulating layer is extended so that it is positioned between the control gate electrodes and the active regions. he tunnel oxide layer is extended from the sidewalls of the floating gates so that it is positioned between the control gate electrodes and the gate insulating layer.
  • the above-mentioned split gate structure has bilateral symmetry.
  • FIGS. 4 a through 4 e are cross-sectional views of FIG. 3 taken along the line A-A′, illustrating an example process of fabricating a nonvolatile memory device.
  • a plurality of device isolation layers is formed in a semiconductor substrate 200 to define a plurality of active regions. Conductive wells are then formed in the semiconductor substrate 200 . Then, an impurity implantation for threshold voltage adjustment may be performed. An insulating layer 202 and a first conductive layer 204 for floating gates are sequentially deposited on the semiconductor substrate 200 . A hard mask layer is deposited on the first conductive layer 204 . Some portion of the hard mask layer is removed to form a mesh-shaped hard mask pattern 206 on the first conductive layer 204 .
  • the first conductive layer 204 may be formed of a doped polysilicon layer.
  • the first conductive layer 204 may be formed of an undoped polysilicon layer and then doped with impurities by performing an ion implantation process using the hard mask pattern 206 as a mask.
  • the hard mask layer may be made of an insulating material, for example, silicon nitride.
  • first conducting layer 204 is oxidized to form an oxide pattern 208 on the first conductive layer 204 .
  • First conductive layer 204 is oxidized by performing a thermal oxidation process using the hard mask pattern 206 as an oxidation preventive mask. Then, the hard mask pattern 206 is removed.
  • some portion of the first conductive layer 204 is removed by an etching process using the oxide pattern 208 as a mask.
  • a plurality of floating gates 204 a is formed over the semiconductor substrate 200 .
  • the floating gates 204 a cover some portions of the active regions of the semiconductor substrate 200 and are arranged in the form of matrix.
  • a thermal treatment process is performed on the semiconductor substrate including the floating gates 204 a to form sidewall oxide layers 210 on the sidewalls of the floating gates 204 a.
  • nitride spacers may additionally be formed on the sidewalls of the sidewall oxide layers 210 .
  • the nitride spacers may have a height less than that of the sidewall oxide layers 210 .
  • the nitride spacers are used to prevent reverse tunneling under the floating gates of a nonvolatile memory device.
  • a tunnel insulating layer 212 is formed on the structure of FIG. 4 c.
  • a second conductive layer 214 for control gate electrodes is deposited on the tunnel insulating layer 212 .
  • the second conductive layer 214 may be made of polysilicon or metal polycide.
  • control gate electrodes 214 a are laid across the active regions.
  • the control gate electrodes 214 a cover the top surface and one sidewall of each floating gate 204 a.
  • Each control gate electrode 214 a is extended from one sidewall of each floating gate 204 a so as to cover some portion of the active regions adjacent each floating gate 204 a.
  • Impurities are implanted and diffused into the active regions of the semiconductor substrate 200 .
  • a source region 220 a is formed in each active region between the adjacent floating gates 204 a.
  • Drain regions 220 b are formed in each active region between the portions of control gate electrodes 214 a which are extended from one sidewall of each floating gate 204 a.
  • a metal layer is deposited on the structure of FIG. 4 e and a silicide process is performed.
  • An insulating layer is then deposited over the resulting structure.
  • a bit line contact plug, which is connected with each drain region, is formed by forming a contact hole through the insulating layer on each drain region and filling the contact hole.
  • a bit line parallel with the active regions, which is connected with each bit line contact plug, is formed over the semiconductor substrate.
  • the illustrated example process can expose some regions in which cell junctions are formed even after gates are formed. Therefore, the illustrated example process can form silicide layers to reduce the resistance of the junctions by performing junction ion implantation after the gates are formed and carrying out a silicide process.
  • the resistance of cell junctions increases, thereby deteriorating the uniformity of the cells in the cell array. Therefore, the described process of manufacturing a cell can be used to cope with problems due to the high-integration of semiconductor devices.

Landscapes

  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

A method of fabricating a nonvolatile memory device including forming a plurality of device isolation layers in a semiconductor substrate to define a plurality of active regions, sequentially depositing an insulating layer and a first conductive layer on the semiconductor substrate, and forming a hard mask pattern on the first conductive layer. The method also includes forming a plurality of floating gates on the insulating layer by etching the first conductive layer using the hard mask pattern as a mask, forming a tunnel insulating layer on the semiconductor substrate including floating gates and the insulating layer, and depositing a second conductive layer on the tunnel insulating layer. The method further includes forming a plurality of control gate electrodes across the active regions by etching the second conductive layer, forming source and drain regions in the semiconductor substrate by performing an ion implantation, and forming contacts in the drain regions.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present disclosure relates to nonvolatile memory and, more particularly, to nonvolatile memory devices and methods of fabricating the same.
  • 2. Description of the Related Art
  • Generally, semiconductor memory devices are divided into volatile memories and nonvolatile memories. The volatile memories, including chiefly random access memories (RAM) such as dynamic random access memories (DRAM) and static random access memories (SRAM), retain their memory data when the power is turned on, but lose the stored data when the power is turned off. In contrast, the nonvolatile memories, including chiefly read only memories (ROM), retain their memory data even after the power is turned off.
  • The nonvolatile memories may be subdivided into ROM, programmable ROM (PROM), erasable PROM (EPROM), and electrically erasable PROM (EEPROM).
  • From the view point of process technology, the nonvolatile memories may be divided into a floating gate family and a metal insulator semiconductor (MIS) family comprising a multi-layer of two or more dielectrics. The memory devices of the floating gate family use potential wells to achieve memory characteristics. For instance, EPROM tunnel oxide (ETOX) structures and split gate structures are widely applied to flash EEPROM. The split gate structure comprises two transistors in one cell. On the other hand, the memory devices of the MIS family perform memory functions by using traps positioned on a dielectric bulk, the interface between dielectrics, and the interface between the dielectric and the semiconductor. At present, the MONOS (metal oxide nitride oxide semiconductor)/SONON (semiconductor oxide nitride oxide semiconductor) structure is chiefly being employed for flash EEPROM.
  • FIG. 1 is a cross-sectional view of a flash memory cell structure formed by a related art technology. Referring to FIG. 1, a gate oxide layer 12 is deposited on a semiconductor substrate 10 having at least one device isolation layer 11. A first polysilicon layer 13 is deposited on the gate oxide layer 12. The first polysilicon layer 13 is used as a floating gate. A dielectric layer 15 and a second polysilicon layer 16 are sequentially deposited on the first polysilicon layer 13. The second polysilicon layer 16 is used as a control gate. A metal layer 17 and a nitride layer 18 are sequentially deposited on the second polysilicon layer 16. A cell structure is patterned to complete a flash memory cell by removing some portion of the gate oxide layer 12, the first polysilicon layer 13, the dielectric layer 15, the second polysilicon layer 16, the metal layer 17, and the nitride layer 18.
  • The above-mentioned flash memory cell has a flat-plate type floating gate and a control gate. Generally, in a flash memory, an electric potential of a control gate has to be thoroughly transferred to a floating gate to enhance the erase and program characteristics of a device. Specifically, when a flash memory performs a program function using hot carriers, the voltages of 0V, 5V, and 9V are applied to a source, a drain, and a control gate, respectively. If the voltage applied to the control gate is thoroughly transferred in a gate oxide via a floating gate and forms an electric field, hot electrons are more rapidly transferred into the floating gate. Contrarily, when the flash memory performs an erase function, the voltages of −7V and 5V are applied to the control gate and the source, respectively. In this case, electrons in the floating gate move toward the source by Fowler-Nordheim (F-N) tunneling. If the capacitance between the control gate and the floating gate is high and the capacitance between the floating gate and a substrate is low, the voltage of the floating gate is maintained at an even lower value. Therefore, more electrons move toward the source to increase the erase speed. In conclusion, in performing program or erase function, the smaller the voltage difference between a floating gate and a control gate becomes, the faster the operation speed of a flash memory becomes.
  • To improve program and erase characteristics of a semiconductor device, a method of using a material with high dielectric constant as a dielectric layer between a floating gate and a control gate has been suggested. However, the suggested method is being developed at present and requires more technical development.
  • A simple multi-layered ETOX cell structure is the simplest structure and can achieve a small cell in size. The ETOX cell structure, however, has a shortcoming that an effective cell size greatly increases because drain contacts have to be formed along a bit line. The simple multi-layered cell may have a very small size compared to other cell structures if those drain contacts are eliminated. On the other hand, from the viewpoint of device functionality, the cell malfunctions since an over erase must be controlled and the disturbance during program operation must be adjusted. In addition, because erase operation is performed through a silicon substrate, a source, or a drain, the reliability of a thin oxide layer must be ensured.
  • In a split gate structure cell, a select transistor without a floating gate and a storage transistor with a floating gate are serially connected. By having such an additional select transistor, the size of a unit cell becomes larger. Moreover, the cell size may considerably increase because a process margin is secured to self-align each gate and each channel of the select transistor and the storage transistor. However, from the viewpoint of device functionality, by using the additional select transistor, cell malfunctions due to an over erase can be prevented because the cell can be turned off by the select transistor, even if it is over erased. In addition, program disturbances can be prevented because a reverse program, in which a source region and a drain region are reversed due to asymmetric structure, is obviated.
  • However, in the split gate structure cell, junctions have to be preformed because a word line is formed in the same direction with that of active regions. In this case, a silicide process to reduce junction resistance cannot be performed because the junctions are formed by ion implantation and gates are then formed.
  • SUMMARY OF THE INVENTION
  • Accordingly, the present invention is directed to a nonvolatile memory device and methods of fabricating the same that substantially obviate one or more problems due to limitations and disadvantages of the related art.
  • The present invention advantageously provides a flash memory device with a small size, which obviates malfunctions due to an over erase and a reverse program. The present invention also provides methods of fabricating a flash memory device of split gate structure, which can perform a silicide process to reduce the resistance of cell junctions.
  • To achieve these objects and other advantages and in accordance with the purpose of the invention, as embodied and broadly described herein, the present invention provides a method of fabricating a nonvolatile memory device including forming a plurality of device isolation layers in a semiconductor substrate to define a plurality of active regions, sequentially depositing an insulating layer and a first conductive layer on the semiconductor substrate, forming a hard mask pattern on the first conductive layer, forming a plurality of floating gates on the insulating layer by etching the first conductive layer using the hard mask pattern as a mask, forming a tunnel insulating layer on the semiconductor substrate including floating gates and the insulating layer, depositing a second conductive layer on the tunnel insulating layer, forming a plurality of control gate electrodes across the active regions by etching the second conductive layer, forming source and drain regions in the semiconductor substrate by performing an ion implantation, and forming contacts in the drain regions.
  • It is to be understood that both the foregoing general description and the following detailed description of the present invention are exemplary, but are not restrictive of the invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings, which are included to provide a further understanding of the invention and are incorporated in and constitute a part of this application, illustrate embodiment(s) of the invention and together with the description serve to explain the principle of the invention. In the drawings;
  • FIG. 1 is a cross-sectional view of a flash memory cell structure formed by a related art technology;
  • FIG. 2 is a plan view illustrating array structure of split gate structure cells fabricated by a related art technology;
  • FIG. 3 is a plan view of an example array structure of split gate structure cells constructed in accordance with the present invention; and
  • FIGS. 4 a through 4 e are cross-sectional views illustrating an example process of fabricating a nonvolatile memory device performed in accordance with the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Reference will now be made in detail to exemplary embodiments of the present invention which are illustrated in the accompanying drawings.
  • A split gate structure cell has buried junction structure in which junctions are preformed because a word line is formed in the same direction with that of active regions. In fabricating a split gate structure cell with the buried junction structure, a silicide process to reduce junction resistance is non-applicable because ion implantation is first performed and gates are then formed.
  • FIG. 2 is a plan view illustrating an array structure of split gate structure cells fabricated by a related art technology. As shown in FIG. 2, a word line 23 is formed in an x-axis direction and a bit line 24 is positioned in a y-axis direction. Cell junctions (source, drain) are in the state of virtual ground and a silicide process cannot be performed.
  • FIG. 3 is a plan view of an example array structure of split gate structure cells constructed in accordance with the present invention. A word line is positioned in a y-axis direction. A bit line connected to a drain contact is formed in an x-axis direction. In such an array structure, it is possible to perform a silicide process because an ion implantation for cell junctions is performed after final control gate formation is completed.
  • Referring to FIG. 3, a plurality of active regions 110 is defined by forming a plurality of device isolation layers 100 in a semiconductor substrate. A plurality of control gate electrodes 120 is formed across the stop regions of the active regions 110. Floating gates 130 are positioned between the control gate electrodes 120 and the active regions 110. The floating gates 130 are overlapped with the control gates 120. The control gate electrode 120 is extended from one sidewall of each floating gate 130 so that the control gate electrode 120 covers some portions of the active regions 110 adjacent the floating gates 130. Source regions 140 are formed in the active regions between adjacent floating gates. Drain regions 150 are formed in the active regions between portions of control gate electrodes 120 which are extended from one sidewall of each floating gate 130. A drain contact 151 as a bit line contact plug is positioned on each drain region 150. A tunnel oxide layer is deposited between the floating gates 130 and the control gates 120. A gate insulating layer is positioned between the floating gates 130 and the active regions. The gate insulating layer is extended so that it is positioned between the control gate electrodes and the active regions. he tunnel oxide layer is extended from the sidewalls of the floating gates so that it is positioned between the control gate electrodes and the gate insulating layer. The above-mentioned split gate structure has bilateral symmetry.
  • FIGS. 4 a through 4 e are cross-sectional views of FIG. 3 taken along the line A-A′, illustrating an example process of fabricating a nonvolatile memory device.
  • Referring to FIG. 4 a, a plurality of device isolation layers is formed in a semiconductor substrate 200 to define a plurality of active regions. Conductive wells are then formed in the semiconductor substrate 200. Then, an impurity implantation for threshold voltage adjustment may be performed. An insulating layer 202 and a first conductive layer 204 for floating gates are sequentially deposited on the semiconductor substrate 200. A hard mask layer is deposited on the first conductive layer 204. Some portion of the hard mask layer is removed to form a mesh-shaped hard mask pattern 206 on the first conductive layer 204. The first conductive layer 204 may be formed of a doped polysilicon layer. In another embodiment, the first conductive layer 204 may be formed of an undoped polysilicon layer and then doped with impurities by performing an ion implantation process using the hard mask pattern 206 as a mask. The hard mask layer may be made of an insulating material, for example, silicon nitride.
  • Referring to FIG. 4 b, some portion of the first conducting layer 204 is oxidized to form an oxide pattern 208 on the first conductive layer 204. First conductive layer 204 is oxidized by performing a thermal oxidation process using the hard mask pattern 206 as an oxidation preventive mask. Then, the hard mask pattern 206 is removed.
  • Referring to FIG. 4 c, some portion of the first conductive layer 204 is removed by an etching process using the oxide pattern 208 as a mask. As a result, a plurality of floating gates 204 a is formed over the semiconductor substrate 200. The floating gates 204 a cover some portions of the active regions of the semiconductor substrate 200 and are arranged in the form of matrix. A thermal treatment process is performed on the semiconductor substrate including the floating gates 204 a to form sidewall oxide layers 210 on the sidewalls of the floating gates 204 a. In another embodiment, nitride spacers may additionally be formed on the sidewalls of the sidewall oxide layers 210. The nitride spacers may have a height less than that of the sidewall oxide layers 210. The nitride spacers are used to prevent reverse tunneling under the floating gates of a nonvolatile memory device.
  • Referring to FIG. 4 d, a tunnel insulating layer 212 is formed on the structure of FIG. 4 c. A second conductive layer 214 for control gate electrodes is deposited on the tunnel insulating layer 212. The second conductive layer 214 may be made of polysilicon or metal polycide.
  • Referring to FIG. 4 e, some portion of the second conductive layer 214 is removed to form a plurality of control gate electrodes 214 a. The control gate electrodes 214 a are laid across the active regions. The control gate electrodes 214 a cover the top surface and one sidewall of each floating gate 204 a. Each control gate electrode 214 a is extended from one sidewall of each floating gate 204 a so as to cover some portion of the active regions adjacent each floating gate 204 a.
  • Impurities are implanted and diffused into the active regions of the semiconductor substrate 200. As a result, a source region 220 a is formed in each active region between the adjacent floating gates 204 a. Drain regions 220 b are formed in each active region between the portions of control gate electrodes 214 a which are extended from one sidewall of each floating gate 204 a.
  • A metal layer is deposited on the structure of FIG. 4 e and a silicide process is performed. An insulating layer is then deposited over the resulting structure. A bit line contact plug, which is connected with each drain region, is formed by forming a contact hole through the insulating layer on each drain region and filling the contact hole. A bit line parallel with the active regions, which is connected with each bit line contact plug, is formed over the semiconductor substrate.
  • Accordingly, by forming a word line across the active regions, the illustrated example process can expose some regions in which cell junctions are formed even after gates are formed. Therefore, the illustrated example process can form silicide layers to reduce the resistance of the junctions by performing junction ion implantation after the gates are formed and carrying out a silicide process. With the high-integration of semiconductor devices, the resistance of cell junctions increases, thereby deteriorating the uniformity of the cells in the cell array. Therefore, the described process of manufacturing a cell can be used to cope with problems due to the high-integration of semiconductor devices.
  • From the foregoing, persons of ordinary skill in the art will appreciate that the illustrated example process can reduce the size of a cell by constructing a NOR type array with mirror type symmetrical structure.
  • Korean Patent Application Number 10-2003-0101139, filed on Dec. 31, 2003, is hereby incorporated by reference in its entirety.
  • Although certain example methods, apparatus and articles of manufacture have been described herein, the scope of coverage of this patent is not limited thereto. On the contrary, this patent covers all methods, apparatus and articles of manufacturing fairly falling within the scope of the appended claims either literally or under the doctrine of equivalents.

Claims (10)

1-10. (canceled)
11. A nonvolatile memory device comprising:
a plurality of active regions on a semiconductor substrate, the active regions being defined by a plurality of device isolation layers;
floating gates positioned on the active regions;
control gate electrodes positioned across the active regions, the control gate electrodes covering an entirety of a top surface and one sidewall of the respective floating gate, the control gate electrodes being extended from one sidewall of each floating gate so as to cover some portion of the active region adjacent to each floating gate;
a tunnel oxide layer positioned between the floating gates and the control gate electrodes on the semiconductor substrate;
a gate insulating layer positioned between the floating gates and the active regions on the semiconductor substrate;
source regions in the semiconductor substrate, the source regions being positioned in the active regions between adjacent floating gates; and
drain regions in the semiconductor substrate, the drain regions being positioned in the active regions between portions of the control gate electrodes which are extended from one sidewall of each floating gate.
12. The nonvolatile memory device as defined by claim 11, wherein the nonvolatile memory device has bilateral symmetry.
13. The nonvolatile memory device as defined by claim 11, wherein sidewall oxide layers are positioned on sidewalls of the floating gates.
14. The nonvolatile memory device as defined by claim 13, wherein nitride spacers is positioned on the sidewalls of the sidewall oxide layers.
15. The nonvolatile memory device as defined by claim 14, wherein the nitride spacers have a height less than that of the sidewall oxide layers.
16. The nonvolatile memory device as defined by claim 11, wherein the control gate electrodes are made of polysilicon or metal polycide.
17. The nonvolatile memory device as defined by claim 11, wherein a drain contact is positioned on each drain region.
18. The nonvolatile memory device as defined by claim 11, wherein the gate insulating layer is extended so that it is positioned between the control gate electrodes and the active regions.
19. The nonvolatile memory device as defined by claim 18, wherein the tunnel oxide layer is extended from the sidewalls of the floating gates so that it is positioned between the control gate electrodes and the gate insulating layer.
US12/068,162 2003-12-31 2008-02-04 Nonvolatile memory device and methods of fabricating the same Abandoned US20080149995A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/068,162 US20080149995A1 (en) 2003-12-31 2008-02-04 Nonvolatile memory device and methods of fabricating the same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR10-2003-0101139 2003-12-31
KR1020030101139A KR100606536B1 (en) 2003-12-31 2003-12-31 Non-volatile memory device and fabricating method thereof
US11/024,848 US7348242B2 (en) 2003-12-31 2004-12-30 Nonvolatile memory device and methods of fabricating the same
US12/068,162 US20080149995A1 (en) 2003-12-31 2008-02-04 Nonvolatile memory device and methods of fabricating the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/024,848 Division US7348242B2 (en) 2003-12-31 2004-12-30 Nonvolatile memory device and methods of fabricating the same

Publications (1)

Publication Number Publication Date
US20080149995A1 true US20080149995A1 (en) 2008-06-26

Family

ID=34698861

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/024,848 Active 2025-12-14 US7348242B2 (en) 2003-12-31 2004-12-30 Nonvolatile memory device and methods of fabricating the same
US12/068,162 Abandoned US20080149995A1 (en) 2003-12-31 2008-02-04 Nonvolatile memory device and methods of fabricating the same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/024,848 Active 2025-12-14 US7348242B2 (en) 2003-12-31 2004-12-30 Nonvolatile memory device and methods of fabricating the same

Country Status (2)

Country Link
US (2) US7348242B2 (en)
KR (1) KR100606536B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100140680A1 (en) * 2008-12-09 2010-06-10 Mosys, Inc. Double Polysilicon Process for Non-Volatile Memory

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007149997A (en) * 2005-11-29 2007-06-14 Nec Electronics Corp Nonvolatile memory cell and eeprom
KR100706804B1 (en) * 2006-01-23 2007-04-12 삼성전자주식회사 Nonvolatile memory device and method for forming the same
JP4799196B2 (en) 2006-01-31 2011-10-26 株式会社東芝 Nonvolatile semiconductor memory device
KR20100080244A (en) * 2008-12-31 2010-07-08 주식회사 동부하이텍 Flash memory device and manufacturing method the same
KR102029918B1 (en) * 2013-04-18 2019-10-08 에스케이하이닉스 주식회사 Nonvolatile memory device and method of fabricating the same
US9960172B2 (en) * 2014-11-19 2018-05-01 Globalfoundries Singapore Pte. Ltd. Reliable non-volatile memory device

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5936883A (en) * 1996-03-29 1999-08-10 Sanyo Electric Co., Ltd. Split gate type transistor memory device
US5998265A (en) * 1992-03-23 1999-12-07 Matsushita Electronics Corporation Method of manufacturing EPROM device
US6174771B1 (en) * 1998-11-17 2001-01-16 Winbond Electronics Corp. Split gate flash memory cell with self-aligned process
US6368976B1 (en) * 1999-01-26 2002-04-09 Seiko Epson Corporation Method for manufacturing a semiconductor device having film thickness difference between a control gate and a floating gate
US6380030B1 (en) * 1999-04-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Implant method for forming Si3N4 spacer
US6690058B2 (en) * 2002-04-10 2004-02-10 Ching-Yuan Wu Self-aligned multi-bit flash memory cell and its contactless flash memory array
US6746918B2 (en) * 2002-05-30 2004-06-08 Silicon Based Technology Corp. Methods of fabbricating a stack-gate non-volatile memory device and its contactless memory arrays
US20040203205A1 (en) * 2003-04-09 2004-10-14 Taiwan Semicondutor Manufacturing Co. Method of forming tiny silicon nitride spacer for flash EPROM by fully wet etching technology
US6818504B2 (en) * 2001-08-10 2004-11-16 Hynix Semiconductor America, Inc. Processes and structures for self-aligned contact non-volatile memory with peripheral transistors easily modifiable for various technologies and applications
US6818512B1 (en) * 2002-01-04 2004-11-16 Taiwan Semiconductor Manufacturing Company Split-gate flash with source/drain multi-sharing

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5998265A (en) * 1992-03-23 1999-12-07 Matsushita Electronics Corporation Method of manufacturing EPROM device
US5936883A (en) * 1996-03-29 1999-08-10 Sanyo Electric Co., Ltd. Split gate type transistor memory device
US6174771B1 (en) * 1998-11-17 2001-01-16 Winbond Electronics Corp. Split gate flash memory cell with self-aligned process
US6368976B1 (en) * 1999-01-26 2002-04-09 Seiko Epson Corporation Method for manufacturing a semiconductor device having film thickness difference between a control gate and a floating gate
US6380030B1 (en) * 1999-04-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Implant method for forming Si3N4 spacer
US6818504B2 (en) * 2001-08-10 2004-11-16 Hynix Semiconductor America, Inc. Processes and structures for self-aligned contact non-volatile memory with peripheral transistors easily modifiable for various technologies and applications
US6818512B1 (en) * 2002-01-04 2004-11-16 Taiwan Semiconductor Manufacturing Company Split-gate flash with source/drain multi-sharing
US6690058B2 (en) * 2002-04-10 2004-02-10 Ching-Yuan Wu Self-aligned multi-bit flash memory cell and its contactless flash memory array
US6746918B2 (en) * 2002-05-30 2004-06-08 Silicon Based Technology Corp. Methods of fabbricating a stack-gate non-volatile memory device and its contactless memory arrays
US20040203205A1 (en) * 2003-04-09 2004-10-14 Taiwan Semicondutor Manufacturing Co. Method of forming tiny silicon nitride spacer for flash EPROM by fully wet etching technology

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100140680A1 (en) * 2008-12-09 2010-06-10 Mosys, Inc. Double Polysilicon Process for Non-Volatile Memory

Also Published As

Publication number Publication date
KR100606536B1 (en) 2006-07-31
KR20050069184A (en) 2005-07-05
US20050139894A1 (en) 2005-06-30
US7348242B2 (en) 2008-03-25

Similar Documents

Publication Publication Date Title
KR100217532B1 (en) Packing density for flash memories
US7015102B2 (en) Method of forming floating-gate memory cell having trench structure with ballistic-charge injector, and the array of memory cells made thereby
US8878281B2 (en) Methods and apparatus for non-volatile memory cells
US8803228B2 (en) Memory arrays with rows of memory cells coupled to opposite sides of a control gate
US6501124B2 (en) Non-volatile semiconductor memory device
US20020094636A1 (en) Method and structure for an improved floating gate memory cell
KR950034805A (en) Semiconductor device and manufacturing method
US20080149995A1 (en) Nonvolatile memory device and methods of fabricating the same
US7563676B2 (en) NOR-type flash memory cell array and method for manufacturing the same
JP2004165182A (en) Semiconductor device
US5422292A (en) Process for fabricating split gate flash EEPROM memory
US6268247B1 (en) Memory cell of the EEPROM type having its threshold set by implantation, and fabrication method
US7439133B2 (en) Memory structure and method of manufacturing a memory array
US20040217412A1 (en) [flash memory structure and operating method thereof]
US6144064A (en) Split-gate EEPROM device having floating gate with double polysilicon layer
US7394696B2 (en) NAND type non-volatile memory device and method of forming the same
WO2001017031A1 (en) Easy shrinkable novel non-volatile semiconductor memory cell utilizing split dielectric floating gate and method for making same
US7227216B2 (en) Mono gate memory device and fabricating method thereof
JP2598523B2 (en) Nonvolatile semiconductor memory device and method of manufacturing the same
US7153742B2 (en) Method for fabricating flash memory device
KR100515365B1 (en) Flash memory and the manufacturing process thereof
KR100604532B1 (en) Method for fabricating of non-volatile memory device
JP2004281840A (en) Structure of flash memory and its fabricating method

Legal Events

Date Code Title Description
AS Assignment

Owner name: DONGBU HITEK CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:CHOI, TAE HO;REEL/FRAME:020512/0998

Effective date: 20041223

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION