US20080029802A1 - Semiconductor device - Google Patents

Semiconductor device Download PDF

Info

Publication number
US20080029802A1
US20080029802A1 US11/872,042 US87204207A US2008029802A1 US 20080029802 A1 US20080029802 A1 US 20080029802A1 US 87204207 A US87204207 A US 87204207A US 2008029802 A1 US2008029802 A1 US 2008029802A1
Authority
US
United States
Prior art keywords
electrode
capacitor
layer
area
disposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/872,042
Inventor
Ching-Hung Kao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US11/872,042 priority Critical patent/US20080029802A1/en
Publication of US20080029802A1 publication Critical patent/US20080029802A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Definitions

  • the present invention relates to a technique for fabricating an integrated circuit device, more particularly, to a semiconductor device and fabricating method thereof.
  • a number of devices are used in an integrated circuit to produce the desired circuit functions. These devices may include bipolar and metal-oxide-semiconductor field effect transistors, junction diodes, resistors and capacitors.
  • IC integrated circuits
  • PIP polysilicon-insulator-polysilicon
  • an additional masking process is introduced to pattern the polysilicon layer and define the upper electrode of the PIP capacitor. Furthermore, if a silicide process needs to be performed, a block layer is formed over that portion of the areas not requiring any silicide reaction. Therefore, an additional masking process is required to fabricate the block layer so that the covered areas are defined. In particular, because the area already covered by the block layer can prevent a silicide reaction, there is no need for additional film layers.
  • the block layer is also called a self-aligned salicide block (SAB) layer.
  • At least one objective of the present invention is to provide a method of fabricating semiconductor devices capable of integrating the fabrication process of PIP capacitors, resistors and transistors and then forming a salicide layer over these devices. Moreover, the fabrication processes are simple and easy to carry out so that the production cost can be reduced.
  • At least another objective of the present invention is to provide a method of fabricating semiconductor devices capable of integrating the fabrication process of PIP capacitors and transistors and then forming a salicide layer over these devices.
  • At least another objective of the present invention is to provide semiconductor devices having a self-aligned salicide layer on the surface of transistors, capacitors and resistors.
  • At least another objective of the present invention is to provide semiconductor devices having a self-aligned salicide layer on the surface of transistors and capacitors.
  • the invention provides a method of fabricating semiconductor devices.
  • the method includes the following steps. First, a substrate is provided.
  • the substrate has at least a transistor area, a capacitor area and a resistor area, and both the capacitor area and the resistor area have an isolation structure therein.
  • a gate structure is formed in the transistor area on the substrate, a first electrode is formed in the capacitor area and a second electrode is formed in the resistor area.
  • first spacers are formed on the sidewalls of the gate structure, the first electrode and the second electrode. After that, a source/drain region is formed in the substrate on each side of the gate structure.
  • a dielectric layer and a first conductive material layer are sequentially formed on the substrate.
  • a first patterning process is performed to define the first conductive material layer so that a third electrode is formed on the dielectric layer in the capacitor area and a conductive layer is formed on the dielectric layer in the resistor area simultaneously.
  • second spacers are formed on the sidewalls of the third electrode and the conductive layer.
  • the dielectric layer uncovered by the third electrode, the conductive layer and the second spacers is removed.
  • a self-aligned silicide process is performed to form a salicide layer on the surface of the gate structure, the source/drain region, the first electrode, the third electrode, the conductive layer and the second electrode.
  • the first patterning process includes performing a photolithographic process and an etching process.
  • the method of forming the gate structure, the first electrode and the second electrode includes, for example, the following steps. First, a dielectric material layer is formed on the substrate in the transistor area. Then, a second conductive material layer is formed on the substrate to cover the dielectric material layer and the isolation structure. A second patterning process is performed to define the second conductive material layer so that a gate is formed on the substrate in the transistor area, a first electrode is formed in the capacitor area and a second electrode is formed in the resistor area simultaneously. Afterwards, the dielectric material layer uncovered by the gate is removed to form a gate dielectric layer, wherein the gate dielectric layer and the gate together serve as a gate structure. The foregoing second conductive material layer is fabricated using, for example, polysilicon or doped polysilicon. The second patterning process includes, for example, performing a photolithographic process and an etching process.
  • the first conductive material layer is fabricated using, for example, polysilicon or doped polysilicon.
  • the dielectric layer is fabricated using, for example, silicon oxide or silicon nitride.
  • the salicide layer is fabricated using a refractory metal salicide compound.
  • the refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum, for example.
  • the present invention provides another method of fabricating semiconductor devices.
  • the method includes the following steps. First, a substrate is provided. The substrate has at least a transistor area and a capacitor area, and the capacitor area has an isolation structure therein. Then, a first dielectric layer is formed on the substrate in the transistor area. After that, a first conductive layer, a second dielectric layer and a second conductive layer are sequentially formed on the substrate to cover the isolation structure and the first dielectric layer. Next, a first patterning process is performed to define the second conductive layer and the second dielectric layer and form the first electrode and the capacitor dielectric layer of a capacitor in the capacitor area. Thereafter, the first dielectric layer uncovered by the gate is removed to form a gate dielectric layer.
  • a lightly doped drain (LDD) is formed in the substrate on each side of the gate. Then, first spacers are formed on the sidewalls of the gate, the gate dielectric layer, the first electrode, the capacitor dielectric layer and the second electrode. A doped region is formed in the substrate on each side of the first spacers in the transistor area. The LDD and the doped region together serve as a source/drain region. After that, a self-aligned silicide process is performed to form a salicide layer on the surface of the gate, the source/drain region, the first electrode and the second electrode.
  • LDD lightly doped drain
  • the first patterning process includes performing a photolithographic process and an etching process.
  • the second patterning process includes performing a photolithographic process and an etching process.
  • the first conductive material layer and the second conductive material layer are fabricated using, for example, polysilicon or doped polysilicon.
  • the second dielectric layer is fabricated using, for example, silicon oxide or silicon nitride.
  • the salicide layer is fabricated using a refractory metal salicide compound.
  • the refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum, for example.
  • the present invention also provides a semiconductor device.
  • the semiconductor device includes a substrate, a transistor, a capacitor and a resistor.
  • the substrate has a transistor area, a capacitor area and a resistor area. Both the capacitor area and the resistor area have an isolation structure therein.
  • the transistor is disposed on the substrate in the transistor area.
  • the transistor includes a gate structure on the substrate, a source/drain region in the substrate on each side of the gate structure, spacers on the sidewalls of the gate structure and a first salicide layer on the surface of the gate structure and the source/drain regions.
  • the gate structure further includes a gate dielectric layer and a gate.
  • the capacitor is disposed on the isolation structure in the capacitor area.
  • the capacitor further includes a first electrode, a capacitor dielectric layer, a second electrode and a second salicide layer.
  • the first electrode is disposed on the isolation structure within the capacitor area.
  • the capacitor dielectric layer is disposed on the first electrode and covers a portion of the surface of the first electrode.
  • the second electrode is disposed on the capacitor dielectric layer.
  • the second salicide layer is disposed on the exposed first electrode and on the second electrode.
  • the resistor is disposed on the isolation structure in the resistor area.
  • the resistor includes a third electrode and a third salicide layer.
  • the third electrode is disposed on the isolation structure within the resistor area.
  • the third salicide layer is disposed on the third electrode and covers the edge of the third electrode.
  • the gate, the first electrode and the third electrode are fabricated using the same material, for example, polysilicon or doped polysilicon.
  • the second electrode and the conductive layer are fabricated using, for example, polysilicon or doped polysilicon.
  • the capacitor dielectric layer and the dielectric layer are fabricated using, for example, silicon oxide or silicon nitride.
  • the first, second and third salicide layers are fabricated using a refractory metal salicide compound.
  • the refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum, for example.
  • the present invention also provides another semiconductor device.
  • the semiconductor device includes a substrate, a transistor and a capacitor.
  • the substrate has a transistor area and a capacitor area and the capacitor area has an isolation structure therein.
  • the transistor is disposed on the substrate in the transistor area.
  • the transistor includes a gate structure on the substrate, a source/drain region in the substrate on each side of the gate structure, spacers on the sidewalls of the gate structure and a first salicide layer on the surface of the gate structure and the source/drain regions.
  • the gate structure further includes a gate dielectric layer and a gate.
  • the capacitor is disposed on the isolation structure in the capacitor area.
  • the capacitor further includes a first electrode, a capacitor dielectric layer, a second electrode and a second salicide layer.
  • the first electrode is disposed on the isolation structure within the capacitor area.
  • the capacitor dielectric layer is disposed on the first electrode and covers a portion of the surface of the first electrode.
  • the second electrode is disposed on the capacitor dielectric layer.
  • the second salicide layer is disposed on the exposed first electrode and on the second electrode.
  • the gate and the first electrode are fabricated using the same material, for example, polysilicon or doped polysilicon.
  • the second electrode is fabricated using, for example, polysilicon or doped polysilicon.
  • the capacitor dielectric layer is fabricated using, for example, silicon oxide or silicon nitride.
  • the first and second salicide layers are fabricated using a refractory metal salicide compound.
  • the refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum, for example.
  • a masking process is used to define the upper electrode of a PIP capacitor and the self-aligned silicide block layer simultaneously so that that portion of the surface not requiring any silicide deposition is prevented from having a silicide reaction. Therefore, the method in the present invention can simplify the fabrication process and save production cost. Moreover, a metal salicide layer can also be formed on the surface of a capacitor and a resistor to increase the accuracy of the capacitor and improve the performance of the devices.
  • FIGS. 1A through 1G are schematic cross-sectional views showing the steps for fabricating semiconductor devices according to one embodiment of the present invention.
  • FIGS. 2A through 2E are schematic cross-sectional views showing the steps for fabricating semiconductor devices according to another embodiment of the present invention.
  • FIGS. 1A through 1G are schematic cross-sectional views showing the steps for fabricating semiconductor devices according to one embodiment of the present invention.
  • a substrate 100 is provided.
  • the substrate 100 can be, for example, a silicon bulk substrate or a silicon-on-insulator (SOI) substrate.
  • the substrate 100 has at least a transistor area 102 , a capacitor area 104 and a resistor area 106 .
  • the substrate 100 in the capacitor area 104 has an isolation structure 108 a therein and the substrate 100 in the resistor area 106 has another isolation structure 108 b therein.
  • the isolation structures 108 a and 108 b are, for example, shallow trench isolation (STI) structures or field isolation structures formed by the local oxidation of silicon (LOCOS) technique.
  • STI shallow trench isolation
  • LOC local oxidation of silicon
  • a gate structure 110 is formed on the substrate 100 within the transistor area 102 , an electrode 112 is formed on the isolation structure 108 a within the capacitor area 104 and another electrode 114 is formed on the isolation structure 108 b within the resistor area 106 .
  • the electrode 114 can serve as a resistor device.
  • the method of forming the foregoing gate structure 110 , the electrode 112 and the electrode 114 includes, for example, the following steps.
  • a dielectric material layer (not shown) is formed on the substrate 100 within the transistor area 102 .
  • the material constituting the dielectric material layer includes, for example, silicon oxide and the forming method of the dielectric material layer includes, for example, performing a chemical vapor deposition process.
  • a conductive material layer (not shown) is formed to cover the entire substrate.
  • the material constituting the conductive material layer includes, for example, polysilicon or doped polysilicon.
  • a patterning process is performed to define the conductive material layer so that a gate 110 a is formed on the substrate 100 in the transistor area 102 , an electrode 112 is formed on the isolation structure 108 a in the capacitor area 104 and another electrode 114 is formed on the isolation structure 108 b in the resistor area 106 simultaneously.
  • the foregoing patterning process includes performing a photolithographic process and an etching process, for example.
  • the dielectric material layer uncovered by the gate 110 a is removed to form a gate dielectric layer 110 b .
  • the gate 110 a and the gate dielectric layer 110 b together form the gate structure 110 .
  • spacers 116 are formed on the sidewalls of the gate structure 110 , the electrode 112 and the electrode 114 .
  • the method of forming the spacers 116 includes, for example, performing a chemical vapor deposition (CVD) process to form a silicon nitride layer and then performing a dry etching process to remove excess silicon nitride.
  • a source/drain region 118 is formed in the substrate 100 on the sides of the gate structure 110 .
  • the method of forming the source/drain region 118 includes, for example, performing an ion implantation.
  • the foregoing gate structure 110 , the spacers 116 and the source/drain regions 118 together constitute a transistor device in the transistor area 102 .
  • a dielectric layer 120 is formed conformably over the substrate 100 to cover the entire substrate 100 .
  • the material constituting the dielectric layer 120 includes silicon oxide, silicon nitride or other suitable dielectric material, for example.
  • the method of forming the dielectric layer 120 includes, for example, performing a chemical vapor deposition process. After that, a conductive material layer 122 is formed on the dielectric layer 120 .
  • the material constituting the conductive material layer 122 includes polysilicon or doped polysilicon, for example.
  • a patterning process is performed to define the conductive material layer 122 to form an electrode 124 on the dielectric layer 120 in the capacitor area 104 and a conductive layer 126 on the dielectric layer 120 in the resistor area 106 simultaneously.
  • the foregoing patterning process for defining the conductive layer 122 includes, for example, a photolithographic process and an etching process.
  • a patterning process is used in the present embodiment to define the electrode 124 within the capacitor area 104 and the conductive layer 126 within the resistor area 106 .
  • the dielectric layer 120 between the electrodes 112 and 124 in the capacitor area 104 serves as a capacitor dielectric layer.
  • the dielectric layer 120 with a conductive layer 126 thereon can serve as a self-aligned salicide block (SAB) layer to prevent a silicide reaction in areas requiring no salicide compound.
  • SAB salicide block
  • spacers 128 are formed on the sidewalls of the electrode 124 and the sidewalls of the conductive layer 126 .
  • the method of forming the spacers 128 includes depositing a silicon nitride layer in a chemical vapor deposition and performing a dry etching process to remove excess silicon nitride, for example.
  • the spacers 128 serve to prevent an abnormal electrical connection between the electrode 112 and the electrode 124 in the capacitor area 104 and an abnormal electrical connection between the electrode 114 and the conductive layer 126 in the resistor area 106 .
  • the dielectric layer 120 uncovered by the electrode 124 , the conductive layer 126 and the spacers 128 is removed to form dielectric layers 127 and 129 .
  • the method of removing a portion of the dielectric layer 120 includes, for example, performing a wet etching process.
  • the dielectric layer 129 in the capacitor area 104 serves as a capacitor dielectric layer so that the electrode 112 , the dielectric layer 129 and the electrode 124 together form a polysilicon-insulator-polysilicon (PIP) capacitor device in the capacitor area 104 .
  • PIP polysilicon-insulator-polysilicon
  • a self-aligned silicide process is performed to form a salicide layer.
  • the salicide layer includes a salicide layer 130 b formed on the surface of the electrodes 112 and 114 , a salicide layer 130 a formed on the surface of the gate structure 110 and the source/drain regions 118 and a salicide layer 130 c formed on the surface of the conductive layer 126 and the electrode 114 .
  • the foregoing gate structure 110 , spacers 116 , the source/drain regions 118 and the salicide layer 130 a together constitute a transistor 132 within the transistor area 102 in the present embodiment.
  • the foregoing electrode 112 , the dielectric layer 128 , the electrode 124 and the salicide layer 130 b together constitute a capacitor 134 within the capacitor area 104 in the present embodiment.
  • the conductive layer 114 and the salicide layer 130 c on the surface of the conductive layer 114 together constitute a resistor 136 within the resistor area 106 in the present embodiment.
  • the foregoing silicon layers 130 a , 130 b , 130 c are fabricated using refractory metal salicide compound, for example.
  • the refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum.
  • the self-aligned silicide process includes the following steps, for example. First, a metallic layer (not shown) is deposited over the entire substrate 100 in a DC sputtering process. Then, a thermal process is performed so that the metallic layer reacts with silicon to form a salicide layer. After that, a wet etching process is performed to remove the remaining metallic layer not participating in the reaction. Next, another thermal process at a higher temperature is performed to reduce the impedance of the salicide layer.
  • one masking process is used to define the upper electrode of a PIP capacitor and the self-aligned salicide block layer simultaneously. Therefore, the fabrication process is simplified and the production cost is reduced. Moreover, in addition to forming a salicide layer on the transistor device, a salicide layer is also formed on the surface of the PIP capacitor device and the resistor device at the same time. Hence, not only is the impedance of the metallic lines reduced, but the accuracy and performance of the capacitor and the resistor are also enhanced.
  • a masking process is used to define the upper electrode of a PIP capacitor and the self-aligned silicide block layer simultaneously. Therefore, the method in the present embodiment can simplify the fabrication process and save production cost. Moreover, a metal salicide layer can also be formed on the surface of a capacitor and a resistor to reduce the impedance of the metallic lines and increase the accuracy of the devices and improve the performance of the devices.
  • FIG. 1G a semiconductor device according to one embodiment of the present invention is explained using FIG. 1G .
  • the semiconductor device includes a substrate 100 , a transistor 132 , a capacitor 134 and a resistor 136 .
  • the substrate 100 has a transistor area 102 , a capacitor area 104 and a resistor area 106 . Furthermore, both the capacitor area 104 and the resistor area 106 have an isolation structure 108 a and 108 b respectively.
  • the transistor 132 is disposed on the substrate 100 in the transistor area 104 .
  • the transistor 132 comprises a gate structure 110 on the substrate 100 , a source/drain region 118 in the substrate 100 on each side of the gate structure 110 , spacers 116 on the sidewalls of the gate structure 110 and a salicide layer 130 a .
  • the gate structure 110 further includes a gate dielectric layer 110 b and a gate 110 a .
  • the material constituting the gate dielectric layer 110 b includes silicon oxide and the material constituting the gate 110 a includes polysilicon or doped polysilicon, for example.
  • the salicide layer 130 a is disposed on the surface of the gate structure 110 and the source/drain regions 108 .
  • the material constituting the salicide layer 130 a includes a refractory metal salicide compound, for example.
  • the refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum.
  • the capacitor 134 is disposed on the isolation structure 108 a within the capacitor area 104 .
  • the capacitor 134 comprises a first electrode 112 , a dielectric layer 129 , a second electrode 124 and a salicide layer 130 b .
  • the electrode 112 is disposed on the isolation structure 108 a within the capacitor area 104 .
  • the material constituting the electrode 112 includes, for example, polysilicon or doped polysilicon.
  • the dielectric layer 129 is disposed on the electrode 112 and covers a portion of the surface of the electrode 112 .
  • the dielectric layer 129 serves as a capacitor dielectric layer and the material constituting the dielectric layer 129 includes silicon oxide layer, for example.
  • the electrode 124 is disposed on the dielectric layer 129 .
  • the material constituting the electrode 124 includes, for example, polysilicon or doped polysilicon.
  • the salicide layer 130 b is disposed on the exposed electrode 112 and the exposed electrode 124 .
  • the material constituting the salicide layer 130 b includes, for example, a refractory metal salicide compound.
  • the refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum.
  • the resistor 136 is disposed on the isolation structure 108 b within the resistor area 106 .
  • the resistor 136 includes an electrode 114 and a salicide layer 130 c .
  • the electrode 114 is disposed on the isolation structure 108 b and is fabricated using, for example, polysilicon or doped polysilicon.
  • the salicide layer 130 c is disposed on the exposed electrode 114 .
  • the material constituting the salicide layer 130 c includes, for example, a refractory metal salicide compound.
  • the refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum.
  • the semiconductor devices in the present embodiment include transistors, capacitors and resistors. Furthermore, the surfaces of these devices have a salicide layer disposed thereon for reducing the impedance between the devices and the metallic lines and enhancing the accuracy and overall device performance of the capacitors and the resistors.
  • FIGS. 2A through 2E are schematic cross-sectional views showing the steps for fabricating semiconductor devices according to another embodiment of the present invention.
  • a substrate 200 is provided.
  • the substrate 200 has a transistor area 202 and a capacitor area 204 .
  • the capacitor area 204 has an isolation structure 208 disposed therein.
  • the substrate 200 in the transistor area 202 has a dielectric layer 206 formed thereon.
  • the material constituting the dielectric layer 201 includes, for example, silicon oxide.
  • the method of forming the dielectric layer 206 includes, for example, performing a thermal oxidation process.
  • a first conductive layer 210 , a dielectric layer 212 and a second conductive layer 214 are sequentially formed on the substrate 200 to cover the isolation structure 208 and the dielectric layer 206 .
  • the first conductive layer 210 and the second conductive layer 214 are fabricated using an identical material, for example, polysilicon or doped polysilicon.
  • the dielectric layer is fabricated using, for example, silicon oxide or silicon nitride.
  • a patterning process is performed to define the conductive layer 214 and the dielectric layer 212 so that a conductive layer serving as an electrode 216 of a capacitor and a dielectric layer 218 serving as a capacitor dielectric layer of the capacitor are formed in the capacitor area 204 .
  • the foregoing patterning process includes, for example, performing a photolithographic process and an etching process.
  • FIG. 2C another patterning process is performed to define the conductive layer 210 so that an electrode 220 of the capacitor is formed in the capacitor area 204 and a gate 222 is formed in the transistor area 202 .
  • the method of removing the dielectric layer 206 uncovered by the gate 222 includes performing a wet etching process using, for example, hydrofluoric acid as the etchant.
  • the foregoing gate 222 and the gate dielectric layer 224 together constitute a gate structure 225 .
  • a lightly doped drain (LDD) 226 a is formed in the substrate 200 on the sides of the gate 222 .
  • spacers 228 are formed on the sidewalls of the gate 222 and the gate dielectric layer 224 .
  • spacers 229 are formed on the sidewalls of the electrode 216 , the dielectric layer 218 and the electrode 220 .
  • the spacers 228 and 229 can be formed simultaneously, for example.
  • the method of forming the spacers 228 , 229 includes, for example, forming a spacer material layer (not shown) over the entire substrate 200 and then performing an anisotropic etching process to remove a portion of the spacer material layer.
  • a doped region 226 b is formed in the substrate 200 on each side of the spacers 228 so that the LDD 226 a and the doped region 226 b together form a source/drain region 226 .
  • a self-aligned silicide process is performed to form a salicide layer to cover the surface of the gate 222 , the source/drain regions 226 , the electrode 216 and the electrode 220 .
  • the salicide layer includes a salicide layer 230 a formed on the surface of the gate 222 and the source/drain regions 226 and a salicide layer 230 b formed on the surface of the electrode 216 and the electrode 220 .
  • the material constituting the salicide layers 230 a and 230 b includes, for example, a refractory metal salicide compound.
  • the refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum.
  • the foregoing gate 222 , the gate dielectric layer 224 , the source/drain regions 226 , the spacers 228 and the spacers 229 , and the salicide layer 230 a together constitute a transistor 232 .
  • PIP polysilicon-insulator-polysilicon
  • the method of fabricating semiconductor devices in the present invention integrates the process of forming the PIP capacitors and the transistors so that a salicide layer is formed on the PIP capacitors and the transistors simultaneously.
  • the accuracy of the capacitor is enhanced and the device performance is improved.
  • the method in the present embodiment does not need to perform an additional masking process to produce a salicide layer on the transistors and capacitors. Therefore, the method of the present invention will not increase the complexity of fabrication and the cost of production.
  • FIG. 2E a semiconductor device according to one embodiment of the present invention is explained using FIG. 2E .
  • the semiconductor device includes a substrate 200 , a transistor 232 and a capacitor 234 .
  • the substrate 200 has a transistor area 202 and a capacitor area 204 . Furthermore, the capacitor area 104 has an isolation structure 208 therein.
  • the transistor 232 is disposed on the substrate 200 in the transistor area 204 .
  • the transistor 232 comprises a gate structure 225 on the substrate 200 , a source/drain region region 226 in the substrate 200 on each side of the gate structure 225 , spacers 228 on the sidewalls of the gate structure 225 and a salicide layer 230 a .
  • the gate structure 225 further includes a gate dielectric layer 224 and a gate 222 .
  • the gate dielectric layer 224 is fabricated using silicon oxide and the gate 222 is fabricated using polysilicon or doped polysilicon, for example.
  • the salicide layer 230 a is disposed on the surface of the gate structure 225 and the source/drain regions 226 .
  • the salicide layer 230 a is fabricated using a refractory metal salicide compound, for example.
  • the refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum.
  • the capacitor 234 is disposed on the isolation structure 208 within the capacitor area 204 .
  • the capacitor 234 comprises a first electrode 216 , a dielectric layer 218 , a second electrode 220 and a salicide layer 230 b .
  • the electrode 220 is disposed on the isolation structure 208 within the capacitor area 204 .
  • the electrode 220 is fabricated using, for example, polysilicon or doped polysilicon.
  • the dielectric layer 218 is disposed on the electrode 220 and covers a portion of the surface of the electrode 220 .
  • the dielectric layer 218 serves as a capacitor dielectric layer and is a silicon oxide layer, for example.
  • the electrode 216 is disposed on the dielectric layer 218 .
  • the electrode 216 is fabricated using, for example, polysilicon or doped polysilicon.
  • the salicide layer 230 b is disposed on the exposed electrode 220 and the exposed electrode 216 .
  • the salicide layer 230 b is fabricated using, for example, a refractory metal salicide compound.
  • the refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum.
  • the present invention has at least the following advantages:
  • One masking process can be used to define the upper electrode of the PIP capacitor and the self-aligned silicide block layer simultaneously. Therefore, the fabrication process is simplified and the production cost is reduced.
  • the semiconductor devices have salicide layers disposed thereon to increase the accuracy of various capacitors and resistors and reduce the impedance of the metallic lines.

Abstract

A method of fabricating a semiconductor device is disclosed. First, a substrate is provided. The substrate includes at least a transistor area having a gate structure thereon, a capacitor area having a first electrode thereon and a resistor area having a second electrode thereon. The capacitor area and the resistor area both have an isolation structure therein. Then, first spacers and source/drain regions on both sides of the gate are sequentially formed. After that, a dielectric layer and a first conductive material layer are sequentially formed on the substrate. Next, the first conductive material layer is patterned to form a third electrode in the capacitor area and a conductive layer in the resistor area. Then, second spacers are formed. Afterwards, the exposed dielectric layer is removed. Finally, a self-aligned silicide process is performed to form a metal salicide layer to cover the surface of the device.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a divisional of an application Ser. No. 11/458,993, filed on Jul. 20, 2006, now pending. The entirety of the above-mentioned patent application is hereby incorporated by reference herein and made a part of this specification.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a technique for fabricating an integrated circuit device, more particularly, to a semiconductor device and fabricating method thereof.
  • 2. Description of Related Art
  • In general, a number of devices are used in an integrated circuit to produce the desired circuit functions. These devices may include bipolar and metal-oxide-semiconductor field effect transistors, junction diodes, resistors and capacitors. With the rapid development of electronic products, the demand for integrated circuits (IC) having more desirable properties like higher capacitance, higher operating efficiency and smaller volume increases.
  • For example, one important requirement of a capacitor is to have a high degree of accuracy even in the absence of an applied voltage. In other words, the capacitor must have a very good charge storage capacity and the loss of electric charges must be kept to a minimum. One commonly used capacitor in integrated circuits is the polysilicon-insulator-polysilicon (PIP) capacitor.
  • When the PIP capacitors and the transistor devices need to be integrated in an integrated circuit process, an additional masking process is introduced to pattern the polysilicon layer and define the upper electrode of the PIP capacitor. Furthermore, if a silicide process needs to be performed, a block layer is formed over that portion of the areas not requiring any silicide reaction. Therefore, an additional masking process is required to fabricate the block layer so that the covered areas are defined. In particular, because the area already covered by the block layer can prevent a silicide reaction, there is no need for additional film layers. The block layer is also called a self-aligned salicide block (SAB) layer.
  • Since forming the PIP capacitors increases the fabrication of an integrated circuit device by at least two additional masking processes, for example, the patterning of the polysilicon layer and the self-aligned silicide block layer, the process is more complicated and the cost of production is higher.
  • In addition, a few U.S. patents have also disclosed some of the techniques related to the foregoing discussion, for example, U.S. Pat. No. 6,218,234 and U.S. Pat. No. 5,434,098. Thus, the articles disclosed in these patents can be used as reference material in the present invention.
  • SUMMARY OF THE INVENTION
  • Accordingly, at least one objective of the present invention is to provide a method of fabricating semiconductor devices capable of integrating the fabrication process of PIP capacitors, resistors and transistors and then forming a salicide layer over these devices. Moreover, the fabrication processes are simple and easy to carry out so that the production cost can be reduced.
  • At least another objective of the present invention is to provide a method of fabricating semiconductor devices capable of integrating the fabrication process of PIP capacitors and transistors and then forming a salicide layer over these devices.
  • At least another objective of the present invention is to provide semiconductor devices having a self-aligned salicide layer on the surface of transistors, capacitors and resistors.
  • At least another objective of the present invention is to provide semiconductor devices having a self-aligned salicide layer on the surface of transistors and capacitors.
  • To achieve these and other advantages and in accordance with the purpose of the invention, as embodied and broadly described herein, the invention provides a method of fabricating semiconductor devices. The method includes the following steps. First, a substrate is provided. The substrate has at least a transistor area, a capacitor area and a resistor area, and both the capacitor area and the resistor area have an isolation structure therein. Then, a gate structure is formed in the transistor area on the substrate, a first electrode is formed in the capacitor area and a second electrode is formed in the resistor area. Next, first spacers are formed on the sidewalls of the gate structure, the first electrode and the second electrode. After that, a source/drain region is formed in the substrate on each side of the gate structure. Next, a dielectric layer and a first conductive material layer are sequentially formed on the substrate. A first patterning process is performed to define the first conductive material layer so that a third electrode is formed on the dielectric layer in the capacitor area and a conductive layer is formed on the dielectric layer in the resistor area simultaneously. Then, second spacers are formed on the sidewalls of the third electrode and the conductive layer. The dielectric layer uncovered by the third electrode, the conductive layer and the second spacers is removed. After that, a self-aligned silicide process is performed to form a salicide layer on the surface of the gate structure, the source/drain region, the first electrode, the third electrode, the conductive layer and the second electrode.
  • According to the method of fabricating semiconductor devices in the embodiment of the present invention, the first patterning process includes performing a photolithographic process and an etching process.
  • According to the method of fabricating semiconductor devices in the embodiment of the present invention, the method of forming the gate structure, the first electrode and the second electrode includes, for example, the following steps. First, a dielectric material layer is formed on the substrate in the transistor area. Then, a second conductive material layer is formed on the substrate to cover the dielectric material layer and the isolation structure. A second patterning process is performed to define the second conductive material layer so that a gate is formed on the substrate in the transistor area, a first electrode is formed in the capacitor area and a second electrode is formed in the resistor area simultaneously. Afterwards, the dielectric material layer uncovered by the gate is removed to form a gate dielectric layer, wherein the gate dielectric layer and the gate together serve as a gate structure. The foregoing second conductive material layer is fabricated using, for example, polysilicon or doped polysilicon. The second patterning process includes, for example, performing a photolithographic process and an etching process.
  • According to the method of fabricating semiconductor devices in the embodiment of the present invention, the first conductive material layer is fabricated using, for example, polysilicon or doped polysilicon.
  • According to the method of fabricating semiconductor devices in the embodiment of the present invention, the dielectric layer is fabricated using, for example, silicon oxide or silicon nitride.
  • According to the method of fabricating semiconductor devices in the embodiment of the present invention, the salicide layer is fabricated using a refractory metal salicide compound. The refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum, for example.
  • The present invention provides another method of fabricating semiconductor devices. The method includes the following steps. First, a substrate is provided. The substrate has at least a transistor area and a capacitor area, and the capacitor area has an isolation structure therein. Then, a first dielectric layer is formed on the substrate in the transistor area. After that, a first conductive layer, a second dielectric layer and a second conductive layer are sequentially formed on the substrate to cover the isolation structure and the first dielectric layer. Next, a first patterning process is performed to define the second conductive layer and the second dielectric layer and form the first electrode and the capacitor dielectric layer of a capacitor in the capacitor area. Thereafter, the first dielectric layer uncovered by the gate is removed to form a gate dielectric layer. A lightly doped drain (LDD) is formed in the substrate on each side of the gate. Then, first spacers are formed on the sidewalls of the gate, the gate dielectric layer, the first electrode, the capacitor dielectric layer and the second electrode. A doped region is formed in the substrate on each side of the first spacers in the transistor area. The LDD and the doped region together serve as a source/drain region. After that, a self-aligned silicide process is performed to form a salicide layer on the surface of the gate, the source/drain region, the first electrode and the second electrode.
  • According to the method of fabricating semiconductor devices in the embodiment of the present invention, the first patterning process includes performing a photolithographic process and an etching process.
  • According to the method of fabricating semiconductor devices in the embodiment of the present invention, the second patterning process includes performing a photolithographic process and an etching process.
  • According to the method of fabricating semiconductor devices in the embodiment of the present invention, the first conductive material layer and the second conductive material layer are fabricated using, for example, polysilicon or doped polysilicon.
  • According to the method of fabricating semiconductor devices in the embodiment of the present invention, the second dielectric layer is fabricated using, for example, silicon oxide or silicon nitride.
  • According to the method of fabricating semiconductor devices in the embodiment of the present invention, the salicide layer is fabricated using a refractory metal salicide compound. The refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum, for example.
  • The present invention also provides a semiconductor device. The semiconductor device includes a substrate, a transistor, a capacitor and a resistor. The substrate has a transistor area, a capacitor area and a resistor area. Both the capacitor area and the resistor area have an isolation structure therein. The transistor is disposed on the substrate in the transistor area. The transistor includes a gate structure on the substrate, a source/drain region in the substrate on each side of the gate structure, spacers on the sidewalls of the gate structure and a first salicide layer on the surface of the gate structure and the source/drain regions. The gate structure further includes a gate dielectric layer and a gate. The capacitor is disposed on the isolation structure in the capacitor area. The capacitor further includes a first electrode, a capacitor dielectric layer, a second electrode and a second salicide layer. The first electrode is disposed on the isolation structure within the capacitor area. The capacitor dielectric layer is disposed on the first electrode and covers a portion of the surface of the first electrode. The second electrode is disposed on the capacitor dielectric layer. The second salicide layer is disposed on the exposed first electrode and on the second electrode. Furthermore, the resistor is disposed on the isolation structure in the resistor area. The resistor includes a third electrode and a third salicide layer. The third electrode is disposed on the isolation structure within the resistor area. The third salicide layer is disposed on the third electrode and covers the edge of the third electrode.
  • According to the semiconductor device in the embodiment of the present invention, the gate, the first electrode and the third electrode are fabricated using the same material, for example, polysilicon or doped polysilicon.
  • According to the semiconductor device in the embodiment of the present invention, the second electrode and the conductive layer are fabricated using, for example, polysilicon or doped polysilicon.
  • According to the semiconductor device in the embodiment of the present invention, the capacitor dielectric layer and the dielectric layer are fabricated using, for example, silicon oxide or silicon nitride.
  • According to the semiconductor device in the embodiment of the present invention, the first, second and third salicide layers are fabricated using a refractory metal salicide compound. The refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum, for example.
  • The present invention also provides another semiconductor device. The semiconductor device includes a substrate, a transistor and a capacitor. The substrate has a transistor area and a capacitor area and the capacitor area has an isolation structure therein. The transistor is disposed on the substrate in the transistor area. The transistor includes a gate structure on the substrate, a source/drain region in the substrate on each side of the gate structure, spacers on the sidewalls of the gate structure and a first salicide layer on the surface of the gate structure and the source/drain regions. The gate structure further includes a gate dielectric layer and a gate. The capacitor is disposed on the isolation structure in the capacitor area. The capacitor further includes a first electrode, a capacitor dielectric layer, a second electrode and a second salicide layer. The first electrode is disposed on the isolation structure within the capacitor area. The capacitor dielectric layer is disposed on the first electrode and covers a portion of the surface of the first electrode. The second electrode is disposed on the capacitor dielectric layer. The second salicide layer is disposed on the exposed first electrode and on the second electrode.
  • According to the semiconductor device in the embodiment of the present invention, the gate and the first electrode are fabricated using the same material, for example, polysilicon or doped polysilicon.
  • According to the semiconductor device in the embodiment of the present invention, the second electrode is fabricated using, for example, polysilicon or doped polysilicon.
  • According to the semiconductor device in the embodiment of the present invention, the capacitor dielectric layer is fabricated using, for example, silicon oxide or silicon nitride.
  • According to the semiconductor device in the embodiment of the present invention, the first and second salicide layers are fabricated using a refractory metal salicide compound. The refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum, for example.
  • In the present invention, a masking process is used to define the upper electrode of a PIP capacitor and the self-aligned silicide block layer simultaneously so that that portion of the surface not requiring any silicide deposition is prevented from having a silicide reaction. Therefore, the method in the present invention can simplify the fabrication process and save production cost. Moreover, a metal salicide layer can also be formed on the surface of a capacitor and a resistor to increase the accuracy of the capacitor and improve the performance of the devices.
  • It is to be understood that both the foregoing general description and the following detailed description are exemplary, and are intended to provide further explanation of the invention as claimed.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings are included to provide a further understanding of the invention, and are incorporated in and constitute a part of this specification. The drawings illustrate embodiments of the invention and, together with the description, serve to explain the principles of the invention.
  • FIGS. 1A through 1G are schematic cross-sectional views showing the steps for fabricating semiconductor devices according to one embodiment of the present invention.
  • FIGS. 2A through 2E are schematic cross-sectional views showing the steps for fabricating semiconductor devices according to another embodiment of the present invention.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Reference will now be made in detail to the present preferred embodiments of the invention, examples of which are illustrated in the accompanying drawings. Wherever possible, the same reference numbers are used in the drawings and the description to refer to the same or like parts.
  • FIGS. 1A through 1G are schematic cross-sectional views showing the steps for fabricating semiconductor devices according to one embodiment of the present invention.
  • First, as shown in FIG. 1A, a substrate 100 is provided. The substrate 100 can be, for example, a silicon bulk substrate or a silicon-on-insulator (SOI) substrate. The substrate 100 has at least a transistor area 102, a capacitor area 104 and a resistor area 106. The substrate 100 in the capacitor area 104 has an isolation structure 108 a therein and the substrate 100 in the resistor area 106 has another isolation structure 108 b therein. The isolation structures 108 a and 108 b are, for example, shallow trench isolation (STI) structures or field isolation structures formed by the local oxidation of silicon (LOCOS) technique.
  • As shown in FIG. 1A, a gate structure 110 is formed on the substrate 100 within the transistor area 102, an electrode 112 is formed on the isolation structure 108 a within the capacitor area 104 and another electrode 114 is formed on the isolation structure 108 b within the resistor area 106. The electrode 114 can serve as a resistor device.
  • The method of forming the foregoing gate structure 110, the electrode 112 and the electrode 114 includes, for example, the following steps. First, a dielectric material layer (not shown) is formed on the substrate 100 within the transistor area 102. The material constituting the dielectric material layer includes, for example, silicon oxide and the forming method of the dielectric material layer includes, for example, performing a chemical vapor deposition process. Then, a conductive material layer (not shown) is formed to cover the entire substrate. The material constituting the conductive material layer includes, for example, polysilicon or doped polysilicon. Next, a patterning process is performed to define the conductive material layer so that a gate 110 a is formed on the substrate 100 in the transistor area 102, an electrode 112 is formed on the isolation structure 108 a in the capacitor area 104 and another electrode 114 is formed on the isolation structure 108 b in the resistor area 106 simultaneously. The foregoing patterning process includes performing a photolithographic process and an etching process, for example. After that, the dielectric material layer uncovered by the gate 110 a is removed to form a gate dielectric layer 110 b. The gate 110 a and the gate dielectric layer 110 b together form the gate structure 110.
  • As shown in FIG. 1B, spacers 116 are formed on the sidewalls of the gate structure 110, the electrode 112 and the electrode 114. The method of forming the spacers 116 includes, for example, performing a chemical vapor deposition (CVD) process to form a silicon nitride layer and then performing a dry etching process to remove excess silicon nitride. Then, a source/drain region 118 is formed in the substrate 100 on the sides of the gate structure 110. The method of forming the source/drain region 118 includes, for example, performing an ion implantation. The foregoing gate structure 110, the spacers 116 and the source/drain regions 118 together constitute a transistor device in the transistor area 102.
  • As shown in FIG. 1C, a dielectric layer 120 is formed conformably over the substrate 100 to cover the entire substrate 100. The material constituting the dielectric layer 120 includes silicon oxide, silicon nitride or other suitable dielectric material, for example. The method of forming the dielectric layer 120 includes, for example, performing a chemical vapor deposition process. After that, a conductive material layer 122 is formed on the dielectric layer 120. The material constituting the conductive material layer 122 includes polysilicon or doped polysilicon, for example.
  • As shown in FIG. 1D, a patterning process is performed to define the conductive material layer 122 to form an electrode 124 on the dielectric layer 120 in the capacitor area 104 and a conductive layer 126 on the dielectric layer 120 in the resistor area 106 simultaneously. The foregoing patterning process for defining the conductive layer 122 includes, for example, a photolithographic process and an etching process.
  • It should be noted that a patterning process is used in the present embodiment to define the electrode 124 within the capacitor area 104 and the conductive layer 126 within the resistor area 106. The dielectric layer 120 between the electrodes 112 and 124 in the capacitor area 104 serves as a capacitor dielectric layer. Furthermore, the dielectric layer 120 with a conductive layer 126 thereon can serve as a self-aligned salicide block (SAB) layer to prevent a silicide reaction in areas requiring no salicide compound. In other words, only one masking process is required in the present embodiment to define the electrode of the PIP capacitor and the SAB layer simultaneously. Consequently, the fabrication process is simplified and the production cost is reduced.
  • As shown in FIG. 1E, spacers 128 are formed on the sidewalls of the electrode 124 and the sidewalls of the conductive layer 126. The method of forming the spacers 128 includes depositing a silicon nitride layer in a chemical vapor deposition and performing a dry etching process to remove excess silicon nitride, for example. The spacers 128 serve to prevent an abnormal electrical connection between the electrode 112 and the electrode 124 in the capacitor area 104 and an abnormal electrical connection between the electrode 114 and the conductive layer 126 in the resistor area 106.
  • As shown in FIG. 1F, the dielectric layer 120 uncovered by the electrode 124, the conductive layer 126 and the spacers 128 is removed to form dielectric layers 127 and 129. The method of removing a portion of the dielectric layer 120 includes, for example, performing a wet etching process. The dielectric layer 129 in the capacitor area 104 serves as a capacitor dielectric layer so that the electrode 112, the dielectric layer 129 and the electrode 124 together form a polysilicon-insulator-polysilicon (PIP) capacitor device in the capacitor area 104.
  • As shown in FIG. 1G, a self-aligned silicide process is performed to form a salicide layer. The salicide layer includes a salicide layer 130 b formed on the surface of the electrodes 112 and 114, a salicide layer 130 a formed on the surface of the gate structure 110 and the source/drain regions 118 and a salicide layer 130 c formed on the surface of the conductive layer 126 and the electrode 114.
  • The foregoing gate structure 110, spacers 116, the source/drain regions 118 and the salicide layer 130 a together constitute a transistor 132 within the transistor area 102 in the present embodiment. The foregoing electrode 112, the dielectric layer 128, the electrode 124 and the salicide layer 130 b together constitute a capacitor 134 within the capacitor area 104 in the present embodiment. Furthermore, the conductive layer 114 and the salicide layer 130 c on the surface of the conductive layer 114 together constitute a resistor 136 within the resistor area 106 in the present embodiment.
  • The foregoing silicon layers 130 a, 130 b, 130 c are fabricated using refractory metal salicide compound, for example. The refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum. The self-aligned silicide process includes the following steps, for example. First, a metallic layer (not shown) is deposited over the entire substrate 100 in a DC sputtering process. Then, a thermal process is performed so that the metallic layer reacts with silicon to form a salicide layer. After that, a wet etching process is performed to remove the remaining metallic layer not participating in the reaction. Next, another thermal process at a higher temperature is performed to reduce the impedance of the salicide layer. In the present embodiment, one masking process is used to define the upper electrode of a PIP capacitor and the self-aligned salicide block layer simultaneously. Therefore, the fabrication process is simplified and the production cost is reduced. Moreover, in addition to forming a salicide layer on the transistor device, a salicide layer is also formed on the surface of the PIP capacitor device and the resistor device at the same time. Hence, not only is the impedance of the metallic lines reduced, but the accuracy and performance of the capacitor and the resistor are also enhanced.
  • In the present embodiment, a masking process is used to define the upper electrode of a PIP capacitor and the self-aligned silicide block layer simultaneously. Therefore, the method in the present embodiment can simplify the fabrication process and save production cost. Moreover, a metal salicide layer can also be formed on the surface of a capacitor and a resistor to reduce the impedance of the metallic lines and increase the accuracy of the devices and improve the performance of the devices.
  • In the following, a semiconductor device according to one embodiment of the present invention is explained using FIG. 1G.
  • Again, as shown in FIG. 1G, the semiconductor device includes a substrate 100, a transistor 132, a capacitor 134 and a resistor 136.
  • The substrate 100 has a transistor area 102, a capacitor area 104 and a resistor area 106. Furthermore, both the capacitor area 104 and the resistor area 106 have an isolation structure 108 a and 108 b respectively.
  • The transistor 132 is disposed on the substrate 100 in the transistor area 104. The transistor 132 comprises a gate structure 110 on the substrate 100, a source/drain region 118 in the substrate 100 on each side of the gate structure 110, spacers 116 on the sidewalls of the gate structure 110 and a salicide layer 130 a. The gate structure 110 further includes a gate dielectric layer 110 b and a gate 110 a. The material constituting the gate dielectric layer 110 b includes silicon oxide and the material constituting the gate 110 a includes polysilicon or doped polysilicon, for example. In addition, the salicide layer 130 a is disposed on the surface of the gate structure 110 and the source/drain regions 108. The material constituting the salicide layer 130 a includes a refractory metal salicide compound, for example. The refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum.
  • The capacitor 134 is disposed on the isolation structure 108 a within the capacitor area 104. The capacitor 134 comprises a first electrode 112, a dielectric layer 129, a second electrode 124 and a salicide layer 130 b. The electrode 112 is disposed on the isolation structure 108 a within the capacitor area 104. The material constituting the electrode 112 includes, for example, polysilicon or doped polysilicon. The dielectric layer 129 is disposed on the electrode 112 and covers a portion of the surface of the electrode 112. The dielectric layer 129 serves as a capacitor dielectric layer and the material constituting the dielectric layer 129 includes silicon oxide layer, for example. The electrode 124 is disposed on the dielectric layer 129. The material constituting the electrode 124 includes, for example, polysilicon or doped polysilicon. The salicide layer 130 b is disposed on the exposed electrode 112 and the exposed electrode 124. The material constituting the salicide layer 130 b includes, for example, a refractory metal salicide compound. The refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum.
  • The resistor 136 is disposed on the isolation structure 108 b within the resistor area 106. The resistor 136 includes an electrode 114 and a salicide layer 130 c. The electrode 114 is disposed on the isolation structure 108 b and is fabricated using, for example, polysilicon or doped polysilicon. The salicide layer 130 c is disposed on the exposed electrode 114. The material constituting the salicide layer 130 c includes, for example, a refractory metal salicide compound. The refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum.
  • The semiconductor devices in the present embodiment include transistors, capacitors and resistors. Furthermore, the surfaces of these devices have a salicide layer disposed thereon for reducing the impedance between the devices and the metallic lines and enhancing the accuracy and overall device performance of the capacitors and the resistors.
  • FIGS. 2A through 2E are schematic cross-sectional views showing the steps for fabricating semiconductor devices according to another embodiment of the present invention.
  • First, as shown in FIG. 2A, a substrate 200 is provided. The substrate 200 has a transistor area 202 and a capacitor area 204. The capacitor area 204 has an isolation structure 208 disposed therein. The substrate 200 in the transistor area 202 has a dielectric layer 206 formed thereon. The material constituting the dielectric layer 201 includes, for example, silicon oxide. The method of forming the dielectric layer 206 includes, for example, performing a thermal oxidation process.
  • Then, a first conductive layer 210, a dielectric layer 212 and a second conductive layer 214 are sequentially formed on the substrate 200 to cover the isolation structure 208 and the dielectric layer 206. The first conductive layer 210 and the second conductive layer 214 are fabricated using an identical material, for example, polysilicon or doped polysilicon. The dielectric layer is fabricated using, for example, silicon oxide or silicon nitride.
  • As shown in FIG. 2B, a patterning process is performed to define the conductive layer 214 and the dielectric layer 212 so that a conductive layer serving as an electrode 216 of a capacitor and a dielectric layer 218 serving as a capacitor dielectric layer of the capacitor are formed in the capacitor area 204. The foregoing patterning process includes, for example, performing a photolithographic process and an etching process.
  • As shown in FIG. 2C, another patterning process is performed to define the conductive layer 210 so that an electrode 220 of the capacitor is formed in the capacitor area 204 and a gate 222 is formed in the transistor area 202.
  • Then, the dielectric layer 206 uncovered by the gate 222 is removed to form a gate dielectric layer 224. The method of removing the dielectric layer 206 uncovered by the gate 222 includes performing a wet etching process using, for example, hydrofluoric acid as the etchant. The foregoing gate 222 and the gate dielectric layer 224 together constitute a gate structure 225.
  • As show in FIG. 2D, a lightly doped drain (LDD) 226 a is formed in the substrate 200 on the sides of the gate 222. Then, spacers 228 are formed on the sidewalls of the gate 222 and the gate dielectric layer 224. Furthermore, spacers 229 are formed on the sidewalls of the electrode 216, the dielectric layer 218 and the electrode 220. The spacers 228 and 229 can be formed simultaneously, for example. The method of forming the spacers 228, 229 includes, for example, forming a spacer material layer (not shown) over the entire substrate 200 and then performing an anisotropic etching process to remove a portion of the spacer material layer.
  • After that, as shown in FIG. 2D, a doped region 226 b is formed in the substrate 200 on each side of the spacers 228 so that the LDD 226 a and the doped region 226 b together form a source/drain region 226.
  • As shown in FIG. 2E, a self-aligned silicide process is performed to form a salicide layer to cover the surface of the gate 222, the source/drain regions 226, the electrode 216 and the electrode 220. The salicide layer includes a salicide layer 230 a formed on the surface of the gate 222 and the source/drain regions 226 and a salicide layer 230 b formed on the surface of the electrode 216 and the electrode 220. The material constituting the salicide layers 230 a and 230 b includes, for example, a refractory metal salicide compound. The refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum. The foregoing gate 222, the gate dielectric layer 224, the source/drain regions 226, the spacers 228 and the spacers 229, and the salicide layer 230 a together constitute a transistor 232. The foregoing electrode 216, the dielectric layer 218, the electrode 220 and the salicide layer 230 b together constitute a polysilicon-insulator-polysilicon (PIP) capacitor 234.
  • The method of fabricating semiconductor devices in the present invention integrates the process of forming the PIP capacitors and the transistors so that a salicide layer is formed on the PIP capacitors and the transistors simultaneously. Thus, the accuracy of the capacitor is enhanced and the device performance is improved. Moreover, the method in the present embodiment does not need to perform an additional masking process to produce a salicide layer on the transistors and capacitors. Therefore, the method of the present invention will not increase the complexity of fabrication and the cost of production.
  • In the following, a semiconductor device according to one embodiment of the present invention is explained using FIG. 2E.
  • Again, as shown in FIG. 2E, the semiconductor device includes a substrate 200, a transistor 232 and a capacitor 234.
  • The substrate 200 has a transistor area 202 and a capacitor area 204. Furthermore, the capacitor area 104 has an isolation structure 208 therein.
  • The transistor 232 is disposed on the substrate 200 in the transistor area 204. The transistor 232 comprises a gate structure 225 on the substrate 200, a source/drain region region 226 in the substrate 200 on each side of the gate structure 225, spacers 228 on the sidewalls of the gate structure 225 and a salicide layer 230 a. The gate structure 225 further includes a gate dielectric layer 224 and a gate 222. The gate dielectric layer 224 is fabricated using silicon oxide and the gate 222 is fabricated using polysilicon or doped polysilicon, for example. In addition, the salicide layer 230 a is disposed on the surface of the gate structure 225 and the source/drain regions 226. The salicide layer 230 a is fabricated using a refractory metal salicide compound, for example. The refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum.
  • The capacitor 234 is disposed on the isolation structure 208 within the capacitor area 204. The capacitor 234 comprises a first electrode 216, a dielectric layer 218, a second electrode 220 and a salicide layer 230 b. The electrode 220 is disposed on the isolation structure 208 within the capacitor area 204. The electrode 220 is fabricated using, for example, polysilicon or doped polysilicon. The dielectric layer 218 is disposed on the electrode 220 and covers a portion of the surface of the electrode 220. The dielectric layer 218 serves as a capacitor dielectric layer and is a silicon oxide layer, for example. The electrode 216 is disposed on the dielectric layer 218. The electrode 216 is fabricated using, for example, polysilicon or doped polysilicon. The salicide layer 230 b is disposed on the exposed electrode 220 and the exposed electrode 216. The salicide layer 230 b is fabricated using, for example, a refractory metal salicide compound. The refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum.
  • In summary, the present invention has at least the following advantages:
  • 1. One masking process can be used to define the upper electrode of the PIP capacitor and the self-aligned silicide block layer simultaneously. Therefore, the fabrication process is simplified and the production cost is reduced.
  • 2. The semiconductor devices have salicide layers disposed thereon to increase the accuracy of various capacitors and resistors and reduce the impedance of the metallic lines.
  • It will be apparent to those skilled in the art that various modifications and variations can be made to the structure of the present invention without departing from the scope or spirit of the invention. In view of the foregoing, it is intended that the present invention cover modifications and variations of this invention provided they fall within the scope of the following claims and their equivalents.

Claims (14)

1. A semiconductor device, comprising:
a substrate, having a transistor area, a capacitor area and a resistor area, wherein each of the capacitor area and the resistor area has an isolation structure therein;
a transistor, disposed on the substrate in the transistor area, wherein the transistor comprises a gate structure on the substrate, a source/drain region disposed in the substrate on each side of the gate structure, spacers on the sidewalls of the gate structure and a first salicide layer disposed on the surface of the gate structure and the source/drain regions, and the gate structure comprises a gate dielectric layer and a gate;
a capacitor, disposed on the isolation structure in the capacitor area, comprising:
a first electrode, disposed on the isolation structure in the capacitor area;
a capacitor dielectric layer disposed on the first electrode to cover a portion of the surface of the first electrode;
a second electrode, disposed on the capacitor dielectric layer; and
a second salicide layer, disposed on the exposed first electrode and disposed on the second electrode; and
a resistor, disposed on the isolation structure in the resistor area, comprising:
a third electrode, disposed on the isolation structure in the resistor area; and
a third salicide layer, disposed on the third electrode to cover the edge of the third electrode.
2. The semiconductor device of claim 1, wherein the material constituting the gate, the first electrode and the third electrode is identical.
3. The semiconductor device of claim 2, wherein the material constituting the gate, the first electrode and the third electrode comprises polysilicon or doped polysilicon.
4. The semiconductor device of claim 1, wherein the material constituting the second electrode and the conductive layer comprises polysilicon or doped polysilicon.
5. The semiconductor device of claim 1, wherein the material constituting the capacitor dielectric layer and the dielectric layer comprises silicon oxide or silicon nitride.
6. The semiconductor device of claim 1, wherein the first, the second and the third salicide layers are fabricated using a refractory metal salicide compound.
7. The semiconductor device of claim 6, wherein the refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum.
8. A semiconductor device, comprising:
a substrate, having at least a transistor area and at least a capacitor area, wherein the capacitor area has an isolation structure therein;
a transistor, disposed on the substrate in the transistor area, wherein the transistor comprises a gate structure on the substrate, a source/drain region disposed in the substrate on each side of the gate structure, spacers on the sidewalls of the gate structure and a first salicide layer disposed on the surface of the gate structure and the source/drain regions, and the gate structure comprises a gate dielectric layer and a gate; and
a capacitor, disposed on the isolation structure in the capacitor area, comprising:
a first electrode, disposed on the isolation structure in the capacitor area;
a capacitor dielectric layer disposed on the first electrode to cover a portion of the surface of the first electrode;
a second electrode, disposed on the capacitor dielectric layer; and
a second salicide layer, disposed on the exposed first electrode and disposed on the second electrode.
9. The semiconductor device of claim 8, wherein the material constituting the gate and the first electrode is identical.
10. The semiconductor device of claim 9, wherein the material constituting the gate and the first electrode comprises polysilicon or doped polysilicon.
11. The semiconductor device of claim 8, wherein the material constituting the second electrode comprises polysilicon or doped polysilicon.
12. The semiconductor device of claim 8, wherein the material constituting the capacitor dielectric layer comprises silicon oxide or silicon nitride.
13. The semiconductor device of claim 8, wherein the first, the second and the third salicide layers are fabricated using a refractory metal salicide compound.
14. The semiconductor device of claim 13, wherein the refractory metal is selected from a group consisting of nickel, tungsten, cobalt, titanium, molybdenum and platinum.
US11/872,042 2006-07-20 2007-10-15 Semiconductor device Abandoned US20080029802A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/872,042 US20080029802A1 (en) 2006-07-20 2007-10-15 Semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/458,993 US7342285B2 (en) 2006-07-20 2006-07-20 Method of fabricating semiconductor devices
US11/872,042 US20080029802A1 (en) 2006-07-20 2007-10-15 Semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/458,993 Division US7342285B2 (en) 2006-07-20 2006-07-20 Method of fabricating semiconductor devices

Publications (1)

Publication Number Publication Date
US20080029802A1 true US20080029802A1 (en) 2008-02-07

Family

ID=38970639

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/458,993 Active US7342285B2 (en) 2006-07-20 2006-07-20 Method of fabricating semiconductor devices
US11/872,042 Abandoned US20080029802A1 (en) 2006-07-20 2007-10-15 Semiconductor device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/458,993 Active US7342285B2 (en) 2006-07-20 2006-07-20 Method of fabricating semiconductor devices

Country Status (1)

Country Link
US (2) US7342285B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104851776A (en) * 2014-02-14 2015-08-19 中芯国际集成电路制造(上海)有限公司 Mis capacitor structure and manufacturing method thereof

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9882023B2 (en) * 2016-02-29 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Sidewall spacers for self-aligned contacts
KR102426051B1 (en) 2016-05-31 2022-07-26 삼성전자주식회사 Semiconductor device and fabrication method thereof
US10373949B2 (en) * 2017-02-20 2019-08-06 Mediatek Inc. Semiconductor device and manufacturing method thereof
CN114373716B (en) * 2022-03-22 2022-06-17 晶芯成(北京)科技有限公司 Integrated device and manufacturing method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5434098A (en) * 1993-01-04 1995-07-18 Vlsi Techology, Inc. Double poly process with independently adjustable interpoly dielectric thickness
US6069036A (en) * 1995-12-30 2000-05-30 Hyundai Electronics Industries Co., Ltd. Method of fabricating semiconductor device
US6218234B1 (en) * 1999-04-26 2001-04-17 Chartered Semiconductor Manufacturing, Ltd. Dual gate and double poly capacitor analog process integration
US20040124477A1 (en) * 2002-12-19 2004-07-01 Shinichi Minami Semiconductor integrated circuit device and a method of manufacturing the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5434098A (en) * 1993-01-04 1995-07-18 Vlsi Techology, Inc. Double poly process with independently adjustable interpoly dielectric thickness
US6069036A (en) * 1995-12-30 2000-05-30 Hyundai Electronics Industries Co., Ltd. Method of fabricating semiconductor device
US6218234B1 (en) * 1999-04-26 2001-04-17 Chartered Semiconductor Manufacturing, Ltd. Dual gate and double poly capacitor analog process integration
US20040124477A1 (en) * 2002-12-19 2004-07-01 Shinichi Minami Semiconductor integrated circuit device and a method of manufacturing the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104851776A (en) * 2014-02-14 2015-08-19 中芯国际集成电路制造(上海)有限公司 Mis capacitor structure and manufacturing method thereof

Also Published As

Publication number Publication date
US7342285B2 (en) 2008-03-11
US20080017933A1 (en) 2008-01-24

Similar Documents

Publication Publication Date Title
KR100375752B1 (en) Cmos self-aligned strapped interconnection and method for same
US7118954B1 (en) High voltage metal-oxide-semiconductor transistor devices and method of making the same
US5500387A (en) Method of making high performance capacitors and/or resistors for integrated circuits
US7781291B2 (en) Semiconductor device and method for fabricating the same
JP2000164830A (en) Manufacture of semiconductor storage device
US7517752B2 (en) Method of fabricating semiconductor device having storage capacitor and higher voltage resistance capacitor and semiconductor device fabricated using the same
US6797554B2 (en) Method of manufacturing semiconductor integrated circuit having capacitor and silicided and non-silicided transistors
KR19990072372A (en) Fabrication of a planar mosfet with raised source/drain by chemical mechanical polishing and nitride replacement
CN100543965C (en) Semiconductor element and manufacture method thereof
KR101377705B1 (en) Method for fabricating a semiconductor component including a high capacitance per unit area capacitor
US7563667B2 (en) Method for fabricating semiconductor device
US7342285B2 (en) Method of fabricating semiconductor devices
KR20050070799A (en) Method for fabricating capacitor
EP1869702A2 (en) Metal-insulator-metal capacitor manufactured using etchback
US20020045318A1 (en) Method for manufacturing mos transistor
US7141469B2 (en) Method of forming poly insulator poly capacitors by using a self-aligned salicide process
US6753559B2 (en) Transistor having improved gate structure
US6136675A (en) Method for forming gate terminal
JP3782962B2 (en) Method of forming polysilicon-polysilicon capacitor by SiGeBiCMOS integration technique
US6303455B1 (en) Method for manufacturing capacitor
US6309925B1 (en) Method for manufacturing capacitor
US6228708B1 (en) Method of manufacturing high voltage mixed-mode device
US6221725B1 (en) Method of fabricating silicide layer on gate electrode
JP3214615B2 (en) Semiconductor storage device
KR100596879B1 (en) Method for fabricating polyresistor of semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION