US20080023778A1 - Fully Silicided Gate Electrodes and Method of Making the Same - Google Patents

Fully Silicided Gate Electrodes and Method of Making the Same Download PDF

Info

Publication number
US20080023778A1
US20080023778A1 US11/830,312 US83031207A US2008023778A1 US 20080023778 A1 US20080023778 A1 US 20080023778A1 US 83031207 A US83031207 A US 83031207A US 2008023778 A1 US2008023778 A1 US 2008023778A1
Authority
US
United States
Prior art keywords
fusi
gate
gate electrode
type
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/830,312
Inventor
William K. Henson
Kem Rim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/830,312 priority Critical patent/US20080023778A1/en
Publication of US20080023778A1 publication Critical patent/US20080023778A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4941Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a barrier layer between the silicon and the metal or metal silicide upper layer, e.g. Silicide/TiN/Polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Abstract

The present invention relates to a method of selectively fabricating metal gate electrodes in one or more device regions by fully siliciding (FUSI) the gate electrode. The selective formation of FUSI enables metal gate electrodes to be fabricated on devices that are compatible with workfunctions that are different from conventional n+ and p+ doped poly silicon electrodes. Each device region consists of at least one Field Effect Transistor (FET) device which consists of either a polysilicon gate electrode or a fully silicided (FUSI) gate electrode. A gate electrode comprised of silicon and a Ge containing layer is used in combination with a selective removal process of the Ge containing layer. The Ge containing layer is not removed on devices with threshold voltages that are not compatible with the FUSI workfunction. Devices that are compatible with the FUSI workfunction have the Ge containing layer removed prior to the junction silicidation step. The remaining thin silicon layer of the gate electrode becomes fully silicided during the same step as the junction silicidation step.

Description

    FIELD OF THE INVENTION
  • The present invention relates to manufacture of semiconductor devices. In particular, it relates to method of making fully silicided gate electrodes for field-effect-transistors.
  • BACKGROUND OF THE INVENTION
  • It is well known in the art that polysilicon may be used as gate electrode in semiconductor devices such as, for example, field-effect-transistors (FETs) and in particular complementary metal-oxide-semiconductor field-effect-transistors (CMOS-FETs). On the other hand, with the continuing scaling down in dimensions of semiconductor devices, other types of gate electrodes such as, for example, metal and/or fully silicided (FUSI) gate electrodes are being used to replace the conventional polysilicon gate electrodes. Metal and/or FUSI gate electrodes may reduce and/or prevent depletion of charges, commonly known as poly-depletion, associated with polysilicon gate electrode. Occurring in the vicinity of an interface between a polysilicon gate electrode and a gate dielectric, poly-depletion may lead to less induced charges in the channel region of a FET device causing lower current and degraded performance. Compared with using polysilicon gate electrodes, the use of metal and/or FUSI gate electrodes may reduce an effective thickness of the gate dielectric, and thus increase the capacitance associated with the gate, or gate capacitance. The increase in gate capacitance effectively increases the amount of induced charges in the channel region of the FET device, which translates to higher drive currents and transistor performance.
  • On the other hand, there are situations where it may be difficult to use metal gate electrodes in certain device areas having devices with multiple threshold voltages. Metal gate and/or FUSI gate electrodes tend to have workfunctions near the mid-gap of silicon. Workfunctions near the mid-gap of silicon lead to higher than desirable threshold voltages. The standard way to reduce threshold voltage is to decrease the channel doping of the device; however, this leads to degraded short channel control. The net result is that metal gate electrodes with workfunctions near the mid-gap of silicon do not have a device design point for FETs with a low threshold voltage.
  • It is also known in the art that when FUSI is performed on a highly doped n+ polysilicon of a FET gate (nFET), the resultant FUSI gate electrode may have a workfunction value that is operational. However, performing FUSI on a FET gate with highly doped p+ polysilicon (pFET) may not necessarily create a workfunction value that is compatible or desirable for the intended devices.
  • For instance, with some state of the art FET devices, the magnitude of threshold voltages with highly doped n+ polysilicon electrodes may range from, for example, 0.15V-0.55 V depending upon the type of technology used. A threshold voltage is known to determine when a CMOS-FET turns on and/or off. Lower magnitudes of threshold voltage may create a FET with higher current and high power consumption while higher magnitudes of threshold voltage may result in a FET with lower current and lower power consumption. For example, magnitude of threshold voltage for a high performance device may be as low as 0.15V while for low power devices the threshold voltage may be as high as 0.55V. In general, multiple-threshold voltages are needed in semiconductor technology to provide flexibility in design for low-power, high-performance, and mixed-signal applications.
  • Applying FUSI using known methods may increase the magnitude of threshold voltage by around 250 mV to 500 mV due to changes in the workfunction of the gate electrode. This increase in threshold is often not desirable for FET devices that require low magnitudes of threshold voltage to achieve high performance. It is possible to apply FUSI to a FET device while maintaining a desired magnitude of threshold voltage by, for example, decreasing a doping concentration in a channel region of the substrate. This is because decreasing the channel doping may decrease the magnitude of the threshold voltage, countering the increase due to the application of FUSI. Nevertheless, decreasing the channel doping to a critical level may create a FET that does not function properly. If the substrate doping is too low then the source/drain regions may form a short circuit that may no longer be controllable by the gate electrode. This could lead to a FET that cannot be turned off and thus becomes useless. Specifically, FETs with already low magnitudes of threshold voltages (0.15V-0.25V) are not compatible with current state of the art FUSI. Using FUSI gate electrodes on these devices would result in FETs that cannot be turned off due to the reduction of substrate doping required in order to achieve the desired low magnitude of threshold voltage. However, FETs that have threshold voltages in the 0.3V-0.55V range are compatible with FUSI gate electrodes because the substrate doping is relatively high for these FETs when polysilicon gate electrodes are used. Using FUSI gate electrodes on FETs with threshold voltages in the range of 0.3V-0.55V may be achieved by decreasing the substrate doping to account for the 250 mV-500 mV increase caused by the change in FUSI gate electrode workfunction.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will be understood and appreciated more fully from the following detailed description of the invention, taken in conjunction with the accompanying drawings of which:
  • FIGS. 1-8 are simplified illustrations of methods of selectively forming fully silicided gate electrodes according to various embodiments of the invention.
  • It will be appreciated that for simplicity and clarity of illustration, elements shown in the drawings have not necessarily been drawn to scale. For example, the dimensions of some of the elements may be exaggerated relative to other elements for clarity.
  • SUMMARY OF THE INVENTION
  • There is a need to form fully silicided gate electrodes selectively on a semiconductor device. The present invention provides a method of forming fully silicided (FUSI) gate electrodes in selected device regions of an integrated circuit. For example, the present invention may enable FUSI gate electrodes be selectively formed on FET gate devices that require threshold voltages of a workfunction in 0.3V-0.5V range, where FUSI induced increase in threshold voltage may be accommodated by reduction in doping density of the substrate, while avoiding formation of FUSI gate electrode in other devices where FUSI is not desirable due to, for example, already low threshold voltage. In addition, the present invention forms FUSI gate electrodes during a source/drain silicidation process. The use of FUSI gate electrode may possibly reduce or eliminate the gate depletion and therefore increases the current drive of transistors.
  • One embodiment of the invention provides a method for selectively forming fully silicided (FUSI) gate electrode on gate dielectric. The method includes forming first and second sets of gate devices on a substrate; covering one or more of the first set of gate devices with a protective masking layer; removing selectively a Ge-containing silicon layer in a gate stack of one or more of the second set of gate devices to expose a silicon layer formed directly on top of a gate dielectric layer; removing the protective masking layer on the one or more first set of gate devices; covering the first and second sets of gate devices, including the exposed silicon layer, with a metal-containing layer; and annealing the first and second sets of gate devices to form selectively FUSI gate electrode directly on top of the gate dielectric layer of the one or more second set of gate devices.
  • According to one embodiment, the annealing includes subjecting the first and second sets of gate devices to a high temperature environment of about 400° C. to about 900° C., preferably from about 420° C. to about 700° C., a gas ambient including He, Ar, or N2, and to a process of rapid thermal annealing, spike annealing, or laser annealing in a time period from about 1 second to about 120 seconds. The silicon layer forming the FUSI gate electrode has a thickness in the range from about 10 nm to about 50 nm, and preferably between about 15 nm and about 30 nm.
  • According to another embodiment, the annealing also includes siliciding the source/drain regions of the first and second sets of gate devices, and at most a portion of a Ge-containing silicon layer in a gate stack of the one or more first set of gate devices.
  • Another embodiment of the invention provides a method for forming (FUSI) gate electrode for one or more n-type FETs (field-effect-transistors) on a semiconductor substrate, the semiconductor substrate includes one or more p-type FETs. The method includes covering the one or more p-type FETs with a protective masking layer; removing a Ge-containing silicon layer in a gate stack of the one or more n-type FETs to expose a silicon layer formed directly on top of a gate dielectric layer of the one or more n-type FETs; removing the protective masking layer on the one or more p-type FETs; covering the one or more p-type and n-type FETs, including the exposed silicon layer of the one or more n-type FETs, with a metal-containing layer; and annealing the one or more p-type and n-type FETs to form selectively the FUSI gate electrode directly on top of the gate dielectric layer of the one or more n-type FETs.
  • Yet, another embodiment of the invention provides a semiconductor device that includes one or more p-type field-effect-transistors (FETs) and one or more n-type FETs, wherein at least one of the n-type FETs includes a fully silicided (FUSI) gate electrode formed directly on top of a gate dielectric, the FUSI gate electrode having a thickness in the range between about 10 nm and about 50 nm, and wherein the one or more p-type FETs includes at most partially silicided gate electrode.
  • DETAILED DESCRIPTION OF THE INVENTION
  • In the following detailed description, numerous specific details are set forth, such as particular structures, components, materials, dimensions, processing steps and/or techniques, in order to provide a thorough understanding of the present invention. However, it will be appreciated by a person of ordinary skill in the art that the present invention may be practiced without these specific details. In other instances, well-known structures and/or processing steps may not have been described in detail in order to avoid obscuring the description of the invention.
  • The present invention provides a method of forming fully silicided (FUSI) gate electrodes in selected device regions of an integrated circuit. For example, the present invention may enable FUSI gate electrodes be selectively formed on FET gate devices that require threshold voltages in the 0.3V-0.5V range, where FUSI induced increase in threshold voltage may be accommodated by reduction in doping density of the substrate, while avoiding formation of FUSI gate electrode in other devices where FUSI is not desirable due to, for example, much lower threshold voltage. In addition, the present invention forms FUSI gate electrodes during a source/drain silicidation process. The use of FUSI gate electrode may possibly reduce or eliminate gate depletion and thus increases the drive current of transistors such as field-effect-transistors (FETs).
  • FIG. 1 is a simplified illustration of a method of selectively forming fully silicided gate electrodes according to one embodiment of the invention. A semiconductor substrate 100 may be provided that may include two device regions such as, for example, device regions 111 and 112. Other numbers of device regions may be used. A device region may be a region or area of a substrate pre-determined or pre-allocated whereon at least one semiconductor device may be formed. Different device regions, e.g., device regions 111 and 112, may be distinguished by the types of devices to be formed subsequently thereon as well as the types of dopants associated with the devices to be implanted therein. For example, a p+ type dopant may be implanted in device region 111 to form a region of substrate thereon a p-type FET (pFET) device, which uses holes as charge carriers, may be formed or produced. Similarly, an n+ type dopant may be implanted in device region 112 to form a region of substrate thereon an n-type FET (nFET) device, which uses electrons as charge carriers, may be formed or produced.
  • According to one embodiment of the invention, full silicidation (FUSI) of gate electrodes may be performed or conducted selectively on certain types of devices. For example, FUSI may be performed on an nFET device, which is to be formed in device region 112, but not on a pFET device, which is to be formed in device region 111, as described below in detail with reference to FIGS. 4-8. It will be appreciated by a person skilled in the art that in FIG. 1, device regions 112 and 111 (one to perform FUSI and the other not) are for illustration purpose, and the present invention may be selectively applied to any device or device region(s). For example, FUSI may be performed in device region 111 but not in device region 112. In addition, device region 111 may be a region where nFET device(s) may be formed, instead of pFET device(s), and device region 112 may be a region where pFET device(s) may be formed, instead of nFET device(s).
  • Materials of substrate 100 may include any types of semiconductors such as, for example, Si, SiGe, SiGeC, SiC, Ge alloys, GaAs, InAs, InP and other III/V or II/VI compound semiconductors. Substrate 100 may also include layered semiconductors such as, for example, Si/SiGe, Si/SiC, silicon-on-insulators (SOIs) or silicon germanium-on-insulators (SGOIs). Substrate 100 may be doped, undoped or contain both doped and undoped regions therein, and may be strained, unstrained or contain both strained and unstrained regions therein. Furthermore, substrate 100 may have a single crystal orientation or may be a hybrid semiconductor substrate having different crystal orientations.
  • According to one embodiment, device regions 111 and 112, for example, may be electrically isolated from each other by one or more field oxide isolation regions and/or shallow trench isolation (STI) regions formed within substrate 100. For example, STI regions 121, 122, and 123 may be formed or created to define device regions 111 and 112. The creation or formation of STI regions 121, 122, and 123 may be through well-known semiconductor processing technologies. For example, STI regions 121, 122, and 123 may be formed through a combination of steps of lithography and etching to first create trench openings in substrate 100, subsequently fill the openings with trench dielectric, for example oxide, through a chemical vapor deposition (CVD) process, and then planarize the surface of substrate 100 through for example a chemical mechanical planarization (CMP) process.
  • FIG. 2 is a simplified illustration of a method of selectively forming fully silicided gate electrodes according to another embodiment of the invention. Following the formation of STI regions 121, 122, and 123 as illustrated in FIG. 1, a layer of gate dielectric 211 may be formed on top of substrate 100 that covers device regions 111 and 112. Gate dielectric 211 may be formed following well-known processes such as oxidation, CVD and/or plasma-assisted CVD, atomic layer and/or pulsed deposition (ALD or ALPD), evaporation, reactive sputtering, chemical deposition or other like processes and/or combinations thereof.
  • Gate dielectric 211 may be formed to have a thickness ranging from 1 to 3 nanometers (nm). Although a thickness of gate dielectric 211 around 1 nm is typical and/or more preferred, the invention is not limited in this respect and other thickness may be used. Materials of gate dielectric 211 may include, for example, silicon dioxide SiO2, silicon oxynitride SiON, HfO2, HfSiON and other materials that may be suitable for the gate as they are known in the art.
  • According to one embodiment, a thin layer of silicon 212 may be subsequently formed directly on top of gate dielectric layer 211. The formation of silicon layer 212 may be through, for example, CVD or other well-known and suitable semiconductor processes. According to one embodiment, silicon layer 212 may be deposited to have a thickness ranging from 10 nm to 50 nm and a range of 15 nm to 30 nm is generally preferred. Other thickness of silicon layer 212 may be used as well.
  • FIG. 3 is a simplified illustration of a method of selectively forming fully silicided gate electrodes according to yet another embodiment of the invention. Following the formation of silicon layer 212 as illustrated in FIG. 2, a layer of Ge-containing semiconductor layer 311 may be deposited on top of silicon layer 212. Concentration of Ge in semiconductor layer 311, which may be a silicon alloy layer of SiGe for example, may be relatively high in the range of 15 to 50 atomic percent and preferably in the range from about 30 to about 40 atomic percent. However, the present invention is not limited in this respect and other levels of Ge concentration such as, for example, a concentration of up to 99 atomic percent may be used. It is noted that the above concentration levels of Ge in the Ge-containing layer 311 may ensure that the Ge-containing layer 311 may be etched away, in a subsequent selective etching process, as compared to the underlying silicon layer 212. According to one embodiment, the thickness of the Ge-containing layer 311 may range from 50 nm to 100 nm with a preferred range from 70 nm to 85 nm. Other thickness of Ge-containing layer 311 may be used. In addition, the SiGe alloys may be single-crystal, amorphous or polycrystalline, with polycrystalline being highly preferred.
  • FIG. 4 is a simplified illustration of a method of selectively forming fully silicided gate electrodes according to a further embodiment of the invention. Following the formation of Ge-containing silicon layer 311 as illustrated in FIG. 3, at least one gate device is formed in each device region. For example, a pFET gate device 411 in device region 111 and an nFET gate device 412 in device region 112 may be formed. The formation of gate devices 411 and 412 may be through a combination of well-known semiconductor processing techniques for CMOS including, but not limited to, photo-lithography, etching, and deposition. A photo-lithography process may include steps of, for example, applying a photoresist material to the Ge-containing layer 311, exposing the photoresist material to a pattern of radiation, and developing the exposed photoresist in a conventional resist developer to make a photo-mask (not shown). After forming the photo-mask to protect gate stacks 421 and 422 which may include a portion of Ge-containing layer 311, silicon layer 212, and gate dielectric layer 211, the rest of layers 311, 212, and 211 in areas not protected by the photo-mask may be etched away. As a result, gate stack 421 may be formed to include Ge-containing layer 311 a, silicon layer 212 a, and gate dielectric layer 211 a, and gate stack 422 may be formed to include Ge-containing layer 311 b, silicon layer 212 b, and gate dielectric layer 211 b.
  • The etching may typically be performed utilizing a dry etching process such as a reactive-ion-etching (RIE), ion beam etching, or plasma etching, to name a few. However, the invention is not limited in this respect and other etching processes and/or methods such as a chemical wet etching process may be used. After forming gate stacks 421 and 422, spacers, such as spacers 431, 432, 433 and 434, may be formed on exposed sidewalls of the patterned gate stacks through processes such as a CVD deposition process followed by an etching process. Material of spacers 431, 432, 433 and 434 may include, for example, oxide, nitride, oxynitride, and/or any combination thereof. The width of spacers 431, 432, 433 and 434 may be formed sufficiently wide so as to prevent silicided contacts, to be formed subsequently on the source/drain regions of gate devices 411 and 412, from encroaching underneath the edge of the patterned gate stacks. For example, spacers 431 and 432 may be formed around gate stack 421 to provide isolation between the gate electrode (to be formed later) of gate stack 421 and source/drain regions next to spacers 431 and 432 in device region 111. The same is true for spacers 433 and 434 formed around gate stack 422.
  • Following the formation of gate stacks 421 and 422 and surrounding spacers 431, 432, 433 and 434, source/drain diffusion regions, for example diffusion regions 441, 442, 443 and 444, may be formed through an ion implantation process. Gate stacks 421 and 422, together with surrounding spacers 431, 432, 433 and 434, may serve as implantation masks in the formation of source/ drain diffusion regions 441, 442, 443 and 444. The ion implantation process may be followed immediately by an annealing process although the annealing process may be preferably performed at a later stage, after the removal of Ge-containing layer 311 b of gate stack 422 as described below in detail, in order to avoid, eliminate, and/or minimize possible Ge diffusion into silicon layer 212 b. The annealing step serves to activate the dopants that are implanted during the ion implantation step. Temperature conditions for ion implantation and annealing are well known to those skilled in the art and generally range from 900° C. to 1300° C. depending on the annealing tool and technologies used. Annealing temperatures in the 1000° C.-1100° C. for less than one (1) second are generally preferred.
  • FIG. 5 is a simplified illustration of a method of selectively forming fully silicided gate electrodes according to one more embodiment of the invention. Following the formation of gate devices 411 and 412 as illustrated in FIG. 4, a protective masking layer 511, which may be a layer of silicon oxide or silicon oxynitride or other suitable material, may be deposited or formed to cover gate devices that are not intended to undergo or experience the FUSI process. In other words, conducting FUSI on such gate devices may create undesirable performances and in some cases may cause such devices to not function properly or not function at all. Such devices may include certain types of nFET gates with low threshold voltages. Certain type of pFET gates may also not be suitable for FUSI since their workfunction may be difficult to be modulated by a FUSI process.
  • FIG. 6 is a simplified illustration of a method of selectively forming fully silicided gate electrode according to one further embodiment of the invention. Following the formation of protective masking layer 511 as illustrated in FIG. 5, Ge-containing silicon layer 311 b in gate stack 422 of nFET gate 412 may be selectively removed through an etching process such as, for example, a RIE. Since gate device 411, which is a pFET gate, is protected by masking layer 511, the process of removing Ge-containing silicon layer 311 b may not cause impact to structure and therefore performance of gate device 411. The removal of Ge-containing silicon layer 311 b prepares gate device 412 for a further step of processing such as a fully silicidation processing.
  • After removing Ge-containing silicon layer 311 b at the top of gate stack 422, substrate 100 may undergo an annealing process, if having not been thermally processed as described above with reference to FIG. 4 after the ion implantation or in addition to, to activate the ions implanted into source/ drain diffusion regions 441, 442, 443 and 444. As described above, through performing the annealing process after the removal of Ge-containing silicon layer 311 b, silicon layer 212 b may be better controlled to have a thickness that is desirable for the full silicidation of silicon layer 212 b, since there will be no Ge diffusion from Ge-containing layer 311 b, which would occur otherwise should the annealing process takes place before Ge-containing layer 311 b is removed.
  • After removing Ge-containing layer 311 b and activating ions implanted in source/ drain regions 441, 442, 443 and 444 through the annealing process, protective masking layer 511 may be selectively removed or lifted through, for example, a wet etching process although other removal processes may be used as well.
  • FIG. 7 is a simplified illustration of a method of selectively forming fully silicided gate electrodes according to yet one more embodiment of the invention. Following the removal of Ge-containing silicon layer 311 b as shown in FIG. 6, a metal or metal-containing layer 711 may be deposited to cover the exposed silicon layer 212 b of gate device or structure 412 and gate stack 421 of gate device or structure 411, among others. This metal or metal-containing layer 711 may be formed by applying one of the conventional deposition processes including, but not limited to, sputtering, plating, CVD, atomic layer deposition (ALD) or chemical solution deposition. Metal or metal-containing layer 711 may include at least one metal element capable of forming a metal silicide when being in contact with silicon and subjected to an annealing process. Suitable metals include, but are not limited to, Co, Ni, Ti, W, Mo, Ta, Pt, Er, Yb and alloys or multi-layers thereof. Preferred metals include Ni, Co and Ti, with Ni being highly preferred.
  • In one embodiment, metal-containing layer 711 used in forming a metal silicide may include at least one alloying additive in an amount of up to 50 atomic weight percent. The alloying additive, when present, may be formed at the same time as that of the metal-containing layer 711, or it may be introduced into an as-deposited metal-containing layer utilizing some well-known techniques such as, for example, ion implantation or gas phase doping. Examples of alloying additives may include C, Al, Si, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Ge, Y, Zr, Nb, Mo, Ru, Rh, Pd, In, Sn, La, Hf, Ta, W, Re, Ir, Pt, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Er and mixtures thereof. A person skilled in the art may appreciate that the above may not be an exclusive list of all the alloying additives and other additives may be used.
  • FIG. 8 is a simplified illustration of a method of selectively forming fully silicided gate electrodes according to an additional embodiment of the invention. Following the deposition of metal or metal-containing layer 711 as illustrated in FIG. 7, FUSI is performed on gate stack 422 to form electrode of gate device 412. The FUSI may be an annealing process conducted or performed in a high temperature environment typically from 400° to 900° C. In addition, the annealing process may be preferably conducted in a temperature range from about 420° to about 700° C. The annealing process may be conducted for various lengths of time depending on the type of annealing process used. For example, furnace annealing may be performed for longer lengths of time than are rapid thermal annealing, spike annealing or laser annealing. Typically, a rapid thermal annealing is performed for a time period of from about 1 to about 120 seconds. A person skilled in the art will understand that other temperatures and time periods may be employed so long as the conditions are capable of causing the formation of fully silicide gate electrode 812, which may be converted from silicon layer 212 b (FIG. 6). The annealing process is typically carried out in a gas ambient that includes He, Ar, N2 or a forming gas. As is illustrated in FIG. 8, source/drain regions of gate devices 411 and 412 are also silicided during the FUSI process of gate stack 422. For example, after the FUSI process, gate device 411 may include silicided source/ drain regions 821 and 822, and gate device 412 may have silicided source/ drain regions 823 and 824. However, according to one embodiment of the invention, only a portion of Ge-containing silicon layer 311 a in gate device 411 is silicided to form a silicide 811. In other words, gate stack 421 is protected from full silicidation and FUSI is only performed on gate stack 422 of gate device 412.
  • Following FUSI on gate stack 422 of gate device 412, metal or metal-containing layer 711 may be removed by applying selective etching as is known in the art. The underlying gate devices or structures 411 and 412, with partially silicided gate stack 421 and fully silicidated gate stack 422 with FUSI electrode 812, and silicided source/ drain regions 821, 822, 823, and 824 are then exposed. FUSI electrode 812 has a low profile of less electrode area. The thinner FUSI electrode may reduce possible sidewall parasitic capacitance.
  • While certain features of the invention have been illustrated and described herein, many modifications, substitutions, changes, and equivalents will now occur to those of ordinary skill in the art. It is, therefore, to be understood that the appended claims are intended to cover all such modifications and changes as fall within the spirit of the invention.

Claims (15)

1-27. (canceled)
28. A semiconductor device comprising:
one or more p-type field-effect-transistors (FETs); and
one or more n-type FETs,
wherein at least one of said n-type FETs comprises a gate dielectric and a fully silicided (FUSI) gate electrode formed directly on top of said gate dielectric, said FUSI gate electrode having a thickness in the range between about 10 nm and about 50 nm, and wherein said one or more p-type FETs comprises a gate electrode that is at most partially but not fully silicided.
29. The semiconductor device of claim 28, wherein said FUSI gate electrode comprises a metal element selected from the group consisting of Ni, Co, and Ti with Ni being preferable and said one or more n-type and p-type FETs comprises source and drain regions that are silicided with said metal element.
30. The semiconductor device of claim 28, wherein said FUSI gate electrode is formed directly on top of and in contact with said gate dielectrics said gate dielectric comprising one of silicon dioxide and silicon oxynitride, said gate dielectric having a thickness between about 1 nm and about 3 nm.
31. The semiconductor device of claim 28, wherein said one or more p-type FETs further comprises a gate dielectric, and said gate electrode of said one or more p-type FETs comprises a silicon layer formed directly on top of said gate dielectric of said one or more p-type FETs, said silicon layer being not silicided.
32. The semiconductor device of claim 28, wherein said FUSI gate electrode has a thickness between about 15 nm and about 30 nm.
33. A semiconductor device comprising:
at least one of a first type of transistors; and
at least one of a second type of transistors,
wherein said one of the first type of transistors includes a fully silicided (FUSI) gate electrode and a gate dielectric, said FUSI gate electrode being formed directly on top of and in contact with said gate dielectric, said FUSI gate electrode having a thickness ranging from about 15 nm to about 30 nm, and wherein said one of the second type of transistors includes a gate electrode which has at least a part that is not silicided.
34. The semiconductor device of claim 33, wherein said first type of transistors is a n-type field-effect-transistor and said second type of transistors is a p-type field-effect-transistor.
35. The semiconductor device of claim 33, wherein said FUSI gate electrode comprises at least one metal element, said metal element being selected from the group consisting of Ni, Co, and Ti with Ni being preferable and said transistors of said first and second types comprise source and drain regions silicided with said metal element.
36. The semiconductor device of claim 33, wherein said gate dielectric is selected from silicon dioxide (SiO2), silicon oxynitride (SiON), HfO2, or HfSiON.
37. The semiconductor device of claim 33, wherein said gate dielectric has a thickness between about 1 nm and about 3 nm.
38. The semiconductor device of claim 33, wherein said gate dielectric has a thickness of about 2 nm.
39. The semiconductor device of claim 33, wherein said part of gate electrode of said one of the second type of transistors, which is not silicided, comprises a silicon layer formed on top of a gate dielectric of said one of the second type of transistors.
40. A semiconductor device comprising:
one n-type field-effect-transistor (FET) that comprises a gate dielectric and a fully silicided (FUSI) gate electrode formed directly on top of said gate dielectric; and
one p-type FET that comprises a gate electrode which is at most partially but not fully silicided, said gate electrode comprising a non-silicided silicon layer,
wherein said FUSI gate electrode having a thickness in the range between about 15 nm and about 30 nm; comprising a metal element selected from the group consisting of Ni, Co, and Ti with Ni being preferable, and
wherein said gate dielectric one of silicon dioxide and silicon oxynitride, said gate dielectric having a thickness between about 1 nm and about 3 nm.
41. The semiconductor device of claim 40, wherein said n-type and p-type FETs comprises source and drain regions that are silicided with said metal element.
US11/830,312 2006-07-28 2007-07-30 Fully Silicided Gate Electrodes and Method of Making the Same Abandoned US20080023778A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/830,312 US20080023778A1 (en) 2006-07-28 2007-07-30 Fully Silicided Gate Electrodes and Method of Making the Same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/460,762 US7297618B1 (en) 2006-07-28 2006-07-28 Fully silicided gate electrodes and method of making the same
US11/830,312 US20080023778A1 (en) 2006-07-28 2007-07-30 Fully Silicided Gate Electrodes and Method of Making the Same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/460,762 Division US7297618B1 (en) 2006-07-28 2006-07-28 Fully silicided gate electrodes and method of making the same

Publications (1)

Publication Number Publication Date
US20080023778A1 true US20080023778A1 (en) 2008-01-31

Family

ID=38690897

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/460,762 Expired - Fee Related US7297618B1 (en) 2006-07-28 2006-07-28 Fully silicided gate electrodes and method of making the same
US11/830,312 Abandoned US20080023778A1 (en) 2006-07-28 2007-07-30 Fully Silicided Gate Electrodes and Method of Making the Same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/460,762 Expired - Fee Related US7297618B1 (en) 2006-07-28 2006-07-28 Fully silicided gate electrodes and method of making the same

Country Status (5)

Country Link
US (2) US7297618B1 (en)
JP (1) JP2009545168A (en)
CN (1) CN101496154B (en)
TW (1) TW200822236A (en)
WO (1) WO2008014038A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100078733A1 (en) * 2008-09-26 2010-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor performance improving method with metal gate
US20110115047A1 (en) * 2009-11-13 2011-05-19 Francois Hebert Semiconductor process using mask openings of varying widths to form two or more device structures
US8546214B2 (en) 2010-04-22 2013-10-01 Sandisk Technologies Inc. P-type control gate in non-volatile storage and methods for forming same
US8748285B2 (en) * 2011-11-28 2014-06-10 International Business Machines Corporation Noble gas implantation region in top silicon layer of semiconductor-on-insulator substrate
US9202761B2 (en) 2011-10-11 2015-12-01 Renesas Electronics Corporation Semiconductor integrated circuit device and manufacturing method for semiconductor integrated circuit device
US11384954B2 (en) 2018-02-06 2022-07-12 Mitsubishi Electric Corporation Data collecting device, data collecting system, control method and program

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7459382B2 (en) * 2006-03-24 2008-12-02 International Business Machines Corporation Field effect device with reduced thickness gate
US20080203485A1 (en) * 2007-02-28 2008-08-28 International Business Machines Corporation Strained metal gate structure for cmos devices with improved channel mobility and methods of forming the same
US20090134469A1 (en) * 2007-11-28 2009-05-28 Interuniversitair Microelektronica Centrum (Imec) Vzw Method of manufacturing a semiconductor device with dual fully silicided gate
KR20100076223A (en) * 2008-12-26 2010-07-06 주식회사 동부하이텍 Pmos transistor and manufacturing method thereof
US8680629B2 (en) * 2009-06-03 2014-03-25 International Business Machines Corporation Control of flatband voltages and threshold voltages in high-k metal gate stacks and structures for CMOS devices
JP5332947B2 (en) * 2009-06-25 2013-11-06 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US8274116B2 (en) * 2009-11-16 2012-09-25 International Business Machines Corporation Control of threshold voltages in high-k metal gate stack and structures for CMOS devices
US8754483B2 (en) 2011-06-27 2014-06-17 International Business Machines Corporation Low-profile local interconnect and method of making the same
CN103094086B (en) * 2011-10-31 2016-05-25 中芯国际集成电路制造(上海)有限公司 CMOS formation method
CN104064448B (en) * 2014-07-16 2017-07-25 上海集成电路研发中心有限公司 The manufacture method of SiGe source /drain region

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020072182A1 (en) * 2000-12-12 2002-06-13 Samsung Electronics Co., Ltd. Method of forming germanium doped polycrystalline silicon gate of MOS transistor and method of forming CMOS transistor device using the same
US6562718B1 (en) * 2000-12-06 2003-05-13 Advanced Micro Devices, Inc. Process for forming fully silicided gates
US20050280104A1 (en) * 2004-06-17 2005-12-22 Hong-Jyh Li CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
US6995438B1 (en) * 2003-10-01 2006-02-07 Advanced Micro Devices, Inc. Semiconductor device with fully silicided source/drain and damascence metal gate
US20060105557A1 (en) * 2004-11-12 2006-05-18 Veit Klee Method of making fully silicided gate electrode
US20060134870A1 (en) * 2004-12-20 2006-06-22 Hongfa Luan Transistor device and method of manufacture thereof
US7148097B2 (en) * 2005-03-07 2006-12-12 Texas Instruments Incorporated Integrated circuit containing polysilicon gate transistors and fully silicidized metal gate transistors

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6599831B1 (en) 2002-04-30 2003-07-29 Advanced Micro Devices, Inc. Metal gate electrode using silicidation and method of formation thereof
US6809018B2 (en) * 2002-07-11 2004-10-26 Macronix International Co., Ltd. Dual salicides for integrated circuits
US6846734B2 (en) * 2002-11-20 2005-01-25 International Business Machines Corporation Method and process to make multiple-threshold metal gates CMOS technology
WO2004057659A1 (en) * 2002-12-20 2004-07-08 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device and semiconductor device obtained with such a method
US7078347B2 (en) * 2003-03-06 2006-07-18 Texas Instruments Incorporated Method for forming MOS transistors with improved sidewall structures
US6927117B2 (en) * 2003-12-02 2005-08-09 International Business Machines Corporation Method for integration of silicide contacts and silicide gate metals
JP2005228761A (en) * 2004-02-10 2005-08-25 Rohm Co Ltd Semiconductor device and its manufacturing method
JP4457688B2 (en) * 2004-02-12 2010-04-28 ソニー株式会社 Semiconductor device
JP2005353655A (en) * 2004-06-08 2005-12-22 Sanyo Electric Co Ltd Manufacturing method of semiconductor device
EP1782466A2 (en) * 2004-08-13 2007-05-09 Koninklijke Philips Electronics N.V. Dual gate cmos fabrication
JP4181537B2 (en) * 2004-11-12 2008-11-19 株式会社東芝 Semiconductor device and manufacturing method thereof
JP5015446B2 (en) * 2005-05-16 2012-08-29 アイメック Method for forming double fully silicided gates and device obtained by said method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6562718B1 (en) * 2000-12-06 2003-05-13 Advanced Micro Devices, Inc. Process for forming fully silicided gates
US20020072182A1 (en) * 2000-12-12 2002-06-13 Samsung Electronics Co., Ltd. Method of forming germanium doped polycrystalline silicon gate of MOS transistor and method of forming CMOS transistor device using the same
US6995438B1 (en) * 2003-10-01 2006-02-07 Advanced Micro Devices, Inc. Semiconductor device with fully silicided source/drain and damascence metal gate
US20050280104A1 (en) * 2004-06-17 2005-12-22 Hong-Jyh Li CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
US20060105557A1 (en) * 2004-11-12 2006-05-18 Veit Klee Method of making fully silicided gate electrode
US20060134870A1 (en) * 2004-12-20 2006-06-22 Hongfa Luan Transistor device and method of manufacture thereof
US7148097B2 (en) * 2005-03-07 2006-12-12 Texas Instruments Incorporated Integrated circuit containing polysilicon gate transistors and fully silicidized metal gate transistors

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100078733A1 (en) * 2008-09-26 2010-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor performance improving method with metal gate
US8012817B2 (en) * 2008-09-26 2011-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor performance improving method with metal gate
US8357581B2 (en) 2008-09-26 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor performance improving method with metal gate
US20110115047A1 (en) * 2009-11-13 2011-05-19 Francois Hebert Semiconductor process using mask openings of varying widths to form two or more device structures
US8546214B2 (en) 2010-04-22 2013-10-01 Sandisk Technologies Inc. P-type control gate in non-volatile storage and methods for forming same
US8803220B2 (en) 2010-04-22 2014-08-12 Sandisk Technologies Inc. P-type control gate in non-volatile storage
US9202761B2 (en) 2011-10-11 2015-12-01 Renesas Electronics Corporation Semiconductor integrated circuit device and manufacturing method for semiconductor integrated circuit device
US10056406B2 (en) 2011-10-11 2018-08-21 Renesas Electronics Corporation Semiconductor integrated circuit device comprising MISFETs in SOI and bulk subtrate regions
US10263012B2 (en) 2011-10-11 2019-04-16 Renesas Electronics Corporation Semiconductor integrated circuit device comprising MISFETs in SOI and bulk substrate regions
US8748285B2 (en) * 2011-11-28 2014-06-10 International Business Machines Corporation Noble gas implantation region in top silicon layer of semiconductor-on-insulator substrate
US11384954B2 (en) 2018-02-06 2022-07-12 Mitsubishi Electric Corporation Data collecting device, data collecting system, control method and program

Also Published As

Publication number Publication date
JP2009545168A (en) 2009-12-17
CN101496154A (en) 2009-07-29
CN101496154B (en) 2011-04-20
US7297618B1 (en) 2007-11-20
TW200822236A (en) 2008-05-16
WO2008014038A1 (en) 2008-01-31

Similar Documents

Publication Publication Date Title
US7297618B1 (en) Fully silicided gate electrodes and method of making the same
US8865539B2 (en) Fully depleted SOI multiple threshold voltage application
US7488656B2 (en) Removal of charged defects from metal oxide-gate stacks
US7229873B2 (en) Process for manufacturing dual work function metal gates in a microelectronics device
US7704844B2 (en) High performance MOSFET
US8110897B2 (en) Semiconductor device with carbon-containing region
US8525263B2 (en) Programmable high-k/metal gate memory device
US20060170047A1 (en) Semiconductor device and method of manufacturing the same
EP1872407A2 (en) Using metal/metal nitride bilayers as gate electrodes in self-aligned aggressively scaled cmos devices
US20070275532A1 (en) Optimized deep source/drain junctions with thin poly gate in a field effect transistor
WO2007016514A2 (en) Metal gate mosfet by full semiconductor metal alloy conversion
US7605077B2 (en) Dual metal integration scheme based on full silicidation of the gate electrode
US7655551B2 (en) Control of poly-Si depletion in CMOS via gas phase doping
US6987061B2 (en) Dual salicide process for optimum performance
US7491598B2 (en) CMOS circuits including a passive element having a low end resistance
US7847356B2 (en) Metal gate high-K devices having a layer comprised of amorphous silicon
US7473607B2 (en) Method of manufacturing a multi-workfunction gates for a CMOS circuit
KR20100138973A (en) A semiconductor device comprising a metal gate stack of reduced height and method of forming the same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910