US20070066021A1 - Formation of gate dielectrics with uniform nitrogen distribution - Google Patents

Formation of gate dielectrics with uniform nitrogen distribution Download PDF

Info

Publication number
US20070066021A1
US20070066021A1 US11/229,115 US22911505A US2007066021A1 US 20070066021 A1 US20070066021 A1 US 20070066021A1 US 22911505 A US22911505 A US 22911505A US 2007066021 A1 US2007066021 A1 US 2007066021A1
Authority
US
United States
Prior art keywords
dielectric layer
recited
nitrided
nitrogen
ranging
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/229,115
Inventor
Hiroaki Niimi
Reima Laaksonen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Priority to US11/229,115 priority Critical patent/US20070066021A1/en
Assigned to TEXAS INSTRUMENTS INC. reassignment TEXAS INSTRUMENTS INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LAAKSONEN, REIMA T., NIIMI, HIROAKI
Publication of US20070066021A1 publication Critical patent/US20070066021A1/en
Priority to US13/236,121 priority patent/US8492291B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Definitions

  • the present invention is directed, in general, to a method of forming a gate dielectric, and in particular, a method of forming a nitrided gate dielectric with a uniform distribution of nitrogen in the bulk thereof.
  • DGO dual gate oxide
  • One motivation for performing dual gate oxide processing is that high performance transistors typically operate at lower voltages (e.g., 0.8 volts to 1.5 volts), and thus require thinner gate dielectric regions, whereas devices that interface with most conventional external peripherals typically require higher operating voltages (e.g., 1.8 volts to 3.5 volts), and thus require thicker gate dielectric regions.
  • I/O buffers of the integrated circuit are typically designed to contain thicker gate dielectric regions that are compatible with the higher external peripheral device voltages.
  • MCUs current microcontroller units
  • DSPs digital signal processors
  • SRAM static random access memory
  • NVM nonvolatile memory
  • DRAM embedded dynamic random access memory
  • Many of these devices require different gate dielectric processing and different gate dielectric thicknesses to provide both high performance lower voltage devices within the core of the device and higher voltage I/O devices to interface with external peripheral devices.
  • a dual gate thickness structure includes thin gate dielectrics for high performance low voltage operation core devices, and thick gate dielectrics for low leakage high voltage operation I/O devices.
  • High performance devices with thin gate dielectrics are prone to leakage as the gate thicknesses fall below about 1.2 nm.
  • a well-established technique of mitigating the leakage current in a gate dielectric material such as silicon dioxide is to introduce nitrogen into the gate dielectric to raise the dielectric constant. This allows the use of a thicker gate dielectric where a thinner dielectric would ordinarily be needed, providing for less leakage through the gate dielectric.
  • nitridation is also beneficial for the performance of the I/O devices. For example, nitridation serves to suppress certain effects that decrease reliability, such as negative bias temperature instability (NBTI).
  • NBTI negative bias temperature instability
  • One method of nitrogen atom introduction includes performing non-thermal nitridation (e.g., plasma nitridation) on the gate dielectrics.
  • non-thermal nitridation e.g., plasma nitridation
  • this and other methods of introducing the nitrogen atoms into the gate dielectrics are limited in the depth of nitrogen penetration in the gate dielectric material, resulting in non-uniform nitrogen concentration with increasing depth into the gate dielectric material.
  • the non-uniformity, and thus reduced reliability, is particularly significant in thicker gate dielectrics, such as those used in the aforementioned high voltage devices.
  • the present invention provides for a method of manufacturing a gate dielectric that includes providing a nitrided dielectric layer over a substrate, for which the nitrided dielectric layer has a non-uniformity of nitrogen in a bulk of the layer.
  • the nitrided dielectric layer is exposed to oxygen radicals, thereby resulting in a reduction in the non-uniformity of nitrogen.
  • the present invention provides a method for manufacturing an integrated circuit.
  • the method includes providing a nitrided dielectric layer over a substrate, where the nitrided dielectric layer has a non-uniformity of nitrogen in a bulk of the layer.
  • the nitrided dielectric layer is exposed to oxygen radicals, resulting in a reduction in the non-uniformity of nitrogen.
  • the method further includes forming a gate electrode layer over the nitrided dielectric layer, and patterning the gate electrode layer and the nitrided gate dielectric layer to form gate structures over the substrate. Interlevel dielectric layers are formed over the gate structures, and interconnects are formed within the dielectric layers to form an operational integrated circuit.
  • FIG. 1 illustrates a cross-section of a partially fabricated semiconductor device after formation of a dielectric layer on a substrate
  • FIG. 2 illustrates the partially fabricated semiconductor device of FIG. 1 at a later stage of processing, at which the dielectric layer is exposed to a nitridation process
  • FIG. 3 presents a plot of the nitrogen and oxygen concentration in a SiON film produced by plasma nitridation of a thermally grown SiO 2 film;
  • FIG. 4 illustrates the partially fabricated semiconductor device of FIG. 2 at a later stage of processing, in which the nitrided dielectric layer is exposed to oxygen radicals according to the principles of the invention
  • FIG. 5 illustrates a plot of the nitrogen and oxygen concentration in a SiON film exposed to oxygen radicals according to the principles of the invention
  • FIGS. 6 through 8 illustrate the partially fabricated semiconductor device of FIG. 4 at later stages in an exemplary method of manufacturing a semiconductor device according to the principles of the present invention.
  • FIG. 9 illustrates a cross-section of an integrated circuit fabricated using gate dielectrics formed according to the principles of the present invention.
  • FIGS. 1-8 illustrated are cross-sectional views illustrating how one skilled in the art might manufacture a semiconductor device in accordance with the principles of the present invention. While FIGS. 1-8 are specifically directed to the manufacture of a semiconductor device, FIGS. 1-8 also illustrate, in a broad sense, how one skilled in the art might manufacture a gate dielectric with improved nitrogen uniformity, in accordance with the principles of the present invention. Thus, a method for manufacturing a gate dielectric is discussed within the confines of discussing how one skilled in the art might manufacture a semiconductor device with respect to FIGS. 1-8 . Nevertheless, while each of these ideas is discussed and illustrated using a single set of FIGURES, neither should be limiting on the other.
  • FIG. 1 illustrated is a cross-section of a partially fabricated semiconductor device 100 having a substrate 110 and a dielectric layer 120 formed thereover.
  • the dielectric layer 120 is formed conventionally, and may be a silicon dioxide layer.
  • the dielectric layer 120 may be formed by thermal oxidation, though any other suitable method is within the scope of the invention.
  • the substrate 110 may be a conventional semiconductor, and may further be a semiconductor wafer suitable for semiconductor device manufacturing. An example of such a wafer is a 200 mm or 300 mm silicon wafer.
  • substrates 120 are available with many possible variations, including the semiconducting material (e.g., Si and GaAs), doping level, silicon-on-insulator, and substrates upon which an epitaxial layer, such as Si or silicon-germanium (Si—Ge), has been formed.
  • the substrate 110 may have been processed to provide a number of structural features and layers as part of an incomplete device manufacturing flow.
  • isolation structures 130 have been formed as part of a semiconductor device manufacturing flow.
  • the substrate 110 may have been previously processed by implantation of dopants to define NMOS and PMOS regions of the substrate 110 .
  • FIG. 2 illustrated is the partially fabricated semiconductor device 100 of FIG. 1 at a later stage of processing.
  • the dielectric layer 120 of FIG. 1 is exposed to a conventional nitrogen source 210 to produce a nitrided dielectric layer 220 .
  • the nitrogen source 210 may be a nitrogen plasma under conditions suitable to result in the incorporation of nitrogen into the dielectric layer 120 .
  • Those skilled in the pertinent art are familiar with various suitable plasma nitridation processes.
  • the dielectric layer 120 is silicon dioxide, and after exposure to the nitrogen source 210 , the nitrided dielectric layer 220 is silicon oxynitride, or SION.
  • plasma nitridation results in a higher concentration of nitrogen in the portion of the nitrided dielectric layer 220 near the surface, and a lower concentration of nitrogen at some depth from the surface. This is illustrated in FIG. 2 as a nitrogen-rich layer 230 , though those skilled in the art recognize that the nitrogen concentration decreases smoothly with depth of nitrogen penetration of the nitrided dielectric layer 220 .
  • FIG. 3 illustrated is a plot 300 of the nitrogen and oxygen concentration in a SiON film produced by plasma nitridation of a thermally grown SiO 2 film.
  • This SiON film is representative of the nitrided dielectric layer 220 , and will be referred to as such in the discussion of the plot 300 .
  • a nitrogen profile 310 portrayed as squares, shows the measured concentration of nitrogen, [N], with increasing depth in the nitrided dielectric layer 220 .
  • the nitrogen concentration was determined by time-of-flight secondary ion mass spectrometry (ToF-SIMS). The data have been normalized to set the maximum nitrogen concentration to an arbitrary value of unity, so the nitrogen concentration is expressed in arbitrary units (a.u.).
  • the nitrogen profile 310 initially increases to a maximum of 1 a.u. at about 0.3 nm of depth, decreases to a relatively uniform concentration of about 0.4 a.u. between about 1.5 nm to about 3.0 nm, and then decreases to about zero at about 7 nm of depth.
  • An oxygen profile 320 portrayed as triangles, has also been normalized.
  • the oxygen profile 320 shows an initial increase of oxygen concentration that those skilled in the pertinent art appreciate is an artifact of the measurement technique, and may be disregarded in the present discussion.
  • the oxygen profile 320 has a relatively uniform value of between about 0.9 a.u. and about 1.0 a.u. up to about 2.5 nm depth, above which it decreases to zero at about 7 nm.
  • the nitrided dielectric layer 220 may be characterized as having a surface region 330 and a bulk region 340 that may be defined in relation to the surface of the nitrided dielectric layer 220 and the oxygen profile 320 .
  • the surface region 330 is defined to begin at the surface of the nitrided dielectric layer 220 , and extend to a depth about 0.3 nm, as indicated by a line 350 .
  • the bulk region 340 extends from about 0.3 nm until the oxygen profile 320 decreases to about 90% of an average oxygen concentration within the bulk region 340 , as indicated by a line 360 .
  • the thickness of the bulk region will depend on the total thickness of the nitrided dielectric layer 220 .
  • a non-uniformity (N.U.) of the nitrogen concentration in the bulk region 340 may be defined to quantify differences between films.
  • a non-uniformity less than about 25% is considered to be substantially uniform.
  • non-uniformity of the nitrogen concentration of the bulk region 340 of the nitrided dielectric layer 220 in the plot 300 is computed to be about 110%.
  • FIG. 4 illustrated is the partially fabricated semiconductor device 100 of FIG. 2 at a later stage of processing, in which the nitrided dielectric layer 220 is treated according to the principles of the invention.
  • Oxygen radicals 410 are used to reduce the concentration of nitrogen in a portion of the bulk of the nitrided dielectric layer 220 to reduce the non-uniformity of nitrogen.
  • the processing of the nitrided dielectric layer 220 results in the formation of a treated dielectric layer 420 .
  • the oxygen radicals 410 are formed in a manner that results in reduced non-uniformity of nitrogen of the treated dielectric layer 420 while minimizing potentially undesirable effects such as sputtering of the surface.
  • a low-temperature plasma containing oxygen is used to generate the oxygen radicals 410 .
  • the term “low-temperature” in the context of this invention is defined as maintaining the substrate 110 at a temperature ranging from about 200° C. to about 400° C. during exposure to the plasma.
  • the conditions of the plasma are controlled to produce a concentration of oxygen radicals in the plasma sufficient to achieve the objective of reducing the nitrogen concentration near the surface of the nitrided dielectric layer 220 , while minimizing undesirable effects.
  • a microwave-coupled plasma with a pressure ranging from about 5 Pa to about 20 Pa, with an oxygen flow rate ranging from about 5 sccm to about 50 sccm, and an argon flow rate ranging from about 850 sccm to about 1250 sccm.
  • the power of the microwave source is maintained at a value ranging from about 500 W to about 1500 W for a time period ranging from about 5 seconds to about 20 seconds.
  • the oxygen source may be O 2 , N 2 O, NO, or mixture of these gases.
  • argon may be replaced by another gas, such as krypton (Kr) or xenon (Xe).
  • Kr krypton
  • Xe xenon
  • FIG. 5 illustrated is a plot 500 of the normalized nitrogen and oxygen concentration with increasing depth in the SiON film of FIG. 3 having been subjected to the radical oxidation process as described above.
  • This treated film is representative of the treated dielectric layer 420 , and will be referred to as such in the discussion the plot 500 .
  • a nitrogen profile 510 is portrayed as squares, and an oxygen profile 520 is portrayed as triangles.
  • a surface region 530 is defined as that portion of the treated dielectric layer 420 between the surface and a depth of 0.3 nm, as shown by the line 350 .
  • a bulk region 540 is between about 0.3 nm and about 2.8 nm of depth, as denoted by the line 370 .
  • Equation 1 to compute the non-uniformity of the concentration of nitrogen in the bulk region 540 of the treated dielectric layer 420 results in a value of about 22%.
  • the reduced non-uniformity of nitrogen in the treated dielectric layer 420 provides significant improvement over the 110% non-uniformity computed for the nitrided dielectric layer 220 .
  • the lower non-uniformity in the treated dielectric layer 420 can be expected to result in less charge trapping and gate leakage than for the nitrided dielectric layer 220 .
  • semiconductor devices and integrated circuits manufactured according to the principles of the invention can be expected to have increased gate breakdown voltage and operating lifetime.
  • FIG. 6 illustrated is the partially fabricated semiconductor device 100 of FIG. 4 after conventional formation of a gate electrode layer 610 over the treated dielectric layer 420 .
  • the gate electrode layer 610 may be a semiconductor material such as polysilicon or other suitable gate material.
  • a second dielectric layer is formed over the treated dielectric layer 420 prior to formation of the gate electrode layer 610 .
  • Such a second dielectric layer may be a result of processing of a dual-voltage integrated circuit, for example, resulting in high-voltage and low-voltage transistors on the same substrate.
  • FIG. 7 illustrated is the partially fabricated semiconductor device 100 of FIG. 5 after the gate electrode layer 610 and the treated dielectric layer 420 have been patterned by conventional means to form a gate dielectric 710 and a gate electrode 720 .
  • Such patterning typically includes a photolithography process and a plasma etch process.
  • the plasma etch process will be tailored to the particular materials used for the gate electrode layer 610 and the treated dielectric layer 420 .
  • FIG. 8A illustrated is the semiconductor device 100 of FIG. 7 after formation of sidewall spacers 810 and source/drain regions 820 . These elements of the semiconductor device 100 are formed by conventional means well known to those skilled in the pertinent arts.
  • the gate dielectric 710 may be a single dielectric layer formed according to the principles of the invention.
  • the semiconductor device 100 of FIG. 7 comprises two dielectric layers.
  • This embodiment illustrates a possible structure of a high voltage transistor in a dual-voltage integrated circuit.
  • the gate dielectrics of the high voltage transistors in such an IC are typically formed before the gate dielectrics for the low voltage transistors. Formation of the gate dielectrics of the low voltage transistors results in the formation of a second dielectric 830 over the gate dielectric 710 , as illustrated in FIG. 8B .
  • FIG. 9 illustrated is an exemplary cross-sectional view of an integrated circuit (IC) 900 incorporating semiconductor devices 905 , 910 having gate dielectrics 915 , 920 , respectively, formed according to the principles of the present invention.
  • the IC 900 may include MOS, BiCMOS or bipolar components, and may further include passive components, such as capacitors, inductors or resistors. It may also include optical components or optoelectronic components. Those skilled in the art are familiar with these various types of components and their manufacture.
  • the IC 900 may also be a dual-voltage IC, comprising transistors operating with difference threshold voltages. The particular embodiment illustrated in FIG. 9 is a dual-voltage IC, as reflected in the different thicknesses of gate dielectrics 915 , 920 .
  • Dielectric layers 930 are fabricated over the transistors 905 , 910 using conventional means. Additionally, interconnect structures 935 are located within the dielectric layers 930 to interconnect various components, thus forming the operational integrated circuit 900 . It will be apparent to one skilled in the art that several variations of the exemplary interconnect architecture may be fabricated according to the principles of the invention with similarly advantageous results.

Abstract

The present invention provides a method for manufacturing a gate dielectric (710) that includes providing a nitrided dielectric layer (220) over a substrate (120). The nitrided dielectric layer (220) has a nonuniform concentration of nitrogen in a bulk thereof. The nitrided dielectric layer (220) is exposed to oxygen radicals (410), resulting in a reduction of the non-uniformity.

Description

    TECHNICAL FIELD OF THE INVENTION
  • The present invention is directed, in general, to a method of forming a gate dielectric, and in particular, a method of forming a nitrided gate dielectric with a uniform distribution of nitrogen in the bulk thereof.
  • BACKGROUND OF THE INVENTION
  • In certain semiconductor applications it is necessary to integrate dual gate oxide (DGO) thicknesses for associated transistor devices onto a single integrated circuit device. One motivation for performing dual gate oxide processing is that high performance transistors typically operate at lower voltages (e.g., 0.8 volts to 1.5 volts), and thus require thinner gate dielectric regions, whereas devices that interface with most conventional external peripherals typically require higher operating voltages (e.g., 1.8 volts to 3.5 volts), and thus require thicker gate dielectric regions. When interfacing lower voltage high performance metal-oxide-semiconductor field-effect-transistors (MOSFETs) within a core of an integrated circuit, to higher voltage peripheral devices, input and output (I/O) buffers of the integrated circuit (IC) are typically designed to contain thicker gate dielectric regions that are compatible with the higher external peripheral device voltages.
  • For example, current microcontroller units (MCUs) and digital signal processors (DSPs) are integrating several different types of technology onto a single integrated circuit, such as high speed logic, power logic, static random access memory (SRAM), nonvolatile memory (NVM), embedded dynamic random access memory (DRAM), analog circuitry, and other devices and technologies. Many of these devices require different gate dielectric processing and different gate dielectric thicknesses to provide both high performance lower voltage devices within the core of the device and higher voltage I/O devices to interface with external peripheral devices.
  • As stated above, a dual gate thickness structure includes thin gate dielectrics for high performance low voltage operation core devices, and thick gate dielectrics for low leakage high voltage operation I/O devices. High performance devices with thin gate dielectrics are prone to leakage as the gate thicknesses fall below about 1.2 nm. A well-established technique of mitigating the leakage current in a gate dielectric material such as silicon dioxide is to introduce nitrogen into the gate dielectric to raise the dielectric constant. This allows the use of a thicker gate dielectric where a thinner dielectric would ordinarily be needed, providing for less leakage through the gate dielectric. But nitridation is also beneficial for the performance of the I/O devices. For example, nitridation serves to suppress certain effects that decrease reliability, such as negative bias temperature instability (NBTI).
  • One method of nitrogen atom introduction includes performing non-thermal nitridation (e.g., plasma nitridation) on the gate dielectrics. Unfortunately, this and other methods of introducing the nitrogen atoms into the gate dielectrics are limited in the depth of nitrogen penetration in the gate dielectric material, resulting in non-uniform nitrogen concentration with increasing depth into the gate dielectric material. The non-uniformity, and thus reduced reliability, is particularly significant in thicker gate dielectrics, such as those used in the aforementioned high voltage devices.
  • Accordingly, what is needed in the art is a method for including nitrogen within a dielectric layer that will result in improved non-uniformity characteristics in the bulk region thereof.
  • SUMMARY OF THE INVENTION
  • To address the above-discussed deficiencies of the prior art, the present invention provides for a method of manufacturing a gate dielectric that includes providing a nitrided dielectric layer over a substrate, for which the nitrided dielectric layer has a non-uniformity of nitrogen in a bulk of the layer. The nitrided dielectric layer is exposed to oxygen radicals, thereby resulting in a reduction in the non-uniformity of nitrogen.
  • In another aspect, the present invention provides a method for manufacturing an integrated circuit. The method includes providing a nitrided dielectric layer over a substrate, where the nitrided dielectric layer has a non-uniformity of nitrogen in a bulk of the layer. The nitrided dielectric layer is exposed to oxygen radicals, resulting in a reduction in the non-uniformity of nitrogen. The method further includes forming a gate electrode layer over the nitrided dielectric layer, and patterning the gate electrode layer and the nitrided gate dielectric layer to form gate structures over the substrate. Interlevel dielectric layers are formed over the gate structures, and interconnects are formed within the dielectric layers to form an operational integrated circuit.
  • The foregoing has outlined preferred and alternative features of the present invention so that those skilled in the art may better understand the detailed description of the invention that follows. Additional features of the invention will be described hereinafter that form the subject of the claims of the invention. Those skilled in the art should appreciate that they can readily use the disclosed conception and specific embodiment as a basis for designing or modifying other structures for carrying out the same purposes of the present invention. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention is best understood from the following detailed description when read with the accompanying FIGUREs. It is emphasized that in accordance with the standard practice in the semiconductor industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion. Reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIG. 1 illustrates a cross-section of a partially fabricated semiconductor device after formation of a dielectric layer on a substrate;
  • FIG. 2 illustrates the partially fabricated semiconductor device of FIG. 1 at a later stage of processing, at which the dielectric layer is exposed to a nitridation process;
  • FIG. 3 presents a plot of the nitrogen and oxygen concentration in a SiON film produced by plasma nitridation of a thermally grown SiO2 film;
  • FIG. 4 illustrates the partially fabricated semiconductor device of FIG. 2 at a later stage of processing, in which the nitrided dielectric layer is exposed to oxygen radicals according to the principles of the invention;
  • FIG. 5 illustrates a plot of the nitrogen and oxygen concentration in a SiON film exposed to oxygen radicals according to the principles of the invention;
  • FIGS. 6 through 8 illustrate the partially fabricated semiconductor device of FIG. 4 at later stages in an exemplary method of manufacturing a semiconductor device according to the principles of the present invention; and
  • FIG. 9 illustrates a cross-section of an integrated circuit fabricated using gate dielectrics formed according to the principles of the present invention.
  • DETAILED DESCRIPTION
  • Turning to FIGS. 1-8, illustrated are cross-sectional views illustrating how one skilled in the art might manufacture a semiconductor device in accordance with the principles of the present invention. While FIGS. 1-8 are specifically directed to the manufacture of a semiconductor device, FIGS. 1-8 also illustrate, in a broad sense, how one skilled in the art might manufacture a gate dielectric with improved nitrogen uniformity, in accordance with the principles of the present invention. Thus, a method for manufacturing a gate dielectric is discussed within the confines of discussing how one skilled in the art might manufacture a semiconductor device with respect to FIGS. 1-8. Nevertheless, while each of these ideas is discussed and illustrated using a single set of FIGURES, neither should be limiting on the other.
  • Referring initially to FIG. 1, illustrated is a cross-section of a partially fabricated semiconductor device 100 having a substrate 110 and a dielectric layer 120 formed thereover. The dielectric layer 120 is formed conventionally, and may be a silicon dioxide layer. The dielectric layer 120 may be formed by thermal oxidation, though any other suitable method is within the scope of the invention. The substrate 110 may be a conventional semiconductor, and may further be a semiconductor wafer suitable for semiconductor device manufacturing. An example of such a wafer is a 200 mm or 300 mm silicon wafer. Those skilled in the art will appreciate that such substrates 120 are available with many possible variations, including the semiconducting material (e.g., Si and GaAs), doping level, silicon-on-insulator, and substrates upon which an epitaxial layer, such as Si or silicon-germanium (Si—Ge), has been formed. Moreover, the substrate 110 may have been processed to provide a number of structural features and layers as part of an incomplete device manufacturing flow. In FIG. 1, for example, isolation structures 130 have been formed as part of a semiconductor device manufacturing flow. Moreover, the substrate 110 may have been previously processed by implantation of dopants to define NMOS and PMOS regions of the substrate 110. These and other similar variations in substrate type are all within the scope of the present invention.
  • Turning to FIG. 2, with continued reference to FIG. 1, illustrated is the partially fabricated semiconductor device 100 of FIG. 1 at a later stage of processing. In this FIGURE, the dielectric layer 120 of FIG. 1 is exposed to a conventional nitrogen source 210 to produce a nitrided dielectric layer 220. The nitrogen source 210 may be a nitrogen plasma under conditions suitable to result in the incorporation of nitrogen into the dielectric layer 120. Those skilled in the pertinent art are familiar with various suitable plasma nitridation processes. In one embodiment, the dielectric layer 120 is silicon dioxide, and after exposure to the nitrogen source 210, the nitrided dielectric layer 220 is silicon oxynitride, or SION. Note that plasma nitridation results in a higher concentration of nitrogen in the portion of the nitrided dielectric layer 220 near the surface, and a lower concentration of nitrogen at some depth from the surface. This is illustrated in FIG. 2 as a nitrogen-rich layer 230, though those skilled in the art recognize that the nitrogen concentration decreases smoothly with depth of nitrogen penetration of the nitrided dielectric layer 220.
  • Turning to FIG. 3, illustrated is a plot 300 of the nitrogen and oxygen concentration in a SiON film produced by plasma nitridation of a thermally grown SiO2 film. This SiON film is representative of the nitrided dielectric layer 220, and will be referred to as such in the discussion of the plot 300. A nitrogen profile 310, portrayed as squares, shows the measured concentration of nitrogen, [N], with increasing depth in the nitrided dielectric layer 220. The nitrogen concentration was determined by time-of-flight secondary ion mass spectrometry (ToF-SIMS). The data have been normalized to set the maximum nitrogen concentration to an arbitrary value of unity, so the nitrogen concentration is expressed in arbitrary units (a.u.). The nitrogen profile 310 initially increases to a maximum of 1 a.u. at about 0.3 nm of depth, decreases to a relatively uniform concentration of about 0.4 a.u. between about 1.5 nm to about 3.0 nm, and then decreases to about zero at about 7 nm of depth. An oxygen profile 320, portrayed as triangles, has also been normalized. The oxygen profile 320 shows an initial increase of oxygen concentration that those skilled in the pertinent art appreciate is an artifact of the measurement technique, and may be disregarded in the present discussion. Thus qualified, the oxygen profile 320 has a relatively uniform value of between about 0.9 a.u. and about 1.0 a.u. up to about 2.5 nm depth, above which it decreases to zero at about 7 nm.
  • The nitrided dielectric layer 220 may be characterized as having a surface region 330 and a bulk region 340 that may be defined in relation to the surface of the nitrided dielectric layer 220 and the oxygen profile 320. The surface region 330 is defined to begin at the surface of the nitrided dielectric layer 220, and extend to a depth about 0.3 nm, as indicated by a line 350. The bulk region 340 extends from about 0.3 nm until the oxygen profile 320 decreases to about 90% of an average oxygen concentration within the bulk region 340, as indicated by a line 360. The depth corresponding to the intersection of the oxygen profile 320 and the line 360, as indicated by a line 370, is the lower extent of the bulk region 340, or about 2.8 nm in the plot 300. Those skilled in the art will appreciate that the thickness of the bulk region will depend on the total thickness of the nitrided dielectric layer 220.
  • A non-uniformity (N.U.) of the nitrogen concentration in the bulk region 340 may be defined to quantify differences between films. The definition applied in the context of the present invention is % N . U . = [ N ] max - [ N ] min [ N ] avg * 100 ( 1 )
    For the purposes of the invention, a non-uniformity less than about 25% is considered to be substantially uniform. Thus defined, non-uniformity of the nitrogen concentration of the bulk region 340 of the nitrided dielectric layer 220 in the plot 300 is computed to be about 110%.
  • Turning now to FIG. 4, with continued reference to FIG. 2, illustrated is the partially fabricated semiconductor device 100 of FIG. 2 at a later stage of processing, in which the nitrided dielectric layer 220 is treated according to the principles of the invention. Oxygen radicals 410 are used to reduce the concentration of nitrogen in a portion of the bulk of the nitrided dielectric layer 220 to reduce the non-uniformity of nitrogen. The processing of the nitrided dielectric layer 220 results in the formation of a treated dielectric layer 420.
  • The oxygen radicals 410 are formed in a manner that results in reduced non-uniformity of nitrogen of the treated dielectric layer 420 while minimizing potentially undesirable effects such as sputtering of the surface. In one embodiment, a low-temperature plasma containing oxygen is used to generate the oxygen radicals 410. The term “low-temperature” in the context of this invention is defined as maintaining the substrate 110 at a temperature ranging from about 200° C. to about 400° C. during exposure to the plasma. The conditions of the plasma are controlled to produce a concentration of oxygen radicals in the plasma sufficient to achieve the objective of reducing the nitrogen concentration near the surface of the nitrided dielectric layer 220, while minimizing undesirable effects. These objectives are advantageously attained using a microwave-coupled plasma with a pressure ranging from about 5 Pa to about 20 Pa, with an oxygen flow rate ranging from about 5 sccm to about 50 sccm, and an argon flow rate ranging from about 850 sccm to about 1250 sccm. The power of the microwave source is maintained at a value ranging from about 500 W to about 1500 W for a time period ranging from about 5 seconds to about 20 seconds. Those skilled in the art of plasma processing will appreciate that variations on this embodiment may be possible and remain within the scope of the invention. For example, the oxygen source may be O2, N2O, NO, or mixture of these gases. Moreover, argon may be replaced by another gas, such as krypton (Kr) or xenon (Xe). These alternate embodiments may be used without changing the inventive principle of the exposure of the nitrided dielectric layer 220 to oxygen radicals.
  • Turning to FIG. 5, illustrated is a plot 500 of the normalized nitrogen and oxygen concentration with increasing depth in the SiON film of FIG. 3 having been subjected to the radical oxidation process as described above. This treated film is representative of the treated dielectric layer 420, and will be referred to as such in the discussion the plot 500. As before, a nitrogen profile 510 is portrayed as squares, and an oxygen profile 520 is portrayed as triangles. Using the criteria set forth in the discussion of FIG. 3, a surface region 530 is defined as that portion of the treated dielectric layer 420 between the surface and a depth of 0.3 nm, as shown by the line 350. A bulk region 540 is between about 0.3 nm and about 2.8 nm of depth, as denoted by the line 370. Using Equation 1 to compute the non-uniformity of the concentration of nitrogen in the bulk region 540 of the treated dielectric layer 420 results in a value of about 22%.
  • The reduced non-uniformity of nitrogen in the treated dielectric layer 420 provides significant improvement over the 110% non-uniformity computed for the nitrided dielectric layer 220. The lower non-uniformity in the treated dielectric layer 420 can be expected to result in less charge trapping and gate leakage than for the nitrided dielectric layer 220. Thus, semiconductor devices and integrated circuits manufactured according to the principles of the invention can be expected to have increased gate breakdown voltage and operating lifetime.
  • Turning now to FIG. 6, illustrated is the partially fabricated semiconductor device 100 of FIG. 4 after conventional formation of a gate electrode layer 610 over the treated dielectric layer 420. The gate electrode layer 610 may be a semiconductor material such as polysilicon or other suitable gate material. In an alternate embodiment, a second dielectric layer is formed over the treated dielectric layer 420 prior to formation of the gate electrode layer 610. Such a second dielectric layer may be a result of processing of a dual-voltage integrated circuit, for example, resulting in high-voltage and low-voltage transistors on the same substrate.
  • In FIG. 7, illustrated is the partially fabricated semiconductor device 100 of FIG. 5 after the gate electrode layer 610 and the treated dielectric layer 420 have been patterned by conventional means to form a gate dielectric 710 and a gate electrode 720. Such patterning typically includes a photolithography process and a plasma etch process. Those of ordinary skill in the art will appreciate that the plasma etch process will be tailored to the particular materials used for the gate electrode layer 610 and the treated dielectric layer 420.
  • Briefly turning to FIG. 8A, illustrated is the semiconductor device 100 of FIG. 7 after formation of sidewall spacers 810 and source/drain regions 820. These elements of the semiconductor device 100 are formed by conventional means well known to those skilled in the pertinent arts. The gate dielectric 710 may be a single dielectric layer formed according to the principles of the invention.
  • In FIG. 8B, the semiconductor device 100 of FIG. 7 comprises two dielectric layers. This embodiment illustrates a possible structure of a high voltage transistor in a dual-voltage integrated circuit. Those skilled in the art of dual-voltage device fabrication appreciate that the gate dielectrics of the high voltage transistors in such an IC are typically formed before the gate dielectrics for the low voltage transistors. Formation of the gate dielectrics of the low voltage transistors results in the formation of a second dielectric 830 over the gate dielectric 710, as illustrated in FIG. 8B.
  • Finally, turning to FIG. 9, illustrated is an exemplary cross-sectional view of an integrated circuit (IC) 900 incorporating semiconductor devices 905, 910 having gate dielectrics 915, 920, respectively, formed according to the principles of the present invention. The IC 900 may include MOS, BiCMOS or bipolar components, and may further include passive components, such as capacitors, inductors or resistors. It may also include optical components or optoelectronic components. Those skilled in the art are familiar with these various types of components and their manufacture. The IC 900 may also be a dual-voltage IC, comprising transistors operating with difference threshold voltages. The particular embodiment illustrated in FIG. 9 is a dual-voltage IC, as reflected in the different thicknesses of gate dielectrics 915, 920.
  • Dielectric layers 930 are fabricated over the transistors 905, 910 using conventional means. Additionally, interconnect structures 935 are located within the dielectric layers 930 to interconnect various components, thus forming the operational integrated circuit 900. It will be apparent to one skilled in the art that several variations of the exemplary interconnect architecture may be fabricated according to the principles of the invention with similarly advantageous results.
  • Although the present invention has been described in detail, those skilled in the art should understand that they can make various changes, substitutions and alterations herein without departing from the spirit and scope of the invention in its broadest form.

Claims (20)

1. A method for manufacturing a gate dielectric, comprising:
providing a nitrided dielectric layer over a substrate, said nitrided dielectric layer having a non-uniformity of nitrogen in a bulk thereof;
exposing said nitrided dielectric layer to oxygen radicals, said exposing resulting in a reduction in said non-uniformity.
2. The method as recited in claim 1, wherein said oxygen radicals are provided by a low-temperature oxygen plasma.
3. The method as recited in claim 2, wherein said low-temperature oxygen plasma operates with a substrate temperature ranging from about 200° C. to about 400° C.
4. The method as recited in claim 2, wherein said low-temperature oxygen plasma operates for about 5 seconds to about 20 seconds, or with a power ranging from about 500 W to about 1500 W, or at a pressure ranging from about 5 Pa to about 20 Pa, or with a flow rate of an oxygen source ranging from about 5 sccm to about 50 sccm, or a flow rate of a diluting gas ranging from about 850 sccm to about 1250 sccm.
5. The method as recited in claim 4, wherein oxygen source is O2, N2O, NO, or mixture of above thereof.
6. The method as recited in claim 4, wherein said diluting gas comprises Ar, Kr, or Xe.
7. The method as recited in claim 1, wherein said exposing results in a non-uniformity less than about 25%.
8. The method as recited in claim 1, wherein said providing includes forming a dielectric layer and incorporating nitrogen therein by exposing said dielectric layer to a plasma containing nitrogen.
9. The method as recited in claim 1, wherein said nitrided dielectric layer has a thickness of about 1 nm or greater.
10. The method as recited in claim 9, wherein a second dielectric layer is formed over said dielectric layer prior to forming a gate electrode layer.
11. A method for manufacturing an integrated circuit, comprising:
providing a nitrided dielectric layer over a substrate, said nitrided dielectric layer having a non-uniformity of nitrogen in a bulk thereof;
exposing said nitrided dielectric layer to oxygen radicals, said exposing resulting in a reduction in said non-uniformity;
forming a gate electrode layer over said nitrided dielectric layer;
patterning said gate electrode layer and said nitrided gate dielectric layer to form a plurality of gate structures; and
forming interlevel dielectric layers over said gate structures, said interlevel dielectric layers having interconnects therein for forming an operational integrated circuit.
12. The method as recited in claim 11, wherein said oxygen radicals are provided by a low-temperature oxygen plasma.
13. The method as recited in claim 12, wherein said low-temperature oxygen plasma operates with a substrate temperature ranging from about 200° C. to about 400° C.
14. The method as recited in claim 12, wherein said low-temperature oxygen plasma operates for about 5 seconds to about 20 seconds, or with a power ranging from about 500 W to about 1500 W, or at a pressure ranging from about 5 Pa to about 20 Pa, or with a flow rate of an oxygen source ranging from about 5 sccm to about 50 sccm, or a flow rate of a diluting gas ranging from about 850 sccm to about 1250 sccm.
15. The method as recited in claim 14, wherein oxygen source is O2, N2O, NO, or mixture of above thereof.
16. The method as recited in claim 14, wherein said diluting gas comprises Ar, Kr, or Xe.
17. The method as recited in claim 11, wherein said exposure results in a non-uniformity less than about 25%.
18. The method as recited in claim 11, wherein said providing includes forming a dielectric layer and incorporating nitrogen therein by exposing said dielectric layer to a plasma containing nitrogen.
19. The method as recited in claim 11, wherein said nitrided dielectric layer has a thickness of about 1 nm or greater.
20. The method as recited in claim 19, wherein a second dielectric layer is formed over said dielectric layer prior to formation of a gate electrode layer.
US11/229,115 2005-09-16 2005-09-16 Formation of gate dielectrics with uniform nitrogen distribution Abandoned US20070066021A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/229,115 US20070066021A1 (en) 2005-09-16 2005-09-16 Formation of gate dielectrics with uniform nitrogen distribution
US13/236,121 US8492291B2 (en) 2005-09-16 2011-09-19 Formation of gate dielectrics with uniform nitrogen distribution

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/229,115 US20070066021A1 (en) 2005-09-16 2005-09-16 Formation of gate dielectrics with uniform nitrogen distribution

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/236,121 Continuation US8492291B2 (en) 2005-09-16 2011-09-19 Formation of gate dielectrics with uniform nitrogen distribution

Publications (1)

Publication Number Publication Date
US20070066021A1 true US20070066021A1 (en) 2007-03-22

Family

ID=37884726

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/229,115 Abandoned US20070066021A1 (en) 2005-09-16 2005-09-16 Formation of gate dielectrics with uniform nitrogen distribution
US13/236,121 Active US8492291B2 (en) 2005-09-16 2011-09-19 Formation of gate dielectrics with uniform nitrogen distribution

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/236,121 Active US8492291B2 (en) 2005-09-16 2011-09-19 Formation of gate dielectrics with uniform nitrogen distribution

Country Status (1)

Country Link
US (2) US20070066021A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080014729A1 (en) * 2006-07-12 2008-01-17 Samsung Electronics Co., Ltd. Method of manufacturing a memory device
US20090090990A1 (en) * 2007-10-09 2009-04-09 Texas Instruments, Incorporated Formation of nitrogen containing dielectric layers having an improved nitrogen distribution
US20140246736A1 (en) * 2013-03-01 2014-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. High-K Film Apparatus and Method
US20150129951A1 (en) * 2013-11-13 2015-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming semiconductor structure of control gate, and semiconductor device
CN110556336A (en) * 2015-05-29 2019-12-10 意法半导体公司 Integrated circuit with a plurality of transistors

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6459562B1 (en) * 2001-05-22 2002-10-01 Conexant Systems, Inc. High density metal insulator metal capacitors
US20030118748A1 (en) * 2001-12-25 2003-06-26 Akira Kumagai Silicon oxide film formation method
US20030181060A1 (en) * 2002-03-18 2003-09-25 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US20040038538A1 (en) * 2002-08-23 2004-02-26 Tuo-Hung Ho Dual-gate structure and method of fabricating integrated circuits having dual-gate structures
US20040070046A1 (en) * 2002-10-15 2004-04-15 Hiroaki Niimi Reliable dual gate dielectrics for MOS transistors
US6730566B2 (en) * 2002-10-04 2004-05-04 Texas Instruments Incorporated Method for non-thermally nitrided gate formation for high voltage devices
US20040129969A1 (en) * 2003-01-08 2004-07-08 Luigi Colombo Control of high -k gate dielectric film composition profile for property optimization
US6762114B1 (en) * 2002-12-31 2004-07-13 Texas Instruments Incorporated Methods for transistor gate fabrication and for reducing high-k gate dielectric roughness
US6780719B2 (en) * 2001-06-20 2004-08-24 Texas Instruments Incorporated Method for annealing ultra-thin, high quality gate oxide layers using oxidizer/hydrogen mixtures
US20040185676A1 (en) * 2003-01-31 2004-09-23 Nec Electronics Corporation Semiconductor device and method of manufacturing semiconductor device
US20050003618A1 (en) * 2003-05-13 2005-01-06 Elpida Memory, Inc. Method of manufacturing semiconductor device having oxide films with different thickness
US6893979B2 (en) * 2001-03-15 2005-05-17 International Business Machines Corporation Method for improved plasma nitridation of ultra thin gate dielectrics
US20050106896A1 (en) * 2003-11-19 2005-05-19 Canon Kabushiki Kaisha Processing apparatus and method
US20050124113A1 (en) * 2003-11-12 2005-06-09 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6893979B2 (en) * 2001-03-15 2005-05-17 International Business Machines Corporation Method for improved plasma nitridation of ultra thin gate dielectrics
US6459562B1 (en) * 2001-05-22 2002-10-01 Conexant Systems, Inc. High density metal insulator metal capacitors
US6780719B2 (en) * 2001-06-20 2004-08-24 Texas Instruments Incorporated Method for annealing ultra-thin, high quality gate oxide layers using oxidizer/hydrogen mixtures
US20030118748A1 (en) * 2001-12-25 2003-06-26 Akira Kumagai Silicon oxide film formation method
US20030181060A1 (en) * 2002-03-18 2003-09-25 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US20040038538A1 (en) * 2002-08-23 2004-02-26 Tuo-Hung Ho Dual-gate structure and method of fabricating integrated circuits having dual-gate structures
US6730566B2 (en) * 2002-10-04 2004-05-04 Texas Instruments Incorporated Method for non-thermally nitrided gate formation for high voltage devices
US20040070046A1 (en) * 2002-10-15 2004-04-15 Hiroaki Niimi Reliable dual gate dielectrics for MOS transistors
US6762114B1 (en) * 2002-12-31 2004-07-13 Texas Instruments Incorporated Methods for transistor gate fabrication and for reducing high-k gate dielectric roughness
US20040129969A1 (en) * 2003-01-08 2004-07-08 Luigi Colombo Control of high -k gate dielectric film composition profile for property optimization
US20040185676A1 (en) * 2003-01-31 2004-09-23 Nec Electronics Corporation Semiconductor device and method of manufacturing semiconductor device
US20050003618A1 (en) * 2003-05-13 2005-01-06 Elpida Memory, Inc. Method of manufacturing semiconductor device having oxide films with different thickness
US20050124113A1 (en) * 2003-11-12 2005-06-09 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US20050106896A1 (en) * 2003-11-19 2005-05-19 Canon Kabushiki Kaisha Processing apparatus and method

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080014729A1 (en) * 2006-07-12 2008-01-17 Samsung Electronics Co., Ltd. Method of manufacturing a memory device
US20090090990A1 (en) * 2007-10-09 2009-04-09 Texas Instruments, Incorporated Formation of nitrogen containing dielectric layers having an improved nitrogen distribution
US8617954B2 (en) * 2007-10-09 2013-12-31 Texas Instruments Incorporated Formation of nitrogen containing dielectric layers having an improved nitrogen distribution
US20140246736A1 (en) * 2013-03-01 2014-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. High-K Film Apparatus and Method
US9147736B2 (en) * 2013-03-01 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. High-K film apparatus and method
US10177238B2 (en) 2013-03-01 2019-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. High-K film apparatus and method
US10861954B2 (en) 2013-03-01 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. High-K film apparatus and method
US20150129951A1 (en) * 2013-11-13 2015-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming semiconductor structure of control gate, and semiconductor device
US9685518B2 (en) * 2013-11-13 2017-06-20 Taiwan Semiconductor Manfucturing Co., Ltd. Method of forming semiconductor structure of control gate, and semiconductor device
CN110556336A (en) * 2015-05-29 2019-12-10 意法半导体公司 Integrated circuit with a plurality of transistors

Also Published As

Publication number Publication date
US8492291B2 (en) 2013-07-23
US20120149186A1 (en) 2012-06-14

Similar Documents

Publication Publication Date Title
US7274046B2 (en) Tri-gate low power device and method for manufacturing the same
JP3944367B2 (en) Method for forming insulating film and method for manufacturing semiconductor device
US6798027B2 (en) Semiconductor device including gate insulation films having different thicknesses
JP5435530B2 (en) Use of F-based gate etching to passivate high-k / metal gate stacks for deep submicron transistor technology
US7867840B2 (en) Semiconductor device and method of fabricating the same
US8492291B2 (en) Formation of gate dielectrics with uniform nitrogen distribution
US20070105336A1 (en) Semiconductor device and method for fabricating the same
TWI524436B (en) Method of forming a semiconductor device structure employing fluorine doping and according semiconductor device structure
US7459390B2 (en) Method for forming ultra thin low leakage multi gate devices
US6140163A (en) Method and apparatus for upper level substrate isolation integrated with bulk silicon
US20090090990A1 (en) Formation of nitrogen containing dielectric layers having an improved nitrogen distribution
US7393787B2 (en) Formation of nitrogen containing dielectric layers having a uniform nitrogen distribution therein using a high temperature chemical treatment
US20070054455A1 (en) Method to obtain uniform nitrogen profile in gate dielectrics
KR100941480B1 (en) Method of forming layers of oxide of different thicknesses on a surface of a substrate
US20080265337A1 (en) Semiconductor device fabrication method and semiconductor device
US6548369B1 (en) Multi-thickness silicon films on a single semiconductor-on-insulator (SOI) chip using simox
JP2001332723A (en) Method of manufacturing semiconductor device
US7670913B2 (en) Method for forming ultra-thin low leakage multiple gate devices using a masking layer over the semiconductor substrate
US20070082503A1 (en) Method of fabricating a dielectric layer
US6593182B2 (en) Method for forming multiple gate oxide layer with the plasma oxygen doping
JP4145802B2 (en) Method for forming oxide layers having different thicknesses on a substrate surface
JP2001358225A (en) Dual-gate semiconductor device having barrier layer containing nitrogen and oxygen and method of manufacturing the same
EP0911869A2 (en) Low temperature method for forming a uniform thin oxide layer
US20080116542A1 (en) Gate Dielectric Having a Flat Nitrogen Profile and Method of Manufacture Therefor
US6130164A (en) Semiconductor device having gate oxide formed by selective oxide removal and method of manufacture thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: TEXAS INSTRUMENTS INC., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NIIMI, HIROAKI;LAAKSONEN, REIMA T.;REEL/FRAME:017005/0624

Effective date: 20050902

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION