US20060220184A1 - Antireflective coating for use during the manufacture of a semiconductor device - Google Patents

Antireflective coating for use during the manufacture of a semiconductor device Download PDF

Info

Publication number
US20060220184A1
US20060220184A1 US11/214,376 US21437605A US2006220184A1 US 20060220184 A1 US20060220184 A1 US 20060220184A1 US 21437605 A US21437605 A US 21437605A US 2006220184 A1 US2006220184 A1 US 2006220184A1
Authority
US
United States
Prior art keywords
layer
atom
antireflective layer
antireflective
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/214,376
Inventor
Zhiping Yin
Gurtej Sandhu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US11/214,376 priority Critical patent/US20060220184A1/en
Publication of US20060220184A1 publication Critical patent/US20060220184A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/312DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor with a bit line higher than the capacitor

Definitions

  • This invention relates to the field of semiconductor manufacture and, more particularly, to an antireflective coating for use during the manufacture of a semiconductor device, specifically during a photolithographic process.
  • Each photolithography step includes the formation of a blanket photoresist (resist) layer, exposing portions of the resist layer to light using a mask or reticle, removing the exposed resist portions (or the unexposed resist portions if negative resist is used), etching the underlying layer using the resist as a pattern, then stripping the resist.
  • a blanket photoresist (resist) layer exposing portions of the resist layer to light using a mask or reticle, removing the exposed resist portions (or the unexposed resist portions if negative resist is used), etching the underlying layer using the resist as a pattern, then stripping the resist.
  • DARC layers dielectric antireflective coatings
  • a DARC layer is formed as a blanket layer, typically from silicon-rich oxide or oxynitride using chemical vapor deposition (CVD), over the layer to be etched.
  • the blanket resist layer is formed over the DARC layer and is then exposed to a pattern of light projected through a reticle.
  • the exposed portion of the resist (or the unexposed portion, if a negative resist is used) is removed.
  • the DARC layer and the underlying layer are etched using the resist as a pattern.
  • both the resist and DARC layers are typically removed.
  • the resist is removed using an ash step comprising exposure of the resist to an oxygen plasma, then DARC layer is removed, typically using a wet etch but also in some processes using a dry etch.
  • the DARC layer is typically exposed to a wet etch of SuperQ (3% phosphoric acid, H 3 PO 4 , 37% ammonium fluoride, NH 4 F) or QEtch II (1% H 3 PO 4 , 39% NH 4 F).
  • This wet etch also enters the opening in the underlying layer, and may etch this layer and expand the opening in the underlying layer beyond that etched with the photoresist pattern. This expansion may also occur if the DARC layer is removed with a dry etch. In many device designs this will undesirably expose another conductive feature, which may lead to shorting when the opening is filled with a conductive layer which contacts the exposed feature.
  • FIG. 1 depicts a conventional structure comprising a semiconductor wafer 10 having doped regions therein 12 , shallow trench isolation (STI) 14 , transistors comprising gate oxide 16 , control gate 18 , for example polysilicon, conductive enhancement layer 20 , for example tungsten silicide, capping layer 22 , and dielectric spacers 24 .
  • FIG. 1 further depicts conductive pads 25 , dielectric base layer 26 , for example borophosphosilicate glass (BPSG), storage capacitors comprising capacitor bottom plate 28 , cell dielectric 30 , and capacitor top plate layer 32 .
  • BPSG borophosphosilicate glass
  • FIG. 1 further depicts a second dielectric layer 34 comprising BPSG or tetraethyl orthosilicate (TEOS), an antireflective coating (ARC) 36 , for example manufactured from silicon-rich oxide or oxynitride, and a patterned photoresist layer 38 .
  • TEOS tetraethyl orthosilicate
  • ARC antireflective coating
  • FIG. 1 is easily manufactured by one of ordinary skill in the art from the information herein. Other structures may be formed during the manufacture of the structure of FIG. 1 which are not depicted for ease of explanation.
  • an etch is performed using the photoresist layer 38 as a pattern to remove portions of ARC layer 36 , dielectric 34 , and base dielectric 26 to expose conductive pad 25 . This forms the digit line contact opening 40 as depicted in FIG. 2 .
  • resist layer 38 is removed, for example using an ash step (i.e. exposing the layer to an oxygen plasma) followed by a clean using a dilute solution of hydrogen peroxide (H 2 O 2 ) and sulfuric acid (H 2 SO 4 ) to remove any remaining residue to result in the FIG. 3 structure.
  • the ARC layer is removed, for example by exposing the ARC layer to a wet etch comprising SuperQ or QEtch II, which also exposes the base dielectric 26 and conductive pad 25 to the wet etch.
  • the base dielectric layer 26 may also be etched which results in the structure of FIG. 4 .
  • the capacitor storage plate 28 is exposed which will result in shorting of the storage plate 28 with a plug layer 50 formed within contact opening 40 as depicted in FIG. 5 .
  • a new method and structure which reduces or eliminates the problems resulting from removing an antireflective layer with a wet or dry etch would be desirable.
  • An embodiment of the present invention provides a new method which, among other advantages, reduces problems associated with the manufacture of semiconductor devices, particularly problems resulting from the removal of a deposited antireflective coating (DARC) with a wet or dry etch.
  • DARC deposited antireflective coating
  • a layer of boron-doped amorphous carbon (herein “a-C:B”) is used as a DARC layer.
  • This a-C:B layer as a DARC layer in contrast to conventional DARC layers, has the advantage of being removable through an ashing process, similar to that used for removal of photoresist.
  • One advantage is that the DARC layer may be removed without a wet etch, and it may be removed simultaneously with the removal of an overlying photoresist layer.
  • FIGS. 1-5 are cross sections depicting a conventional process which results in the etching of a dielectric layer during removal of an antireflective coating, which exposes a capacitor bottom plate;
  • FIGS. 6-8 are cross sections of an embodiment of the present invention which removes an antireflective coating without exposure of an underlying layer such as a capacitor bottom plate;
  • FIG. 9 is an isometric depiction of a use of the invention in an electronic device.
  • FIG. 10 is a block diagram of an exemplary use of the invention to form part of a memory array in a dynamic random access memory.
  • wafer is to be understood as a semiconductor-based material including silicon, silicon-on-insulator (SOI) or silicon-on-sapphire (SOS) technology, doped and undoped semiconductors, epitaxial layers of silicon supported by a base semiconductor foundation, and other semiconductor structures.
  • SOI silicon-on-insulator
  • SOS silicon-on-sapphire
  • doped and undoped semiconductors epitaxial layers of silicon supported by a base semiconductor foundation, and other semiconductor structures.
  • previous process steps may have been utilized to form regions or junctions in or over the base semiconductor structure or foundation.
  • substrate assembly may include a wafer with layers including dielectrics and conductors, and features such as transistors, formed thereover, depending on the particular stage of processing.
  • the semiconductor need not be silicon-based, but could be based on silicon-germanium, silicon-on-insulator, silicon-on-sapphire, germanium, or gallium arsenide, among others.
  • the term “on” used with respect to two layers, one “on” the other, means at least some contact between the layers, while “over” means the layers are in close proximity, but possibly with one or more additional intervening layers such that contact is possible but not required. Neither “on” nor “over” implies any directionality as used herein.
  • FIGS. 6-8 A process for forming a semiconductor device using an inventive dielectric antireflective coating (DARC) is depicted in FIGS. 6-8 .
  • the structure of FIG. 6 depicts a semiconductor wafer 10 having doped regions therein 12 , shallow trench isolation (STI) 14 , transistors comprising gate oxide 16 , control gate 18 , for example polysilicon, conductive enhancement layer 20 , for example tungsten silicide, capping layer 22 , and dielectric spacers 24 .
  • FIG. 1 further depicts conductive pads 25 , dielectric base layer 26 , for example borophosphosilicate glass (BPSG), storage capacitors comprising capacitor bottom plate 28 , cell dielectric 30 , and capacitor top plate layer 32 .
  • BPSG borophosphosilicate glass
  • FIG. 1 depicts a second dielectric layer 34 comprising BPSG or tetraethyl orthosilicate (TEOS), an inventive DARC layer 60 and a patterned photoresist layer 38 .
  • TEOS tetraethyl orthosilicate
  • antireflective layer 60 decreases the reflection of light from the surface of dielectric layer 34 back into resist layer 38 .
  • Other structures may be formed during the manufacture of the structure of FIG. 6 which are not depicted for ease of explanation.
  • Antireflective coating 60 in this embodiment is manufactured from amorphous carbon doped with boron to a concentration of between about 0.1 atom % to about 10 atom %, and up to a maximum of about 20 atom %.
  • An a-C:B film with about 10 atom % of boron has an “n” value of about 1.80 and a “k” value of about 0.51 with reference to a 248 nanometer wavelength light.
  • the “n” and “k” values may be tuned to desired levels by varying the concentration of boron in the a-C:B layer.
  • Boron-doped amorphous carbon may be removed with an oxygen plasma ash step similar to that of photoresist, and may be removed during the removal of any photoresist remaining after etching the underlying layer, as will be discussed below.
  • the a-C:B layer 60 of FIG. 6 may be formed using a chemical vapor deposition (CVD) process.
  • a semiconductor wafer is placed into a CVD chamber, then the chamber is set to a temperature of between about 200° C. and about 450° C., preferably about 375° C.
  • propylene (C 3 H 6 ) is introduced into the chamber at a flow rate of between about 200 standard cubic centimeters per minute (sccm) and about 1,000 sccm, preferably about 650 sccm, along with diborane (B 2 H 6 ) at a flow rate of between about 100 sccm and about 2,000 sccm, and more preferably between about 600 sccm and about 1,300 sccm and, optionally, helium (He) at a flow rate of between about 0.0 sccm and about 1,000 sccm, preferably about 0.0 sccm.
  • sccm standard cubic centimeters per minute
  • B 2 H 6 diborane
  • He helium
  • RF radio frequency
  • This process forms an a-C:B layer at a rate of about 2,500 angstroms ( ⁇ ) per minute to about 5,500 ⁇ per minute, depending on the gas flow rates and the rates of the other parameters as described above.
  • An a-C:B antireflective layer between about 150 ⁇ and about 500 ⁇ is sufficient, and thus the process above is performed for between about 4.0 seconds and about 10 seconds.
  • Table 1 summarizes these conditions when performed in an Applied Materials® (AMAT) Centura® chamber, and may need to be modified for other chambers. For example, in an AMAT Producer® chamber, the gas flows are increased.
  • the deposition process above dopes the amorphous carbon with boron to between about 0.1 atom percent (atom %) and about 20 atom %, more preferably to between about 1 atom % and about 15 atom %, and most preferably to between about 5 atom % and about 10 atom %, depending on the B 2 H 6 flow rate relative to the flow rates of the propylene and (if used) helium.
  • alteration of the gas flow rates to result in the desired boron atom % may be accomplished by one of ordinary skill in the art.
  • the film becomes more opaque.
  • An atom % of greater than about 20% is not considered preferable for most uses, because as the boron concentration increases, it becomes more difficult to sufficiently etch the layer with an oxygen plasma in a process described below to form an opening in the ARC layer.
  • the DARC layer With no boron doping in the amorphous carbon, the DARC layer will react with the photoresist to form a resist footing, and thus the resist layer cannot be formed directly on an amorphous carbon layer with no boron doping.
  • the DARC layer 60 is etched to expose BPSG layer 26 , then the BPSG layer is etched to expose the underlying contact pad 25 .
  • An a-C:B layer about 300 ⁇ thick may be etched by exposing the a-C:B layer to an oxygen plasma for between about 3 seconds and about 10 seconds.
  • the BPSG may be etched according to means known in the art.
  • an ash is performed by exposing the wafer to an oxygen plasma. This may be performed at a temperature of between about 200° C. and about 380° C. for between about 20 seconds and about 120 seconds. This ashes any remaining photoresist 38 and also ashes the DARC layer 60 . Subsequently, the wafer may be exposed to a wet etch, such as to a QEtch II (1% phosphoric acid, 39% ammonium fluoride) for a duration of between about 15 seconds and about 60 seconds, or to a “piranha” etch (sulfuric acid and hydrogen peroxide) for a duration of between about 5 minutes and about 10 minutes.
  • a wet etch such as to a QEtch II (1% phosphoric acid, 39% ammonium fluoride) for a duration of between about 15 seconds and about 60 seconds, or to a “piranha” etch (sulfuric acid and hydrogen peroxide) for a duration of between about 5 minutes and about 10 minutes.
  • Fluorine may be introduced by the inclusion of a gas such as CF 4 , NF 3 , CH 2 F 2 or CHF 3 into the oxygen plasma during the ashing.
  • a-C:B a material which may be ashed along with the photoresist layer rather than requiring removal through the use of an acid or a dry etch which may damage BPSG, TEOS, or other dielectric.
  • a semiconductor device 90 formed in accordance with the invention may be attached along with other devices such as a microprocessor 92 to a printed circuit board 94 , for example to a computer motherboard or as a part of a memory module used in a personal computer, a minicomputer, or a mainframe 96 .
  • FIG. 9 may also represent use of device 90 in other electronic devices comprising a housing 96 , for example devices comprising a microprocessor 92 , related to telecommunications, the automobile industry, semiconductor test and manufacturing equipment, consumer electronics, or virtually any piece of consumer or industrial electronic equipment.
  • FIG. 10 is a simplified block diagram of a memory device such as a dynamic random access memory having digit lines and other features which may be formed using an embodiment of the present invention.
  • FIG. 10 depicts a processor 92 coupled to a memory device 90 , and further depicts the following basic sections of a memory integrated circuit: control circuitry 104 ; row 106 and column 108 address buffers; row 110 and column 112 decoders; sense amplifiers 114 ; memory array 116 ; and data input/output 118 .

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

An antireflective layer formed from boron-doped amorphous carbon may be removed using a process which is less likely to over etch a dielectric layer than conventional technology. This layer may be removed by exposing the layer to an oxygen plasma (i.e. an “ashing” process), preferably concurrently with the ashing and removal of an overlying photoresist layer. An inventive process which uses the inventive antireflective layer is also described.

Description

  • This is a division of U.S. Ser. No. 10/671,186 filed Sep. 24, 2003 and issued Aug. 30, 2005 as U.S. Pat. No. 6,936,539.
  • FIELD OF THE INVENTION
  • This invention relates to the field of semiconductor manufacture and, more particularly, to an antireflective coating for use during the manufacture of a semiconductor device, specifically during a photolithographic process.
  • BACKGROUND OF THE INVENTION
  • During the formation of a semiconductor device such as a memory device, a logic device, a microprocessor, etc., several photolithography steps are typically required. Each photolithography step includes the formation of a blanket photoresist (resist) layer, exposing portions of the resist layer to light using a mask or reticle, removing the exposed resist portions (or the unexposed resist portions if negative resist is used), etching the underlying layer using the resist as a pattern, then stripping the resist.
  • Many layers, for example some oxides and metals, have a highly polished surface which reflects light back to the photoresist and exposes the resist in unwanted areas. This unintentionally exposed portion of the photoresist is removed (or remains, in the case of negative resist) and results in less than desirable patterning of the underlying layer. One method used to decrease reflected light is through the use of dielectric antireflective coatings (DARC layers), which are well known in the art of photolithography. A DARC layer is formed as a blanket layer, typically from silicon-rich oxide or oxynitride using chemical vapor deposition (CVD), over the layer to be etched. The blanket resist layer is formed over the DARC layer and is then exposed to a pattern of light projected through a reticle. The exposed portion of the resist (or the unexposed portion, if a negative resist is used) is removed. Next, the DARC layer and the underlying layer are etched using the resist as a pattern. After patterning the DARC layer and the underlying layer, both the resist and DARC layers are typically removed. The resist is removed using an ash step comprising exposure of the resist to an oxygen plasma, then DARC layer is removed, typically using a wet etch but also in some processes using a dry etch.
  • One problem which may result from the use of DARC layers occurs from the removal of the layer. The DARC layer is typically exposed to a wet etch of SuperQ (3% phosphoric acid, H3PO4, 37% ammonium fluoride, NH4F) or QEtch II (1% H3PO4, 39% NH4F). This wet etch also enters the opening in the underlying layer, and may etch this layer and expand the opening in the underlying layer beyond that etched with the photoresist pattern. This expansion may also occur if the DARC layer is removed with a dry etch. In many device designs this will undesirably expose another conductive feature, which may lead to shorting when the opening is filled with a conductive layer which contacts the exposed feature. This is especially true as semiconductor engineers design devices with tight critical dimensions (CD's) to maximize feature density. This may result in an unreliable device or a nonfunctional device, thereby decreasing yields and increasing costs. While the DARC layer is nonconductive, leaving it in place may contribute to device leakage.
  • A process which results in the aforementioned shorting of device features is depicted in FIGS. 1-5. FIG. 1 depicts a conventional structure comprising a semiconductor wafer 10 having doped regions therein 12, shallow trench isolation (STI) 14, transistors comprising gate oxide 16, control gate 18, for example polysilicon, conductive enhancement layer 20, for example tungsten silicide, capping layer 22, and dielectric spacers 24. FIG. 1 further depicts conductive pads 25, dielectric base layer 26, for example borophosphosilicate glass (BPSG), storage capacitors comprising capacitor bottom plate 28, cell dielectric 30, and capacitor top plate layer 32. FIG. 1 further depicts a second dielectric layer 34 comprising BPSG or tetraethyl orthosilicate (TEOS), an antireflective coating (ARC) 36, for example manufactured from silicon-rich oxide or oxynitride, and a patterned photoresist layer 38. During the exposure of photoresist layer 38 during photolithography, antireflective layer 36 decreases the reflection of light from the surface of dielectric layer 34 back into resist layer 38. The structure of FIG. 1 is easily manufactured by one of ordinary skill in the art from the information herein. Other structures may be formed during the manufacture of the structure of FIG. 1 which are not depicted for ease of explanation.
  • After forming the FIG. 1 structure, an etch is performed using the photoresist layer 38 as a pattern to remove portions of ARC layer 36, dielectric 34, and base dielectric 26 to expose conductive pad 25. This forms the digit line contact opening 40 as depicted in FIG. 2.
  • After etching the digit line contact opening 40, resist layer 38 is removed, for example using an ash step (i.e. exposing the layer to an oxygen plasma) followed by a clean using a dilute solution of hydrogen peroxide (H2O2) and sulfuric acid (H2SO4) to remove any remaining residue to result in the FIG. 3 structure. Next, the ARC layer is removed, for example by exposing the ARC layer to a wet etch comprising SuperQ or QEtch II, which also exposes the base dielectric 26 and conductive pad 25 to the wet etch. During removal of the ARC layer, the base dielectric layer 26 may also be etched which results in the structure of FIG. 4. In FIG. 4, the capacitor storage plate 28 is exposed which will result in shorting of the storage plate 28 with a plug layer 50 formed within contact opening 40 as depicted in FIG. 5.
  • A new method and structure which reduces or eliminates the problems resulting from removing an antireflective layer with a wet or dry etch would be desirable.
  • SUMMARY OF THE INVENTION
  • An embodiment of the present invention provides a new method which, among other advantages, reduces problems associated with the manufacture of semiconductor devices, particularly problems resulting from the removal of a deposited antireflective coating (DARC) with a wet or dry etch.
  • In accordance with one embodiment of the invention a layer of boron-doped amorphous carbon (herein “a-C:B”) is used as a DARC layer. This a-C:B layer as a DARC layer, in contrast to conventional DARC layers, has the advantage of being removable through an ashing process, similar to that used for removal of photoresist. One advantage is that the DARC layer may be removed without a wet etch, and it may be removed simultaneously with the removal of an overlying photoresist layer.
  • Additional advantages will become apparent to those skilled in the art from the following detailed description read in conjunction with the appended claims and the drawings attached hereto.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-5 are cross sections depicting a conventional process which results in the etching of a dielectric layer during removal of an antireflective coating, which exposes a capacitor bottom plate;
  • FIGS. 6-8 are cross sections of an embodiment of the present invention which removes an antireflective coating without exposure of an underlying layer such as a capacitor bottom plate;
  • FIG. 9 is an isometric depiction of a use of the invention in an electronic device; and
  • FIG. 10 is a block diagram of an exemplary use of the invention to form part of a memory array in a dynamic random access memory.
  • It should be emphasized that the drawings herein may not be to exact scale and are schematic representations. The drawings are not intended to portray the specific parameters, materials, particular uses, or the structural details of the invention, which may be determined by one of skill in the art by examination of the information herein.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The term “wafer” is to be understood as a semiconductor-based material including silicon, silicon-on-insulator (SOI) or silicon-on-sapphire (SOS) technology, doped and undoped semiconductors, epitaxial layers of silicon supported by a base semiconductor foundation, and other semiconductor structures. Furthermore, when reference is made to a “wafer” in the following description, previous process steps may have been utilized to form regions or junctions in or over the base semiconductor structure or foundation. Additionally, when reference is made to a “substrate assembly” in the following description, the substrate assembly may include a wafer with layers including dielectrics and conductors, and features such as transistors, formed thereover, depending on the particular stage of processing. In addition, the semiconductor need not be silicon-based, but could be based on silicon-germanium, silicon-on-insulator, silicon-on-sapphire, germanium, or gallium arsenide, among others. Further, in the discussion and claims herein, the term “on” used with respect to two layers, one “on” the other, means at least some contact between the layers, while “over” means the layers are in close proximity, but possibly with one or more additional intervening layers such that contact is possible but not required. Neither “on” nor “over” implies any directionality as used herein.
  • A process for forming a semiconductor device using an inventive dielectric antireflective coating (DARC) is depicted in FIGS. 6-8. The structure of FIG. 6 depicts a semiconductor wafer 10 having doped regions therein 12, shallow trench isolation (STI) 14, transistors comprising gate oxide 16, control gate 18, for example polysilicon, conductive enhancement layer 20, for example tungsten silicide, capping layer 22, and dielectric spacers 24. FIG. 1 further depicts conductive pads 25, dielectric base layer 26, for example borophosphosilicate glass (BPSG), storage capacitors comprising capacitor bottom plate 28, cell dielectric 30, and capacitor top plate layer 32. In addition, FIG. 1 depicts a second dielectric layer 34 comprising BPSG or tetraethyl orthosilicate (TEOS), an inventive DARC layer 60 and a patterned photoresist layer 38. During the exposure of photoresist layer 38 during photolithography, antireflective layer 60 decreases the reflection of light from the surface of dielectric layer 34 back into resist layer 38. Other structures may be formed during the manufacture of the structure of FIG. 6 which are not depicted for ease of explanation.
  • Antireflective coating 60 in this embodiment is manufactured from amorphous carbon doped with boron to a concentration of between about 0.1 atom % to about 10 atom %, and up to a maximum of about 20 atom %. An a-C:B film with about 10 atom % of boron has an “n” value of about 1.80 and a “k” value of about 0.51 with reference to a 248 nanometer wavelength light. The “n” and “k” values may be tuned to desired levels by varying the concentration of boron in the a-C:B layer. Boron-doped amorphous carbon (a-C:B) may be removed with an oxygen plasma ash step similar to that of photoresist, and may be removed during the removal of any photoresist remaining after etching the underlying layer, as will be discussed below.
  • The a-C:B layer 60 of FIG. 6 may be formed using a chemical vapor deposition (CVD) process. A semiconductor wafer is placed into a CVD chamber, then the chamber is set to a temperature of between about 200° C. and about 450° C., preferably about 375° C. At temperature, propylene (C3H6) is introduced into the chamber at a flow rate of between about 200 standard cubic centimeters per minute (sccm) and about 1,000 sccm, preferably about 650 sccm, along with diborane (B2H6) at a flow rate of between about 100 sccm and about 2,000 sccm, and more preferably between about 600 sccm and about 1,300 sccm and, optionally, helium (He) at a flow rate of between about 0.0 sccm and about 1,000 sccm, preferably about 0.0 sccm. (As is known by one of ordinary skill in the art, at a flow rate of 0.0 sccm some gas is injected into the chamber due to the lower chamber pressure with low pressure processes.) If used, the helium may assist in the formation of a more uniform layer. During the introduction of gasses, the CVD chamber is subjected to a radio frequency (RF) power of between about 300 watts (W) and about 1,000 W, preferably about 600 W, and a pressure of between about 3.0 torr (T) and about 7.0 T, preferably about 5.0 T. This process forms an a-C:B layer at a rate of about 2,500 angstroms (Å) per minute to about 5,500 Å per minute, depending on the gas flow rates and the rates of the other parameters as described above. An a-C:B antireflective layer between about 150 Å and about 500 Å is sufficient, and thus the process above is performed for between about 4.0 seconds and about 10 seconds. Table 1 summarizes these conditions when performed in an Applied Materials® (AMAT) Centura® chamber, and may need to be modified for other chambers. For example, in an AMAT Producer® chamber, the gas flows are increased.
    TABLE 1
    Summary of Variable Ranges to Form a Boron-Doped
    Amorphous Carbon Layer in an Applied Materials ® Centura ® Etch
    Chamber
    Variable Broad Range Narrow Range/Typical
    Temperature 200° C.-450° C. 350° C.-400° C.
    C3H6 flow rate   200 sccm-1,000 sccm 400 sccm-700 sccm
    B2H6 flow rate   100 sccm-2,000 sccm   400 sccm-1,300 sccm
    He flow rate    0 sccm-1,000 sccm  0 sccm-600 sccm
    RF Power   300 W-1,000 W 450 W-700 W
    Pressure 3.0 T-7.0 T 4.0 T-6.0 T
    a-C:B 2,500 Å/min-6,000 Å/min 4,000 Å/min-5,500 Å/min
    formation rate
  • The deposition process above dopes the amorphous carbon with boron to between about 0.1 atom percent (atom %) and about 20 atom %, more preferably to between about 1 atom % and about 15 atom %, and most preferably to between about 5 atom % and about 10 atom %, depending on the B2H6 flow rate relative to the flow rates of the propylene and (if used) helium. With benefit of the present description, alteration of the gas flow rates to result in the desired boron atom % may be accomplished by one of ordinary skill in the art.
  • As the atom % of boron increases, the film becomes more opaque. An atom % of greater than about 20% is not considered preferable for most uses, because as the boron concentration increases, it becomes more difficult to sufficiently etch the layer with an oxygen plasma in a process described below to form an opening in the ARC layer. With no boron doping in the amorphous carbon, the DARC layer will react with the photoresist to form a resist footing, and thus the resist layer cannot be formed directly on an amorphous carbon layer with no boron doping. Thus it is preferable to dope the amorphous carbon layer with boron to between about 0.1 atom % and about 20 atom %.
  • After formation of the FIG. 6 structure the DARC layer 60 is etched to expose BPSG layer 26, then the BPSG layer is etched to expose the underlying contact pad 25. This results in the FIG. 7 structure having an opening 40 in resist layer 38, ARC layer 60, and dielectric layers 34, 26 to expose contact pad 25. An a-C:B layer about 300 Å thick may be etched by exposing the a-C:B layer to an oxygen plasma for between about 3 seconds and about 10 seconds. The BPSG may be etched according to means known in the art.
  • After forming the structure of FIG. 7, an ash is performed by exposing the wafer to an oxygen plasma. This may be performed at a temperature of between about 200° C. and about 380° C. for between about 20 seconds and about 120 seconds. This ashes any remaining photoresist 38 and also ashes the DARC layer 60. Subsequently, the wafer may be exposed to a wet etch, such as to a QEtch II (1% phosphoric acid, 39% ammonium fluoride) for a duration of between about 15 seconds and about 60 seconds, or to a “piranha” etch (sulfuric acid and hydrogen peroxide) for a duration of between about 5 minutes and about 10 minutes. This removes the photoresist 36, DARC layer 60, and any polymer which may form within the opening 40. Finally, at least one metal layer is formed within the opening, for example by using a damascene process, to result in the plug 80 depicted in FIG. 8. It should be noted that the times listed herein may be different depending on the ash conditions and other etch conditions, and may be determined by one of ordinary skill in the art for any particular process.
  • During the ash of the a-C:B layer, adding fluorine to the oxygen plasma will increase the removal rate of the a-C:B layer. Thus it may be advantageous in some processes to expose at least the a-C:B layer to a fluorine-containing oxygen plasma. Fluorine may be introduced by the inclusion of a gas such as CF4, NF3, CH2F2 or CHF3 into the oxygen plasma during the ashing.
  • The embodiments described above allow for an improved removal of an antireflective coating through the use of a material (a-C:B) which may be ashed along with the photoresist layer rather than requiring removal through the use of an acid or a dry etch which may damage BPSG, TEOS, or other dielectric.
  • As depicted in FIG. 9, a semiconductor device 90 formed in accordance with the invention may be attached along with other devices such as a microprocessor 92 to a printed circuit board 94, for example to a computer motherboard or as a part of a memory module used in a personal computer, a minicomputer, or a mainframe 96. FIG. 9 may also represent use of device 90 in other electronic devices comprising a housing 96, for example devices comprising a microprocessor 92, related to telecommunications, the automobile industry, semiconductor test and manufacturing equipment, consumer electronics, or virtually any piece of consumer or industrial electronic equipment.
  • The process and structure described herein may be used to manufacture a number of different structures which comprise a structure formed using a photolithographic process. FIG. 10, for example, is a simplified block diagram of a memory device such as a dynamic random access memory having digit lines and other features which may be formed using an embodiment of the present invention. The general operation of such a device is known to one skilled in the art. FIG. 10 depicts a processor 92 coupled to a memory device 90, and further depicts the following basic sections of a memory integrated circuit: control circuitry 104; row 106 and column 108 address buffers; row 110 and column 112 decoders; sense amplifiers 114; memory array 116; and data input/output 118.
  • While this invention has been described with reference to illustrative embodiments, this description is not meant to be construed in a limiting sense. Various modifications of the illustrative embodiments, as well as additional embodiments of the invention, will be apparent to persons skilled in the art upon reference to this description. While the description above describes the use of the a-C:B layer as a DARC layer during the formation of a digit line contact opening, the inventive DARC layer may be used in many processes, for example during the etch of a dielectric to define capacitor bottom plates, during an etch to define the word lines, or during any patterning etch with which an antireflective layer is useful. It is therefore contemplated that the appended claims will cover any such modifications or embodiments as fall within the true scope of the invention.

Claims (16)

1. An in-process semiconductor device, comprising:
an antireflective layer comprising amorphous carbon having a boron concentration of between about 0.1 atom % and about 20 atom %.
2. The in-process semiconductor device of claim 1 further comprising the antireflective layer comprising amorphous carbon having a boron concentration of between about 0.1 atom % and about 10 atom %.
3. The in-process semiconductor device of claim 1 further comprising the antireflective layer comprising amorphous carbon having a boron concentration of between about 1 atom % and about 15 atom %.
4. The in-process semiconductor device of claim 1 further comprising the antireflective layer comprising amorphous carbon having a boron concentration of between about 5 atom % and about 10 atom %.
5. The in-process semiconductor device of claim 1 further comprising a photoresist layer on the antireflective layer.
6. The in-process semiconductor device of claim 5 further comprising:
a conductive contact location; and
a dielectric layer having an opening therein,
wherein the antireflective layer and the photoresist layer each have openings therein, wherein the openings in the dielectric layer, the antireflective layer, and the photoresist layer are aligned and expose the conductive contact location.
7. The in-process semiconductor device of claim 6 wherein the conductive contact location is a conductive contact pad electrically coupled with a doped region within a semiconductor wafer.
8. An antireflective layer used during the fabrication of an electronic device, comprising:
an antireflective layer comprising amorphous carbon having a boron concentration of between about 0.1 atom % and about 20 atom %.
9. The antireflective layer of claim 8 wherein the antireflective layer is an etch mask.
10. The antireflective layer of claim 9 further comprising the antireflective layer comprising amorphous carbon having a boron concentration of between about 0.1 atom % and about 10 atom %.
11. The antireflective layer of claim 9 further comprising the antireflective layer comprising amorphous carbon having a boron concentration of between about 1 atom % and about 15 atom %.
12. The antireflective layer of claim 9 further comprising the antireflective layer comprising amorphous carbon having a boron concentration of between about 5 atom % and about 10 atom %.
13. The antireflective layer of claim 9 further comprising a photoresist layer on the antireflective layer.
14. The antireflective layer of claim 13 further comprising:
a conductive contact location; and
a dielectric layer having an opening therein,
wherein the antireflective layer and the photoresist layer each have openings therein, wherein the openings in the dielectric layer, the antireflective layer, and the photoresist layer are aligned and expose the conductive contact location.
15. The antireflective layer of claim 14 wherein the conductive contact location is a conductive contact pad electrically coupled with a doped region within a semiconductor wafer.
16. The antireflective layer of claim 9 further having a thickness of between about 150 Å and about 500 Å.
US11/214,376 2003-09-24 2005-08-29 Antireflective coating for use during the manufacture of a semiconductor device Abandoned US20060220184A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/214,376 US20060220184A1 (en) 2003-09-24 2005-08-29 Antireflective coating for use during the manufacture of a semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/671,186 US6936539B2 (en) 2003-09-24 2003-09-24 Antireflective coating for use during the manufacture of a semiconductor device
US11/214,376 US20060220184A1 (en) 2003-09-24 2005-08-29 Antireflective coating for use during the manufacture of a semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/671,186 Division US6936539B2 (en) 2003-09-24 2003-09-24 Antireflective coating for use during the manufacture of a semiconductor device

Publications (1)

Publication Number Publication Date
US20060220184A1 true US20060220184A1 (en) 2006-10-05

Family

ID=34313904

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/671,186 Expired - Fee Related US6936539B2 (en) 2003-09-24 2003-09-24 Antireflective coating for use during the manufacture of a semiconductor device
US11/214,376 Abandoned US20060220184A1 (en) 2003-09-24 2005-08-29 Antireflective coating for use during the manufacture of a semiconductor device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/671,186 Expired - Fee Related US6936539B2 (en) 2003-09-24 2003-09-24 Antireflective coating for use during the manufacture of a semiconductor device

Country Status (1)

Country Link
US (2) US6936539B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080179291A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal and wafer front side photoresist removal
US20090258469A1 (en) * 2008-04-15 2009-10-15 Elpida Memory, Inc. Method of manufacturing semiconductor device

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL113812A (en) 1994-05-24 2000-06-29 Yeda Res & Dev Copolymer-1 pharmaceutical compositions containing it and its use
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US6936539B2 (en) * 2003-09-24 2005-08-30 Micron Technology, Inc. Antireflective coating for use during the manufacture of a semiconductor device
US7052972B2 (en) * 2003-12-19 2006-05-30 Micron Technology, Inc. Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus
US7122455B1 (en) * 2004-03-01 2006-10-17 Advanced Micro Devices, Inc. Patterning with rigid organic under-layer
WO2006050122A1 (en) * 2004-10-29 2006-05-11 Sandoz Ag Processes for preparing glatiramer
FR2879815A1 (en) 2004-12-16 2006-06-23 St Microelectronics Sa Metal-insulator-metal capacitor fabricating method for integrated circuit, involves etching insulating layer to form spacer surrounding upper electrode, and forming lower electrode and dielectric medium
FR2884646B1 (en) * 2005-04-19 2007-09-14 St Microelectronics Sa METHOD FOR MANUFACTURING AN INTEGRATED CIRCUIT COMPRISING A THREE DIMENSIONAL CAPACITOR
FR2884645B1 (en) * 2005-04-19 2007-08-10 St Microelectronics Sa METHOD FOR PRODUCING AN INTEGRATED CIRCUIT COMPRISING A CAPACITOR
KR100831796B1 (en) * 2006-05-29 2008-05-28 엘지전자 주식회사 An image display device for having time shift function and method for playing of the same
US8017517B2 (en) * 2007-06-07 2011-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene process
US7718546B2 (en) * 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
US8298931B2 (en) * 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
TW201216331A (en) * 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
FR3000603B1 (en) * 2012-12-28 2016-11-25 Commissariat Energie Atomique ANISOTROPIC ETCHING PROCESS
KR102222909B1 (en) 2013-10-10 2021-03-04 삼성전자주식회사 method for manufacturing semiconductor devices
US10546756B2 (en) * 2016-11-29 2020-01-28 Lam Research Corporation Method for generating vertical profiles in organic layer etches

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4675265A (en) * 1985-03-26 1987-06-23 Fuji Electric Co., Ltd. Electrophotographic light-sensitive element with amorphous C overlayer
US6303225B1 (en) * 2000-05-24 2001-10-16 Guardian Industries Corporation Hydrophilic coating including DLC on substrate
US6333255B1 (en) * 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US6424044B1 (en) * 2000-07-19 2002-07-23 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US6469425B1 (en) * 1999-02-12 2002-10-22 Kabushiki Kaisha Toshiba Electron emission film and field emission cold cathode device
US6770575B2 (en) * 1998-07-01 2004-08-03 Intel Corporation Method for improving thermal stability of fluorinated amorphous carbon low dielectric constant materials
US20040203242A1 (en) * 2003-04-11 2004-10-14 George Stojakovic System and method for performing a metal layer RIE process
US20040259355A1 (en) * 2003-06-17 2004-12-23 Zhiping Yin Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US20050064781A1 (en) * 2003-04-09 2005-03-24 Jerry Fielding Submersible water toy and related methods of use
US6936539B2 (en) * 2003-09-24 2005-08-30 Micron Technology, Inc. Antireflective coating for use during the manufacture of a semiconductor device
US7105431B2 (en) * 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6750127B1 (en) * 2003-02-14 2004-06-15 Advanced Micro Devices, Inc. Method for fabricating a semiconductor device using amorphous carbon having improved etch resistance

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4675265A (en) * 1985-03-26 1987-06-23 Fuji Electric Co., Ltd. Electrophotographic light-sensitive element with amorphous C overlayer
US6333255B1 (en) * 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US6770575B2 (en) * 1998-07-01 2004-08-03 Intel Corporation Method for improving thermal stability of fluorinated amorphous carbon low dielectric constant materials
US6469425B1 (en) * 1999-02-12 2002-10-22 Kabushiki Kaisha Toshiba Electron emission film and field emission cold cathode device
US6303225B1 (en) * 2000-05-24 2001-10-16 Guardian Industries Corporation Hydrophilic coating including DLC on substrate
US6424044B1 (en) * 2000-07-19 2002-07-23 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US20050064781A1 (en) * 2003-04-09 2005-03-24 Jerry Fielding Submersible water toy and related methods of use
US20040203242A1 (en) * 2003-04-11 2004-10-14 George Stojakovic System and method for performing a metal layer RIE process
US20040259355A1 (en) * 2003-06-17 2004-12-23 Zhiping Yin Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US6939794B2 (en) * 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7105431B2 (en) * 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US6936539B2 (en) * 2003-09-24 2005-08-30 Micron Technology, Inc. Antireflective coating for use during the manufacture of a semiconductor device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080179291A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal and wafer front side photoresist removal
US7967996B2 (en) * 2007-01-30 2011-06-28 Applied Materials, Inc. Process for wafer backside polymer removal and wafer front side photoresist removal
US20090258469A1 (en) * 2008-04-15 2009-10-15 Elpida Memory, Inc. Method of manufacturing semiconductor device

Also Published As

Publication number Publication date
US20050064718A1 (en) 2005-03-24
US6936539B2 (en) 2005-08-30

Similar Documents

Publication Publication Date Title
US20060220184A1 (en) Antireflective coating for use during the manufacture of a semiconductor device
US7576441B2 (en) Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7052972B2 (en) Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus
US6500756B1 (en) Method of forming sub-lithographic spaces between polysilicon lines
US6455417B1 (en) Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer
US5895740A (en) Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US7935639B2 (en) Process for increasing feature density during the manufacture of a semiconductor device
US6720132B2 (en) Bi-layer photoresist dry development and reactive ion etch method
US7067235B2 (en) Bi-layer photoresist dry development and reactive ion etch method
US5552334A (en) Method for fabricating a Y-shaped capacitor in a DRAM cell
US6306560B1 (en) Ultra-thin resist and SiON/oxide hard mask for metal etch
JP2000299380A (en) Method for forming contact in semiconductor element
US6376384B1 (en) Multiple etch contact etching method incorporating post contact etch etching
US6787455B2 (en) Bi-layer photoresist method for forming high resolution semiconductor features
CN102201365A (en) Method for producing semiconductor device
US20070123050A1 (en) Etch process used during the manufacture of a semiconductor device and systems including the semiconductor device
US20110248385A1 (en) Method for selectively forming symmetrical or asymmetrical features using a symmetrical photomask during fabrication of a semiconductor device and electronic systems including the semiconductor device
US6448179B2 (en) Method for fabricating semiconductor device
EP0859400A2 (en) Improvements in or relating to integrated circuits
JPH11340198A (en) Method for forming multi-layer contact hole
US20060043536A1 (en) Implanted photoresist to reduce etch erosion during the formation of a semiconductor device
US7326647B2 (en) Dry etching process to form a conductive layer within an opening without use of a mask during the formation of a semiconductor device
US20040152332A1 (en) Method for patterning dielectric layers on semiconductor substrates
JP3486331B2 (en) Method for manufacturing semiconductor device
JPH11135628A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION