US20050130334A1 - Self-aligned contact process implementing bias compensation etch endpoint detection and methods for implementing the same - Google Patents

Self-aligned contact process implementing bias compensation etch endpoint detection and methods for implementing the same Download PDF

Info

Publication number
US20050130334A1
US20050130334A1 US11/046,424 US4642405A US2005130334A1 US 20050130334 A1 US20050130334 A1 US 20050130334A1 US 4642405 A US4642405 A US 4642405A US 2005130334 A1 US2005130334 A1 US 2005130334A1
Authority
US
United States
Prior art keywords
etch
esc
bias compensation
recited
endpoint
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/046,424
Inventor
Jun-Cheng Ko
Young-Tong Tsai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US11/046,424 priority Critical patent/US20050130334A1/en
Publication of US20050130334A1 publication Critical patent/US20050130334A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Definitions

  • the present invention relates generally to the fabrication of semiconductor devices and, more particularly, to improving the fabrication sequence in self-aligned contact processes by enhancing the etch endpoint detection of a desired layer.
  • SAC self-aligned contact
  • SiN 3 silicon nitride spacer etch process stage
  • a silicon nitride layer is deposited on a surface of substrate having fabricated transistors.
  • each transistor includes source/drain diffusion regions, a conductive polysilicon gate, and a dielectric gate oxide.
  • This silicon nitride layer is subsequently etched utilizing a plasma etch process, thereby creating silicon nitride spacers alongside the polysilicon gates.
  • a stop layer e.g., silicon nitride
  • ILD interlevel dielectric layer
  • HDP high density plasma
  • TEOS tetraethylorthosilicate
  • oxide deposition layer e.g., silicon dioxide
  • the surface of the ILD layer is patterned using the photoresist mask defining unprotected contact-like portions.
  • exposed portions of the ILD layer are selectively removed during an etching process implementing a first set of chemicals.
  • the etching process is repeated in the following stop layer liner etch process stage, wherein the exposed portions of the stop layer (typically, made out of silicon nitride) are removed implementing a second set of chemicals.
  • the exposed portions of the stop layer typically, made out of silicon nitride
  • SAC ILD oxide etch and SAC silicon nitride stop layer liner etch implement a dry etching method called plasma etching.
  • the plasma etching process is typically performed in a plasma chamber in which strong electrical fields cause high energy gases containing positively charged ions and negatively charged electrons to be accelerated toward the exposed surface areas of the ILD layer and silicon nitride stop layer.
  • the exposed portions of the ILD layer and silicon nitride stop layer are physically removed as a result of being bombarded with positive ions.
  • etching the exposed portions of the ILD and silicon nitride stop layers must stop once it has been determined that the ILD and silicon nitride stop layers have been etched through. As a result, it is imperative to implement an endpoint detection method to stop the etching process once the desired layer has been etched through.
  • time mode or optical emission spectroscopy is used to detect the etch endpoint of a desired layer.
  • the thickness of the desired layer as well as the etch rate of the material being removed are used to calculate the approximate length of time required to remove the desired layer.
  • the time mode has proven to be an unreliable and inefficient method for etch endpoint detection. For instance, due to wafer-to-wafer thickness variation, overetching or underetching of the desired layer may occur.
  • the former may cause the removal of portions of the underlying silicon substrate layer or polysilicon gate whereas the latter necessitates further work on the wafer so as to remove the remaining exposed portions.
  • the light emitted by the gases within the etch reactant chamber is used to identify the specific material being etched.
  • the endpoint detector can in theory determine when the etching of a desired material has concluded.
  • the surface area of contacts and vias i.e., the exposed oxide portions of the ILD layer
  • shrunk for instance, compare a typical contact oxide area which ranges from 2% to 0.5% to an expected contact oxide area of 0.2% in the near future.
  • the concentration of the material being etched in the plasma has decreased, thus creating a rather small change in the plasma at etch endpoint.
  • this small change in the plasma results in small changes in the optical emission signal, thus hindering the optical emission endpoint detection process.
  • the optical emission endpoint is designed to be monitored through a transparent window defined within etch reactant chamber. However, as the etching process progresses, monitoring optical emission endpoint signal becomes impossible since visibility reduces as etch polymers build up and cover the transparent window over time. As a result, the optical emission endpoint has also proven to be unreliable in etch endpoint detection, as overetching or underetching of the desired layer may occur.
  • silicon nitride stop layers are being implemented to compensate for the overetching of the underlying layers.
  • silicon nitride stop layers are not required in the fabrication of the semiconductor devices.
  • the unreliability of the two predominantly implemented etch endpoint detection processes has added two extra fabrications stages to the SAC contact etch processes, unnecessarily increasing the cost associated with SAC contact process while needlessly reducing throughput.
  • an interlevel dielectric (ILD) layer of the present invention is formed substantially directly on the substrate and the gate structures without a need to include stop layers as a process margin.
  • the present invention implements an anticipated ascertained change in a compensation bias voltage of an electrostatic chuck disposed within a reactant etch chamber as evidence of etch endpoint of a desired layer in self-aligned contact (SAC) processes.
  • a bias compensation self-aligned contact (SAC) etch endpoint detecting system includes an etch reactant chamber, an ESC power supply, and a signal processing computer.
  • the etch reactant chamber includes an electrostatic chuck (ESC), a top electrode, and a bottom electrode.
  • the ESC supports a substrate having an interlevel dielectric (ILD) layer to be etched.
  • the ESC power supply is coupled to the ESC and is configured to function as a bias compensating power supply.
  • the signal processing computer monitors a bias compensation signal generated by the ESC power supply.
  • the etch process to be carried out in the etch reactant chamber is configured to be discontinued when the bias compensation signal is determined to have a previously ascertained characteristic evidencing an etch endpoint of the ILD layer.
  • a bias compensation etch system in another embodiment, includes an etch reactant chamber, a radio frequency (RF) power supply component, and an etch endpoint monitoring circuitry.
  • the etch reactant chamber includes an electrostatic chuck (ESC) configured to support a substrate to be processed.
  • the substrate has an interlevel dielectric (ILD) layer that is to be etched.
  • the RF power supply component is coupled to the ESC and is configured to excite a plasma defined within the etch reactant chamber.
  • the etch endpoint monitoring circuitry is coupled to the ESC and is configured to provide bias compensating power to the ESC and monitor a generated bias compensation signal.
  • the etch endpoint monitoring circuitry is configured to discontinue an etch process being carried out in the etch reactant chamber once a previously ascertained characteristic of the bias compensation signal evidencing an etch endpoint of the ILD layer has been detected.
  • a method for enhancing the fabrication process of a self-aligned contact (SAC) structure includes forming a transistor structure on a surface of a substrate. The method also includes forming a dielectric layer directly over the surface of the substrate without forming an etch stop layer on the surface of the substrate. Also included in the method is plasma etching a contact hole through the dielectric layer in a plasma processing chamber. The method also includes monitoring a bias compensation voltage of the plasma processing chamber during the plasma etching process and discontinuing the plasma etching process upon detecting an endpoint signaling change in the bias compensation voltage.
  • a method for enhancing the fabrication process of a self-aligned contact includes forming a transistor structure on a substrate.
  • the transistor structure includes a gate structure formed over a first surface of the substrate.
  • the method further includes forming spacers along sidewalls of the gate structure and forming source/drain diffusion regions into the first surface of substrate.
  • the source/drain diffusion regions are defined substantially outside of the spacers formed along the sidewalls of the gate structure.
  • the method further includes forming an interlevel dielectric (ILD) layer directly over the first surface of the substrate such that the ILD layer overlies the gate structure, the spacers, and the first surface of the substrate without forming a stop layer.
  • ILD interlevel dielectric
  • the method also includes forming a contact hole and a via hole in the ILD layer implementing a plasma etching process.
  • the contact hole is defined to a top layer of the gate structure and the via hole is defined to the source/drain diffusion regions. Further included in the method are monitoring a bias compensation voltage during the plasma etching process and discontinuing the plasma etching process when an endpoint signaling change in the bias compensation voltage is detected.
  • a method for accurately detecting a plasma etch endpoint of a self-aligned contact includes providing a substrate having a transistor structure on a surface of the substrate. Also included in the method is forming a dielectric layer directly over the surface of the substrate without forming an etch stop layer thereon. The method further includes inserting the substrate into a plasma etching chamber so as to plasma etch a contact hole into the dielectric layer. Also included are introducing etchant gases into the plasma etching chamber and powering up the plasma etching chamber. The powering up of the plasma etching chamber is configured to strike a plasma so as to commence the plasma etching process. The method also includes monitoring a bias level of the plasma etching chamber during the plasma etching process and discontinuing the plasma etching process when an endpoint signaling change in the bias compensation voltage is detected.
  • bias compensation self-aligned contact etch process of the present invention eliminates unnecessary fabrication stages associated with the stop layers, thus reducing the fabrication cost. Additionally, as the bias compensation SAC contact etch process of the present invention can accurately control the etch process, the SAC contact etch process of the present invention can be stopped once the underlying source/drain regions or polysilicon gates are exposed. Thus, the bias compensation SAC etch process of the present invention can be used to repeatedly and accurately detect etch endpoint in wafers having different thickness. Yet another advantage is that the bias compensation SAC process of the present invention implements an ascertained anticipated change in the bias compensation voltage as evidence of etch endpoint.
  • bias compensation SAC etch process of the present invention implements changes in the resistive properties of the wafer. This is in contrast to the time mode and optical emission methods which respectively implement the time and removal rate of a specific material or changes in the plasma to detect the etch endpoint. In this manner, the embodiments of the present invention eliminate the shortcomings associated with the time mode and optical emission spectroscopy etch endpoint detection methods.
  • FIGS. 1A-1C depict the different stages of fabricating a wafer implementing a self-aligned contact (SAC) process, in accordance with one embodiment of the present invention.
  • SAC self-aligned contact
  • FIG. 2 is a schematic and simplified illustration of an exemplary bias compensated bi-polar ESC etch system, in accordance with another embodiment of the present invention.
  • FIG. 3A is a schematic and simplified illustration of an exemplary bias compensation etch system, in accordance with yet another embodiment of the present invention.
  • FIG. 3B is a simplified schematic illustration of the creation of an electrical path between a plasma and the wafer in the exemplary bias compensation etch system depicted in FIG. 3A , in accordance with still another embodiment of the present invention
  • FIG. 4 illustrates a graph plotting bias compensation voltage of the ESC vs. etch time, in accordance with still another embodiment of the present invention.
  • FIG. 5A is a flowchart diagram illustrating method operations performed in an exemplary bias compensation etch system, in accordance with yet another embodiment of the present invention.
  • FIG. 5B is a flowchart diagram illustrating the introduction of etchant gases into a chamber, in accordance with still another embodiment of the present invention.
  • FIG. 5C is a flow chart diagram illustrating the method operations performed in the powering up a chamber, in accordance with yet another embodiment of the present invention.
  • the present invention implements an expected ascertained change in a compensation bias voltage of an electrostatic chuck disposed within a reactant etch chamber as evidence of etch endpoint in a self-aligned contact process.
  • the present invention correlates a step increase in a bias compensation voltage of an electrostatic chuck disposed within an etch reactant chamber with the length of time required to physically remove an interlevel dielectric layer (ILD) layer before exposing the underlying source/drain diffusion regions or gate structures.
  • ILD interlevel dielectric layer
  • FIGS. 1A-1C depict the different stages of fabricating a wafer 100 implementing a self-aligned contact (SAC) process, in accordance with one embodiment of the present invention.
  • the embodiment of FIG. 1A depicts a substrate 102 subsequent to the fabrication of spacers 110 .
  • the substrate 102 has a plurality of transistors, each of which includes source/drain diffusion regions 106 , a conductor polysilicon gate 108 , a dielectric gate oxide 112 , and spacers 110 .
  • the spacers 110 are made out of silicon nitride and are formed alongside the polysilicon gates 108 and gate oxides 112 .
  • the spacers 110 are formed through depositing a silicon nitride layer on the surface of the substrate 102 and polysilicon gates 108 , and subsequently etching the same by using a plasma etching process. Also included in the illustrated substrate 102 are shallow trench isolation regions (STIs) 104 .
  • STIs shallow trench isolation regions
  • impurities are implanted into the source/drain diffusion regions 106 of the substrate 103 outside of the polysilicon gates 108 , gate oxides 112 , and the spacers 110 .
  • a heat treatment is performed so as to activate the impurities implanted within the source/drain diffusion regions 106 .
  • an interlevel dielectric layer (ILD) 119 is formed over the substrate 102 .
  • the ILD layer 119 is created through consecutive deposition of an oxide layer 114 using the high-density plasma chemical vapor deposition (HDPCVD) technique, a tetraethylorthosilicate (TEOS) layer 116 , and an oxide layer 118 .
  • HDPCVD high-density plasma chemical vapor deposition
  • TEOS tetraethylorthosilicate
  • oxide layer 118 any suitable oxide formation process may be used.
  • the third and fourth stages of the SAC process of the present invention can further be understood.
  • a photoresist mask 121 is used to pattern the ILD layer 119 defining unprotected and exposed contact/via-like portions thereon.
  • the SAC oxide etch process stage i.e., fourth process stage
  • the exposed portions of the ILD layer 119 are selectively removed in a plasma etching process implementing a set of chemicals.
  • a plurality of contact holes 120 and via holes 122 are formed within the ILD layer 119 , which once filled with a conductive material will provide electrical connection between the successive conductive layers.
  • the present invention eliminates the silicon nitride stop layers by implementing bias compensation etch endpoint detection. Consequently, the SAC process of the present invention employs two process stages less than the conventional SAC processes. Specifically, the present invention eliminates the silicon nitride cap deposition and SAC silicon nitride liner etch, as the bias compensation endpoint detection provides such accuracy, which renders using stop layers as process margins redundant. As a consequence, the present invention reduces fabrication cost incurred in SAC processes while it increases wafer throughput.
  • FIG. 2 is a schematic and simplified illustration of an exemplary bias compensated bi-polar ESC etch system 200 , in accordance with one embodiment of the present invention.
  • the bias compensated bi-polar ESC etch system 200 includes an etch reactant chamber 204 , a bi-polar ESC 206 , a radio frequency (RF) power supply 208 , an ESC power supply 209 , and a signal processing computer 212 .
  • the bi-polar ESC 206 includes a pair of conductive portions, poles 206 a and 206 b configured to function as a pair of electrodes.
  • the pole 206 a is coupled to a positive terminal of the ESC power supply 209 and is configured to function as a positive pole.
  • the pole 206 b is coupled to a negative terminal of the ESC power supply 209 and is designed to function as the negative pole.
  • a supply tube 307 formed within the ESC chuck 206 is configured to deliver a cooling gas (e.g., helium, etc.) to the wafer 103 during the etch process.
  • the RF power supply 208 is coupled to the electrostatic chuck (ESC) 206 and is configured to excite the plasma released into the etch reactant chamber 204 .
  • the ESC power supply 209 , the RF power supply 208 , and the plasma released into the etch reactant chamber 204 are configured to induce a positive potential and negative potential on the respective positive pole 206 a and negative pole 206 b .
  • electrostatic forces are generated between the positive and negative poles 206 a and 206 b and their respective overlaying regions of the wafer 103 . In this manner, the generated electrostatic forces securely hold the wafer 103 in place with respect to the ESC 206 during the etching process.
  • the ESC power supply 209 further functions as a bias compensating high-voltage supply that powers the ESC 206 .
  • bias compensation is defined as a method used to regulate the voltage present on the wafer 103 . As designed, the current from the ESC 206 to the plasma is minimized, thereby ensuring equal clamping force at each of the positive and negative poles 206 a and 206 b by generating equal electrostatic force between each of the positive and negative poles 206 a and 206 b and the plasma.
  • the signal processing computer 212 monitors a bias compensation signal 210 generated by the ESC power supply 209 in real time.
  • the bias compensation signal 210 is configured to be sensitive to any change in the resistance of the wafer 103 .
  • the embodiments of the present invention implement an endpoint signaling change. That is, the embodiments of the present invention implement a previously ascertained step increase (e.g., change) in the bias compensation signal 210 generated by the ESC power supply 209 to detect the etch endpoint.
  • the bias compensation etch system 300 includes an etch reactant chamber 304 , RF power components 308 and 318 , and an endpoint monitoring circuitry 312 .
  • the RF power component 318 is coupled to the shower head 316 and is configured to excite the plasma 320 from the above while the RF power component 308 is coupled to the ESC 306 so as to excite the plasma 320 from below.
  • the RF power components 318 and 308 are grounded.
  • the showerhead 316 Disposed within the etch reactant chamber 304 are the showerhead 316 , a plurality of containment rings 324 , the ESC 306 , and a wafer 103 .
  • the plasma 320 is created in a plasma region 322 disposed within the etch reactant chamber 304 , and process gasses are passed through the showerhead 316 having a plurality of holes 316 a .
  • the containment rings 322 are configured to substantially confine the plasma 320 within the plasma region 322 .
  • the wafer 103 is disposed over the ESC 306 and may be any suitable semiconductor wafer or substrate.
  • a plurality of exhaust pipes 328 a and 328 b are disposed outside of the etch reactant chamber 304 to release the contents of the reactant etching chamber 304 (e.g., plasma 320 , by-products, polymers, etc.)
  • the ESC 306 depicted in this example is a bi-polar ESC and is configured to have a pair of electrodes 306 a and 306 b , respectively, coupled to positive and negative terminals of the endpoint monitoring circuitry 312 .
  • the ESC 306 may further include one or more supply tubes 307 , which may be fed through one or more portions of the ESC 306 so as to supply a cooling gas. Additionally, one of ordinary skill in the art must appreciate that the ESC poles may assume any configuration.
  • the endpoint monitoring circuitry 312 performs several functions. For instance, the endpoint monitoring circuitry 312 functions as a bias compensating power supply that provides power to the ESC 306 . The endpoint monitoring circuitry further generates a bias compensation signal, which reflects the changes in the resistance of the wafer 103 . Yet another function of the endpoint monitoring circuitry 312 is to monitor the bias compensation signal, searching for the expected change in the bias compensation, as the etch process approaches the endpoint.
  • the SAC plasma etch process of the present invention is configured to follow a specific combination of process parameters to etch through the ILD layer (e.g., etch reactant chamber pressure, gas mixtures, gas flow rates, temperature, RF power (top and bottom), wafer position with respect to the plasma, etc.).
  • process parameters to etch through the ILD layer e.g., etch reactant chamber pressure, gas mixtures, gas flow rates, temperature, RF power (top and bottom), wafer position with respect to the plasma, etc.
  • the plasma etch process maintains approximately about 82 militorr (mT) pressure inside the etch reactant chamber.
  • the plasma etch process further applies approximately about 1400 watts (W) and 1100 watts (W) through the RF power component 318 and RF power component 308 , respectively.
  • the chemistry implemented preferably contains argon, C 4 F 6 , oxygen, each having a flow rate of 260 standard cubic centimeter per minute (sccm), 11 sccms, 12 sccms, respectively.
  • sccm standard cubic centimeter per minute
  • a wide range of gas chemistries may be implemented (e.g., fluorine may be used to etch SiO 2 , chlorine may be used to etch aluminum, and chlorine, fluorine, and bromine may be used to etch silicon, oxygen may be used to etch photoresist, etc.).
  • FIG. 3B depicting a partial, simplified, and magnified portion 311 illustrated in FIG. 2B , in accordance with one embodiment of the present invention.
  • the strong electrical field created within the etch reactant chamber 304 causes the positively charged ions 320 ′ of the plasma 320 to accelerate toward the photoresist 121 and the exposed portions of the ILD layer 119 .
  • the positive ions 320 ′ bombard the photoresist 121 and the exposed portions of the ILD layer 119 and gradually remove the exposed portions of the ILD 119 .
  • the resistance between the ILD layer 119 and the plasma 320 is significantly high.
  • the resistance between the ILD layer 119 and the plasma 320 is reduced.
  • an electrical path 332 is slowly created from the plasma 320 to the underlying layer, the source/drain diffusion regions 106 of the silicon substrate 102 or the polysilicon gates 112 .
  • the step rise in the bias compensation voltage occurs when the exposed portions of the ILD layer 119 are etched through, down to the source/drain diffusion regions 106 of the silicon substrate layer 102 (or even the polysilicon gates 112 ).
  • the change in the resistance of the wafer 103 ultimately leads to a measurable change in the bias compensation voltage.
  • any change, whether up or down, which can be differentiated from some constant flow can function as an indicator of reaching endpoint.
  • the change has varied between about five (5) V and about 30 V.
  • the bias compensation SAC etch system of the present invention has eliminated the need to implement the silicon nitride stop layers typically implemented as process margins in the conventional SAC etch processes.
  • FIG. 4 illustrates a graph 406 that plots bias compensation voltage of the ESC vs. etch time, in accordance with one embodiment of the present invention.
  • the graph 406 illustrates the changes in the ESC bias compensation voltage as the ILD layer is etched.
  • the bias compensation voltage of the ESC is measured to be about V a , which corresponds to a point 418 on the bias compensation V-axis 404 and the graph 406 .
  • the graph 406 starts ascending and continues to do so as the etch process continues.
  • the desired layer i.e., the ILD layer
  • the underlying layer i.e., the source/drain regions of the silicon substrate or the polysiclicon gates
  • an endpoint signal in the form of a step increase 406 a is detected in the graph 406 .
  • the step increase 406 a as defined between points 408 and 410 of the graph 406 may occur between the corresponding time range of t 1 to t 2 and respective anticipated bias compensation voltage range of V 1 to V 2 .
  • the ILD layer provides a substantially higher resistance between the underlying source/drain regions of the substrate silicon and the plasma. This resistance is reduced as the exposed portions of the ILD layer are gradually removed through the etch process. As a result, an electrical path is created from the plasma to the underlying source/drain regions of the silicon substrate.
  • the bias compensation voltage is very sensitive to changes in the wafer resistance. Thus, in response to the changes in the wafer resistance, the bias compensation voltage is increased from a bias compensation voltage V a to V 1 , as the etch process continues from t 0 to t 1 , creating an ascending graph 406 .
  • the etch endpoint signal in the form of the step increase 406 a is detected in the ESC bias compensation voltage.
  • the point 408 of the graph 406 corresponds to the time t 1 in which at least a portion of the underlying source/drain regions of the silicon substrate become exposed.
  • a point 410 of the graph 406 corresponds to a time t 2 at which the exposed portions of the ILD layer have substantially been removed exposing the underlying source/drain regions of the silicon substrate and the polysilicon gates.
  • the etch process endpoint is evidenced with a step increase in the bias compensation voltage
  • the etch process endpoint may be evidenced by a sharp downward slope, a spike, or a sudden dip in the bias compensation signal. Therefore, it must be appreciated that irrespective of the shape of the bias compensation signal, the end of the etch process is evidenced with a distinct change in the bias compensation voltage.
  • performing sample etching operations on sample substrates can be used to determine the specific characteristics and shape of the etch endpoint bias compensation signal. In this manner, the endpoint monitoring systems can be instructed to search for the ascertained characteristic and shape in the bias compensation plot so as to define the etch endpoint.
  • FIG. 5A a flowchart diagram 500 of FIG. 5A illustrating method operations performed in a bias compensation SAC etch system of the present invention, in accordance with one embodiment of the present invention.
  • the method begins in operation 502 in which a substrate having an ILD layer to be etched is provided.
  • the bias compensation SAC etch system of the present invention can be implemented to detect the etch endpoint in substrates which do not include silicon nitride stop layers.
  • the ILD layer of the present invention is formed substantially directly on the silicon substrate and the polysilicon gates without a need to include stop layers as a process margin.
  • the substrate is inserted into a chamber and is disposed on an electrostatic chuck (ESC).
  • the chamber further includes a showerhead, containment rings, and other standard chamber hardware and associated software.
  • etchant gases are introduced into the chamber in operation 506 .
  • a plurality of holes defined within the showerhead is implemented to introduce the etchant gases into the chamber. Further information with respect to the gases implemented as etchants and their compositions is provided below in connection with FIG. 5B .
  • the chamber is powered up to strike the plasma, thereby commencing the etching operation. For instance, in one example, once an RF power supply coupled to the ESC is turned on, the gases released into the chamber are excited, initiating the plasma etching process. Additional information with respect to powering up the chamber is provided below in connection with FIG. 5C .
  • a bias level of the substrate is monitored. This is important as the current flowing to the ESC poles change due to the changes in the substrate bias level as the etching operation continues. In one embodiment, these current changes are used to produce a feedback signal to the bias compensation power supply designed to maintain the current flow to the ESC poles substantially equal. Thus, as the etching operation progresses, the changes in the wafer bias level ultimately leads to changes in the bias compensation voltage.
  • the etching process is discontinued in operation 512 . Specifically, this occurs when the exposed portions of the ELD layer have substantially been removed thereby exposing a portion of the underlying source/drain regions of the silicon substrate or the polysilicon gates. At this time, in one example, an etch endpoint signal in a form of a step increase can be detected in the bias compensation voltage. In this operation, the etch process is discontinued once the step increase in the bias compensation level is detected.
  • the substrate is removed from the chamber and the chamber and the substrate are prepared for further processing, if desired. That is, the chamber is prepared for performing an etch operation on a different substrate.
  • an argon level is set.
  • the flow rate of argon may be set to be 260 standard cubic centimeters per minute (sccm).
  • a level is set for C 4 F 6 , which is subsequently followed by operation 506 wherein a level is set for oxygen.
  • the flow rate of C 4 F 6 and oxygen may be set to be about 11 sccm, about 12 sccm, respectively.
  • a top electrode power and a bottom electrode power are set, respectively.
  • each of the top and bottom electrodes are coupled to a radio frequency (RF) power.
  • RF radio frequency
  • each RF power applies approximately about 1400 watts (W) and 1100 watts (W) to the respective top electrode and bottom electrode.
  • a pressure level is set in operation 508 c , which in one implementation, maintains approximately about 82 militorr (mT) pressure inside the etch chamber.

Abstract

A bias compensation self-aligned contact (SAC) etch endpoint detecting system is provided. The system includes an etch reactant chamber, an ESC power supply, and a signal processing computer. The etch reactant chamber includes an electrostatic chuck (ESC), a top electrode, and a bottom electrode. The ESC supports a substrate having an interlevel dielectric (ILD) layer to be etched. The ESC power supply is coupled to the ESC and is configured to function as a bias compensating power supply. The signal processing computer monitors a bias compensation signal generated by the ESC power supply. The etch process to be carried out in the etch reactant chamber is configured to be discontinued when the bias compensation signal is determined to have a previously ascertained characteristic evidencing an etch endpoint of the ILD layer.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This Application is a divisional of application Ser. No. 09/895,566, filed on Jun. 29, 2001, entitled “IMPROVED SELF-ALIGNED CONTACT PROCESS IMPLEMENTING BIAS COMPENSATION ETCH ENDPOINT DETECTION AND METHODS FOR IMPLEMENTING THE SAME” from which priority under 35 U.S.C. § 120 is claimed. The disclosure of this application is incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates generally to the fabrication of semiconductor devices and, more particularly, to improving the fabrication sequence in self-aligned contact processes by enhancing the etch endpoint detection of a desired layer.
  • 2. Description of the Related Art
  • As is well known, in semiconductor manufacturing, different processes can be implemented to fabricate millions of transistors on a semiconductor chip. An exemplary process is a self-aligned contact (SAC) process, which traditionally is implemented in several stages. First, in the silicon nitride (SiN3) spacer etch process stage, a silicon nitride layer is deposited on a surface of substrate having fabricated transistors. As is well known, each transistor includes source/drain diffusion regions, a conductive polysilicon gate, and a dielectric gate oxide. This silicon nitride layer is subsequently etched utilizing a plasma etch process, thereby creating silicon nitride spacers alongside the polysilicon gates. Second, in the stop layer cap deposit process stage, a stop layer (e.g., silicon nitride) is deposited over the gate oxides as well as the source/drain diffusion regions. Next, the interlevel dielectric layer (ILD) is formed in the ILD oxide deposit process stage through successive depositions of a high density plasma (HDP) oxide layer, a tetraethylorthosilicate (TEOS) deposition layer, and an oxide deposition layer (e.g., silicon dioxide).
  • Next, in the contact lithography process stage, the surface of the ILD layer is patterned using the photoresist mask defining unprotected contact-like portions. Then, in the ILD oxide etch process stage, exposed portions of the ILD layer are selectively removed during an etching process implementing a first set of chemicals. Thereafter, the etching process is repeated in the following stop layer liner etch process stage, wherein the exposed portions of the stop layer (typically, made out of silicon nitride) are removed implementing a second set of chemicals. As is well known, implementing two different sets of chemicals to etch the exposed portions of the ILD oxide layer and silicon nitride stop layer is important, as the removal of silicon nitride requires chemicals with higher selectivity.
  • Generally, SAC ILD oxide etch and SAC silicon nitride stop layer liner etch implement a dry etching method called plasma etching. The plasma etching process is typically performed in a plasma chamber in which strong electrical fields cause high energy gases containing positively charged ions and negatively charged electrons to be accelerated toward the exposed surface areas of the ILD layer and silicon nitride stop layer. In actuality, the exposed portions of the ILD layer and silicon nitride stop layer are physically removed as a result of being bombarded with positive ions. However, etching the exposed portions of the ILD and silicon nitride stop layers must stop once it has been determined that the ILD and silicon nitride stop layers have been etched through. As a result, it is imperative to implement an endpoint detection method to stop the etching process once the desired layer has been etched through.
  • Predominantly, either time mode or optical emission spectroscopy is used to detect the etch endpoint of a desired layer. In the time mode, the thickness of the desired layer as well as the etch rate of the material being removed are used to calculate the approximate length of time required to remove the desired layer. However, because the thickness of wafers and layers formed thereon vary, the time mode has proven to be an unreliable and inefficient method for etch endpoint detection. For instance, due to wafer-to-wafer thickness variation, overetching or underetching of the desired layer may occur. By way of example, the former may cause the removal of portions of the underlying silicon substrate layer or polysilicon gate whereas the latter necessitates further work on the wafer so as to remove the remaining exposed portions.
  • In the alternative optical emission spectroscopy method, the light emitted by the gases within the etch reactant chamber is used to identify the specific material being etched. As the light emission intensity is directly proportional to the concentration of a specific gas within the etch reactant chamber, the endpoint detector can in theory determine when the etching of a desired material has concluded. However, as the changes in the semiconductor substrate fabrication design rules have enabled the fabrication of smaller and smaller semiconductor substrates, the surface area of contacts and vias (i.e., the exposed oxide portions of the ILD layer) have shrunk (for instance, compare a typical contact oxide area which ranges from 2% to 0.5% to an expected contact oxide area of 0.2% in the near future). Consequently, the concentration of the material being etched in the plasma has decreased, thus creating a rather small change in the plasma at etch endpoint. Ultimately, this small change in the plasma results in small changes in the optical emission signal, thus hindering the optical emission endpoint detection process. Additionally, the optical emission endpoint is designed to be monitored through a transparent window defined within etch reactant chamber. However, as the etching process progresses, monitoring optical emission endpoint signal becomes impossible since visibility reduces as etch polymers build up and cover the transparent window over time. As a result, the optical emission endpoint has also proven to be unreliable in etch endpoint detection, as overetching or underetching of the desired layer may occur.
  • Accordingly, currently, silicon nitride stop layers are being implemented to compensate for the overetching of the underlying layers. However, besides creating a margin for error, silicon nitride stop layers are not required in the fabrication of the semiconductor devices. Thus, the unreliability of the two predominantly implemented etch endpoint detection processes has added two extra fabrications stages to the SAC contact etch processes, unnecessarily increasing the cost associated with SAC contact process while needlessly reducing throughput.
  • In view of the foregoing, a need exists for a semiconductor fabrication methodology that eliminates extra fabrication stages implemented in a self-aligned contact process thus reducing fabrication cost while increasing wafer throughput.
  • SUMMARY OF THE INVENTION
  • Broadly speaking, the present invention fills these needs by providing an apparatus and methodology for optimizing the self-aligned contact processes through eliminating the stop layers, thereby reducing fabrication cost while increasing wafer throughput. Preferably, an interlevel dielectric (ILD) layer of the present invention is formed substantially directly on the substrate and the gate structures without a need to include stop layers as a process margin. In one preferred embodiment, the present invention implements an anticipated ascertained change in a compensation bias voltage of an electrostatic chuck disposed within a reactant etch chamber as evidence of etch endpoint of a desired layer in self-aligned contact (SAC) processes.
  • It should be appreciated that the present invention can be implemented in numerous ways, including as a process, an apparatus, a system, a device, or a method. Several inventive embodiments of the present invention are described below.
  • In one embodiment, a bias compensation self-aligned contact (SAC) etch endpoint detecting system is provided. The system includes an etch reactant chamber, an ESC power supply, and a signal processing computer. The etch reactant chamber includes an electrostatic chuck (ESC), a top electrode, and a bottom electrode. The ESC supports a substrate having an interlevel dielectric (ILD) layer to be etched. The ESC power supply is coupled to the ESC and is configured to function as a bias compensating power supply. The signal processing computer monitors a bias compensation signal generated by the ESC power supply. The etch process to be carried out in the etch reactant chamber is configured to be discontinued when the bias compensation signal is determined to have a previously ascertained characteristic evidencing an etch endpoint of the ILD layer.
  • In another embodiment, a bias compensation etch system is provided. The system includes an etch reactant chamber, a radio frequency (RF) power supply component, and an etch endpoint monitoring circuitry. The etch reactant chamber includes an electrostatic chuck (ESC) configured to support a substrate to be processed. The substrate has an interlevel dielectric (ILD) layer that is to be etched. The RF power supply component is coupled to the ESC and is configured to excite a plasma defined within the etch reactant chamber. The etch endpoint monitoring circuitry is coupled to the ESC and is configured to provide bias compensating power to the ESC and monitor a generated bias compensation signal. The etch endpoint monitoring circuitry is configured to discontinue an etch process being carried out in the etch reactant chamber once a previously ascertained characteristic of the bias compensation signal evidencing an etch endpoint of the ILD layer has been detected.
  • In yet another embodiment, a method for enhancing the fabrication process of a self-aligned contact (SAC) structure is disclosed. The method includes forming a transistor structure on a surface of a substrate. The method also includes forming a dielectric layer directly over the surface of the substrate without forming an etch stop layer on the surface of the substrate. Also included in the method is plasma etching a contact hole through the dielectric layer in a plasma processing chamber. The method also includes monitoring a bias compensation voltage of the plasma processing chamber during the plasma etching process and discontinuing the plasma etching process upon detecting an endpoint signaling change in the bias compensation voltage.
  • In still another embodiment, a method for enhancing the fabrication process of a self-aligned contact (SAC) is disclosed. The method includes forming a transistor structure on a substrate. The transistor structure includes a gate structure formed over a first surface of the substrate. The method further includes forming spacers along sidewalls of the gate structure and forming source/drain diffusion regions into the first surface of substrate. The source/drain diffusion regions are defined substantially outside of the spacers formed along the sidewalls of the gate structure. The method further includes forming an interlevel dielectric (ILD) layer directly over the first surface of the substrate such that the ILD layer overlies the gate structure, the spacers, and the first surface of the substrate without forming a stop layer. The method also includes forming a contact hole and a via hole in the ILD layer implementing a plasma etching process. As designed, the contact hole is defined to a top layer of the gate structure and the via hole is defined to the source/drain diffusion regions. Further included in the method are monitoring a bias compensation voltage during the plasma etching process and discontinuing the plasma etching process when an endpoint signaling change in the bias compensation voltage is detected.
  • In yet another embodiment, a method for accurately detecting a plasma etch endpoint of a self-aligned contact (SAC) is disclosed. The method includes providing a substrate having a transistor structure on a surface of the substrate. Also included in the method is forming a dielectric layer directly over the surface of the substrate without forming an etch stop layer thereon. The method further includes inserting the substrate into a plasma etching chamber so as to plasma etch a contact hole into the dielectric layer. Also included are introducing etchant gases into the plasma etching chamber and powering up the plasma etching chamber. The powering up of the plasma etching chamber is configured to strike a plasma so as to commence the plasma etching process. The method also includes monitoring a bias level of the plasma etching chamber during the plasma etching process and discontinuing the plasma etching process when an endpoint signaling change in the bias compensation voltage is detected.
  • The advantages of the present invention are numerous. Most notably, bias compensation self-aligned contact etch process of the present invention eliminates unnecessary fabrication stages associated with the stop layers, thus reducing the fabrication cost. Additionally, as the bias compensation SAC contact etch process of the present invention can accurately control the etch process, the SAC contact etch process of the present invention can be stopped once the underlying source/drain regions or polysilicon gates are exposed. Thus, the bias compensation SAC etch process of the present invention can be used to repeatedly and accurately detect etch endpoint in wafers having different thickness. Yet another advantage is that the bias compensation SAC process of the present invention implements an ascertained anticipated change in the bias compensation voltage as evidence of etch endpoint. Yet another advantage is that as the bias compensation SAC etch process of the present invention implements changes in the resistive properties of the wafer. This is in contrast to the time mode and optical emission methods which respectively implement the time and removal rate of a specific material or changes in the plasma to detect the etch endpoint. In this manner, the embodiments of the present invention eliminate the shortcomings associated with the time mode and optical emission spectroscopy etch endpoint detection methods.
  • Other aspects and advantages of the invention will become apparent from the following detailed description, taken in conjunction with the accompanying drawings, illustrating by way of example the principles of the invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will be readily understood by the following detailed description in conjunction with the accompanying drawings, and like reference numerals designate like structural elements.
  • FIGS. 1A-1C depict the different stages of fabricating a wafer implementing a self-aligned contact (SAC) process, in accordance with one embodiment of the present invention.
  • FIG. 2 is a schematic and simplified illustration of an exemplary bias compensated bi-polar ESC etch system, in accordance with another embodiment of the present invention.
  • FIG. 3A is a schematic and simplified illustration of an exemplary bias compensation etch system, in accordance with yet another embodiment of the present invention.
  • FIG. 3B is a simplified schematic illustration of the creation of an electrical path between a plasma and the wafer in the exemplary bias compensation etch system depicted in FIG. 3A, in accordance with still another embodiment of the present invention
  • FIG. 4 illustrates a graph plotting bias compensation voltage of the ESC vs. etch time, in accordance with still another embodiment of the present invention.
  • FIG. 5A is a flowchart diagram illustrating method operations performed in an exemplary bias compensation etch system, in accordance with yet another embodiment of the present invention.
  • FIG. 5B is a flowchart diagram illustrating the introduction of etchant gases into a chamber, in accordance with still another embodiment of the present invention.
  • FIG. 5C is a flow chart diagram illustrating the method operations performed in the powering up a chamber, in accordance with yet another embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE EMBODIMENTS
  • An invention for increasing wafer throughput while minimizing costs incurred in fabricating self-aligned contact processes through eliminating stop layers, is disclosed. Preferably, the present invention implements an expected ascertained change in a compensation bias voltage of an electrostatic chuck disposed within a reactant etch chamber as evidence of etch endpoint in a self-aligned contact process. In one embodiment, the present invention correlates a step increase in a bias compensation voltage of an electrostatic chuck disposed within an etch reactant chamber with the length of time required to physically remove an interlevel dielectric layer (ILD) layer before exposing the underlying source/drain diffusion regions or gate structures.
  • FIGS. 1A-1C depict the different stages of fabricating a wafer 100 implementing a self-aligned contact (SAC) process, in accordance with one embodiment of the present invention. As shown, the embodiment of FIG. 1A depicts a substrate 102 subsequent to the fabrication of spacers 110. The substrate 102 has a plurality of transistors, each of which includes source/drain diffusion regions 106, a conductor polysilicon gate 108, a dielectric gate oxide 112, and spacers 110. Typically, in the SAC process, the spacers 110 are made out of silicon nitride and are formed alongside the polysilicon gates 108 and gate oxides 112. For instance, in the first process stage, the spacers 110 are formed through depositing a silicon nitride layer on the surface of the substrate 102 and polysilicon gates 108, and subsequently etching the same by using a plasma etching process. Also included in the illustrated substrate 102 are shallow trench isolation regions (STIs) 104.
  • Following the formation of the spacers 110, impurities are implanted into the source/drain diffusion regions 106 of the substrate 103 outside of the polysilicon gates 108, gate oxides 112, and the spacers 110. Once implanted, a heat treatment is performed so as to activate the impurities implanted within the source/drain diffusion regions 106. Thereafter, in the second process stage depicted in the embodiment of FIG. 1B, an interlevel dielectric layer (ILD) 119 is formed over the substrate 102. As shown, the ILD layer 119 is created through consecutive deposition of an oxide layer 114 using the high-density plasma chemical vapor deposition (HDPCVD) technique, a tetraethylorthosilicate (TEOS) layer 116, and an oxide layer 118. Of course, any suitable oxide formation process may be used.
  • Next referring to FIG. 1C, the third and fourth stages of the SAC process of the present invention can further be understood. In the contact lithography process stage (i.e., the third process stage), a photoresist mask 121 is used to pattern the ILD layer 119 defining unprotected and exposed contact/via-like portions thereon. Subsequently, in the SAC oxide etch process stage (i.e., fourth process stage), the exposed portions of the ILD layer 119 are selectively removed in a plasma etching process implementing a set of chemicals. In this manner, a plurality of contact holes 120 and via holes 122 are formed within the ILD layer 119, which once filled with a conductive material will provide electrical connection between the successive conductive layers.
  • As will be discussed in more detail below, the present invention eliminates the silicon nitride stop layers by implementing bias compensation etch endpoint detection. Consequently, the SAC process of the present invention employs two process stages less than the conventional SAC processes. Specifically, the present invention eliminates the silicon nitride cap deposition and SAC silicon nitride liner etch, as the bias compensation endpoint detection provides such accuracy, which renders using stop layers as process margins redundant. As a consequence, the present invention reduces fabrication cost incurred in SAC processes while it increases wafer throughput.
  • FIG. 2 is a schematic and simplified illustration of an exemplary bias compensated bi-polar ESC etch system 200, in accordance with one embodiment of the present invention. The bias compensated bi-polar ESC etch system 200 includes an etch reactant chamber 204, a bi-polar ESC 206, a radio frequency (RF) power supply 208, an ESC power supply 209, and a signal processing computer 212. The bi-polar ESC 206 includes a pair of conductive portions, poles 206 a and 206 b configured to function as a pair of electrodes. The pole 206 a is coupled to a positive terminal of the ESC power supply 209 and is configured to function as a positive pole. In a like manner, the pole 206 b is coupled to a negative terminal of the ESC power supply 209 and is designed to function as the negative pole. A supply tube 307 formed within the ESC chuck 206 is configured to deliver a cooling gas (e.g., helium, etc.) to the wafer 103 during the etch process.
  • As shown in FIG. 2, the RF power supply 208 is coupled to the electrostatic chuck (ESC) 206 and is configured to excite the plasma released into the etch reactant chamber 204. Once activated, the ESC power supply 209, the RF power supply 208, and the plasma released into the etch reactant chamber 204 are configured to induce a positive potential and negative potential on the respective positive pole 206 a and negative pole 206 b. As a result, electrostatic forces are generated between the positive and negative poles 206 a and 206 b and their respective overlaying regions of the wafer 103. In this manner, the generated electrostatic forces securely hold the wafer 103 in place with respect to the ESC 206 during the etching process.
  • Besides assisting to keep the wafer 103 in place with respect to the ESC 206, the ESC power supply 209 further functions as a bias compensating high-voltage supply that powers the ESC 206. As used herein, “bias compensation” is defined as a method used to regulate the voltage present on the wafer 103. As designed, the current from the ESC 206 to the plasma is minimized, thereby ensuring equal clamping force at each of the positive and negative poles 206 a and 206 b by generating equal electrostatic force between each of the positive and negative poles 206 a and 206 b and the plasma.
  • The signal processing computer 212 monitors a bias compensation signal 210 generated by the ESC power supply 209 in real time. As designed, the bias compensation signal 210 is configured to be sensitive to any change in the resistance of the wafer 103. As will be discussed in more detail with respect to FIGS. 3A-3B and 4, the embodiments of the present invention implement an endpoint signaling change. That is, the embodiments of the present invention implement a previously ascertained step increase (e.g., change) in the bias compensation signal 210 generated by the ESC power supply 209 to detect the etch endpoint.
  • An ascertained change in the bias compensation voltage of an ESC 306 due to the creation of an electrical path between a plasma 322 and the wafer 103 can further be understood with respect to an exemplary bias compensation etch system 300 depicted in FIG. 3A, in accordance with one embodiment of the present invention. The bias compensation etch system 300 includes an etch reactant chamber 304, RF power components 308 and 318, and an endpoint monitoring circuitry 312. As illustrated in the embodiment of FIG. 3A, the RF power component 318 is coupled to the shower head 316 and is configured to excite the plasma 320 from the above while the RF power component 308 is coupled to the ESC 306 so as to excite the plasma 320 from below. As shown, the RF power components 318 and 308 are grounded.
  • Disposed within the etch reactant chamber 304 are the showerhead 316, a plurality of containment rings 324, the ESC 306, and a wafer 103. The plasma 320 is created in a plasma region 322 disposed within the etch reactant chamber 304, and process gasses are passed through the showerhead 316 having a plurality of holes 316 a. The containment rings 322 are configured to substantially confine the plasma 320 within the plasma region 322. The wafer 103 is disposed over the ESC 306 and may be any suitable semiconductor wafer or substrate. A plurality of exhaust pipes 328 a and 328 b are disposed outside of the etch reactant chamber 304 to release the contents of the reactant etching chamber 304 (e.g., plasma 320, by-products, polymers, etc.)
  • The ESC 306 depicted in this example is a bi-polar ESC and is configured to have a pair of electrodes 306 a and 306 b, respectively, coupled to positive and negative terminals of the endpoint monitoring circuitry 312. The ESC 306 may further include one or more supply tubes 307, which may be fed through one or more portions of the ESC 306 so as to supply a cooling gas. Additionally, one of ordinary skill in the art must appreciate that the ESC poles may assume any configuration.
  • As described in more detail with respect to FIG. 2, the endpoint monitoring circuitry 312 performs several functions. For instance, the endpoint monitoring circuitry 312 functions as a bias compensating power supply that provides power to the ESC 306. The endpoint monitoring circuitry further generates a bias compensation signal, which reflects the changes in the resistance of the wafer 103. Yet another function of the endpoint monitoring circuitry 312 is to monitor the bias compensation signal, searching for the expected change in the bias compensation, as the etch process approaches the endpoint.
  • The SAC plasma etch process of the present invention is configured to follow a specific combination of process parameters to etch through the ILD layer (e.g., etch reactant chamber pressure, gas mixtures, gas flow rates, temperature, RF power (top and bottom), wafer position with respect to the plasma, etc.). Preferably, in one implementation, to etch through the ILD layer, the plasma etch process maintains approximately about 82 militorr (mT) pressure inside the etch reactant chamber. The plasma etch process further applies approximately about 1400 watts (W) and 1100 watts (W) through the RF power component 318 and RF power component 308, respectively. Additionally, the chemistry implemented preferably contains argon, C4F6, oxygen, each having a flow rate of 260 standard cubic centimeter per minute (sccm), 11 sccms, 12 sccms, respectively. However, it must be appreciated by one having ordinary skill in the art that depending on the type of material being etched, a wide range of gas chemistries may be implemented (e.g., fluorine may be used to etch SiO2, chlorine may be used to etch aluminum, and chlorine, fluorine, and bromine may be used to etch silicon, oxygen may be used to etch photoresist, etc.).
  • Reference is now made to FIG. 3B depicting a partial, simplified, and magnified portion 311 illustrated in FIG. 2B, in accordance with one embodiment of the present invention. As shown, the strong electrical field created within the etch reactant chamber 304 causes the positively charged ions 320′ of the plasma 320 to accelerate toward the photoresist 121 and the exposed portions of the ILD layer 119. In this manner, the positive ions 320′ bombard the photoresist 121 and the exposed portions of the ILD layer 119 and gradually remove the exposed portions of the ILD 119.
  • Initially, due to the ILD layer 119 being a dielectric, the resistance between the ILD layer 119 and the plasma 320 is significantly high. However, as the exposed portions of the ILD layer 119 are gradually etched and a thickness 330 of the exposed portions of the ILD layer 119 decreases, the resistance between the ILD layer 119 and the plasma 320 is reduced. As a result, an electrical path 332 is slowly created from the plasma 320 to the underlying layer, the source/drain diffusion regions 106 of the silicon substrate 102 or the polysilicon gates 112. However, the step rise in the bias compensation voltage occurs when the exposed portions of the ILD layer 119 are etched through, down to the source/drain diffusion regions 106 of the silicon substrate layer 102 (or even the polysilicon gates 112). Thus, the change in the resistance of the wafer 103 ultimately leads to a measurable change in the bias compensation voltage. Thus, any change, whether up or down, which can be differentiated from some constant flow can function as an indicator of reaching endpoint. In experiments, it has been noticed that the change has varied between about five (5) V and about 30 V. Thus, advantageously, the bias compensation SAC etch system of the present invention has eliminated the need to implement the silicon nitride stop layers typically implemented as process margins in the conventional SAC etch processes.
  • FIG. 4 illustrates a graph 406 that plots bias compensation voltage of the ESC vs. etch time, in accordance with one embodiment of the present invention. As shown, having a bias compensation V-axis 404 as the y-axis and the etch time t-axis 402 as the x-axis, the graph 406 illustrates the changes in the ESC bias compensation voltage as the ILD layer is etched. At time t 0 412, the bias compensation voltage of the ESC is measured to be about Va, which corresponds to a point 418 on the bias compensation V-axis 404 and the graph 406. In this example, once etching of the ILD layer is initiated, the graph 406 starts ascending and continues to do so as the etch process continues. Once the etch process approaches the point in time where the desired layer (i.e., the ILD layer) has been removed and the underlying layer (i.e., the source/drain regions of the silicon substrate or the polysiclicon gates) has been exposed (e.g., target endpoint), an endpoint signal in the form of a step increase 406 a, is detected in the graph 406. By way of the example depicted in FIG. 4, the step increase 406 a as defined between points 408 and 410 of the graph 406 may occur between the corresponding time range of t1 to t2 and respective anticipated bias compensation voltage range of V1 to V2.
  • At the beginning of the etch process, to, the ILD layer provides a substantially higher resistance between the underlying source/drain regions of the substrate silicon and the plasma. This resistance is reduced as the exposed portions of the ILD layer are gradually removed through the etch process. As a result, an electrical path is created from the plasma to the underlying source/drain regions of the silicon substrate. However, the bias compensation voltage is very sensitive to changes in the wafer resistance. Thus, in response to the changes in the wafer resistance, the bias compensation voltage is increased from a bias compensation voltage Va to V1, as the etch process continues from t0 to t1, creating an ascending graph 406.
  • Once the exposed portions of the ILD layer are physically removed and the underlying source/drain regions of the silicon substrate are exposed, the etch endpoint signal in the form of the step increase 406 a is detected in the ESC bias compensation voltage. For instance, in one implementation, the point 408 of the graph 406 corresponds to the time t1 in which at least a portion of the underlying source/drain regions of the silicon substrate become exposed. Comparatively, a point 410 of the graph 406 corresponds to a time t2 at which the exposed portions of the ILD layer have substantially been removed exposing the underlying source/drain regions of the silicon substrate and the polysilicon gates.
  • Although in this embodiment the etch process endpoint is evidenced with a step increase in the bias compensation voltage, in a different example, the etch process endpoint may be evidenced by a sharp downward slope, a spike, or a sudden dip in the bias compensation signal. Therefore, it must be appreciated that irrespective of the shape of the bias compensation signal, the end of the etch process is evidenced with a distinct change in the bias compensation voltage. Thus, performing sample etching operations on sample substrates can be used to determine the specific characteristics and shape of the etch endpoint bias compensation signal. In this manner, the endpoint monitoring systems can be instructed to search for the ascertained characteristic and shape in the bias compensation plot so as to define the etch endpoint. Additional details concerning implementing the bias compensation to detect the endpoint of an etch process are described in U.S. Pat. No. 6,228,278, issued on May 8, 2001, and entitled “Methods and apparatus for determining an etch endpoint in a plasma processing system,” having inventors Jaroslaw W. Winniczek, M. J. Francois Chandrasekar Dassapa, Eric A. Hudson and Mark Wiepking. The disclosure of this U.S. Patent, which is assigned to Lam Research Corporation, the assignee of the subject application, is incorporated herein by reference.
  • Reference is now made to a flowchart diagram 500 of FIG. 5A illustrating method operations performed in a bias compensation SAC etch system of the present invention, in accordance with one embodiment of the present invention. The method begins in operation 502 in which a substrate having an ILD layer to be etched is provided. As was explained in more detail above with reference to FIGS. 1A-1C, the bias compensation SAC etch system of the present invention can be implemented to detect the etch endpoint in substrates which do not include silicon nitride stop layers. Thus, as discussed above, advantageously, the ILD layer of the present invention is formed substantially directly on the silicon substrate and the polysilicon gates without a need to include stop layers as a process margin.
  • Next, in operation 504, the substrate is inserted into a chamber and is disposed on an electrostatic chuck (ESC). In addition to the ESC, in one example, the chamber further includes a showerhead, containment rings, and other standard chamber hardware and associated software. Once the substrate is inserted into the chamber, etchant gases are introduced into the chamber in operation 506. In one embodiment, a plurality of holes defined within the showerhead is implemented to introduce the etchant gases into the chamber. Further information with respect to the gases implemented as etchants and their compositions is provided below in connection with FIG. 5B.
  • Continuing to operation 508, the chamber is powered up to strike the plasma, thereby commencing the etching operation. For instance, in one example, once an RF power supply coupled to the ESC is turned on, the gases released into the chamber are excited, initiating the plasma etching process. Additional information with respect to powering up the chamber is provided below in connection with FIG. 5C. Once the etching operation has commenced, in operation 510 a bias level of the substrate is monitored. This is important as the current flowing to the ESC poles change due to the changes in the substrate bias level as the etching operation continues. In one embodiment, these current changes are used to produce a feedback signal to the bias compensation power supply designed to maintain the current flow to the ESC poles substantially equal. Thus, as the etching operation progresses, the changes in the wafer bias level ultimately leads to changes in the bias compensation voltage.
  • Once a target bias compensation level is reached, the etching process is discontinued in operation 512. Specifically, this occurs when the exposed portions of the ELD layer have substantially been removed thereby exposing a portion of the underlying source/drain regions of the silicon substrate or the polysilicon gates. At this time, in one example, an etch endpoint signal in a form of a step increase can be detected in the bias compensation voltage. In this operation, the etch process is discontinued once the step increase in the bias compensation level is detected.
  • Finally, in operation 514, the substrate is removed from the chamber and the chamber and the substrate are prepared for further processing, if desired. That is, the chamber is prepared for performing an etch operation on a different substrate.
  • The introduction of etchant gases into the chamber can further be understood with respect to the flow chart diagram depicted in FIG. 5B, in accordance with one embodiment of the present invention. First, in operation 506 a an argon level is set. By way of example, the flow rate of argon may be set to be 260 standard cubic centimeters per minute (sccm). Next, in operation 506 b a level is set for C4F6, which is subsequently followed by operation 506 wherein a level is set for oxygen. For instance, in one preferable example, the flow rate of C4F6 and oxygen may be set to be about 11 sccm, about 12 sccm, respectively. However, one of ordinary skill in the art must bear in mind that depending on the type of material being etched, a wide range of gas chemistries may be implemented.
  • Reference is made to the flow chart diagram of FIG. 5C illustrating the method operations performed in powering up the chamber in operation 508, in accordance with one embodiment of the present invention. In operations 508 a and 508 b, a top electrode power and a bottom electrode power are set, respectively. As discussed in more detail above with reference to FIGS. 3A-3B, each of the top and bottom electrodes are coupled to a radio frequency (RF) power. Preferably, in one example, each RF power applies approximately about 1400 watts (W) and 1100 watts (W) to the respective top electrode and bottom electrode. Finally, a pressure level is set in operation 508 c, which in one implementation, maintains approximately about 82 militorr (mT) pressure inside the etch chamber.
  • Although the foregoing invention has been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the invention is not to be limited to the details given herein, but may be modified within the scope and equivalents of the appended claims.

Claims (17)

1. A bias compensation self-aligned contact (SAC) etch endpoint detecting system, the system comprising:
an etch reactant chamber configured to include an electrostatic chuck (ESC), a top electrode, and a bottom electrode, the ESC configured to support a substrate having an interlevel dielectric (ILD) layer to be etched;
an ESC power supply coupled to the ESC, the ESC power supply being configured to function as a bias compensating power supply; and
a signal processing computer configured to monitor a bias compensation signal generated by the ESC power supply,
wherein an etch process to be carried out in the etch reactant chamber is configured to be discontinued when the bias compensation signal is determined to have a previously ascertained characteristic evidencing an etch endpoint of the ILD layer.
2. An SAC etch endpoint detecting system as recited in claim 1, wherein the previously ascertained characteristic evidencing the etch endpoint of the ILD layer is a change in a bias compensation voltage.
3. An SAC etch endpoint detecting system as recited in claim 2, wherein the bias compensation voltage configured to evidence the etch endpoint of the ILD layer correlates with a substantial removal of exposed portions of the ILD layer and a substantial exposure of a portion of an underlying layer defined below the exposed portion of the ILD layer.
4. An SAC etch endpoint detecting system as recited in claim 2, wherein the previously ascertained characteristic evidencing the etch endpoint of the ILD layer is an expected step increase in the bias compensation voltage.
5. An SAC etch endpoint detecting system as recited in claim 3, wherein the underlying layer is a source/drain diffusion region.
6. A bias compensation etch system, the system comprising:
an etch reactant chamber configured to include an electrostatic chuck (ESC) being configured to support a substrate to be processed, the substrate having an interlevel dielectric (ILD) layer to be etched;
a radio frequency (RF) power supply component coupled to the ESC, the RF power supply component configured to excite a plasma defined within the etch reactant chamber; and
an etch endpoint monitoring circuitry coupled to the ESC, wherein the etch endpoint monitoring circuitry is configured to provide bias compensating power to the ESC and monitor a generated bias compensation signal, and further wherein the etch endpoint monitoring circuitry is configured to discontinue an etch process being carried out in the etch reactant chamber when a previously ascertained characteristic of the bias compensation signal evidencing an etch endpoint of the ILD layer has been detected.
7. A system as recited in 6, wherein the etch reactant chamber further includes,
a shower head defined at a top wall of the etch reactant chamber wherein an etchant gas is introduced into the etch reactant chamber by passing through the showerhead.
8. A system as recited in claim 7, further comprising:
a second RF supply component being coupled to the showerhead so as to excite the plasma defined within the etch reactant chamber.
9. A system as recited in claim 6, wherein the generated bias compensation signal reflects a change in a resistance of the substrate.
10. A system as recited in claim 6, wherein the etch reactant chamber further includes a containment ring configured to substantially confine the plasma within a plasma region.
11. A system as recited in claim 6, the system further including an exhaust pipe secured to the etch reactant chamber so as to release a content of the etch reactant chamber.
12. A system as recited in claim 6, wherein the ESC includes a pair of electrodes coupled to terminals of the etch endpoint monitoring circuitry.
13. A system as recited in claim 12, the system further including a supply tube configured to supply a cooling gas to a portion of the ESC.
14. The system as recited in claim 6, wherein the previously ascertained characteristic of the bias compensation signal evidencing the etch endpoint of the ILD layer is a change in a bias compensation voltage.
15. The system as recited in claim 14, wherein the bias compensation voltage configured to evidence the etch endpoint of the ILD layer correlates with a substantial removal of exposed portions of the ILD layer and a substantial exposure of a portion of an underlying layer defined below the exposed portion of the ILD layer.
16. The system as recited in claim 14, wherein the previously ascertained characteristic evidencing the etch endpoint of the ILD layer is an expected step increase in the bias compensation voltage.
17. The system as recited in claim 15, wherein the underlying layer is a source/drain diffusion region.
US11/046,424 2001-06-29 2005-01-27 Self-aligned contact process implementing bias compensation etch endpoint detection and methods for implementing the same Abandoned US20050130334A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/046,424 US20050130334A1 (en) 2001-06-29 2005-01-27 Self-aligned contact process implementing bias compensation etch endpoint detection and methods for implementing the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/895,566 US6861362B2 (en) 2001-06-29 2001-06-29 Self-aligned contact process implementing bias compensation etch endpoint detection and methods for implementing the same
US11/046,424 US20050130334A1 (en) 2001-06-29 2005-01-27 Self-aligned contact process implementing bias compensation etch endpoint detection and methods for implementing the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/895,566 Division US6861362B2 (en) 2001-06-29 2001-06-29 Self-aligned contact process implementing bias compensation etch endpoint detection and methods for implementing the same

Publications (1)

Publication Number Publication Date
US20050130334A1 true US20050130334A1 (en) 2005-06-16

Family

ID=25404696

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/895,566 Expired - Lifetime US6861362B2 (en) 2001-06-29 2001-06-29 Self-aligned contact process implementing bias compensation etch endpoint detection and methods for implementing the same
US11/046,424 Abandoned US20050130334A1 (en) 2001-06-29 2005-01-27 Self-aligned contact process implementing bias compensation etch endpoint detection and methods for implementing the same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/895,566 Expired - Lifetime US6861362B2 (en) 2001-06-29 2001-06-29 Self-aligned contact process implementing bias compensation etch endpoint detection and methods for implementing the same

Country Status (2)

Country Link
US (2) US6861362B2 (en)
TW (1) TW548739B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018182692A1 (en) * 2017-03-31 2018-10-04 Kyu Oh Lee Creating a cavity using plasma gas

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6517669B2 (en) * 1999-02-26 2003-02-11 Micron Technology, Inc. Apparatus and method of detecting endpoint of a dielectric etch
SG149036A1 (en) * 2005-03-17 2009-01-29 Agency Science Tech & Res A method of fabricating periodic nano-structure arrays with different feature sizes
US7662648B2 (en) * 2005-08-31 2010-02-16 Micron Technology, Inc. Integrated circuit inspection system
JP5112122B2 (en) * 2008-03-14 2013-01-09 三菱重工業株式会社 Plasma processing apparatus and method for controlling substrate adsorption force in plasma processing apparatus
US8133777B1 (en) * 2011-02-15 2012-03-13 Winbond Electronics Corp. Method of fabricating memory
WO2013019810A2 (en) * 2011-08-02 2013-02-07 Tokyo Electron Limited Method and device for controlling pattern and structure formation by an electric field
US9252023B2 (en) * 2011-09-16 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etching method and apparatus
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
EP3278580B1 (en) * 2015-03-30 2020-02-26 Telecom Italia S.p.A. Method and system for a real-time counting of a number of persons in a crowd by means of aggregated data of a telecommunication network
WO2016155768A1 (en) * 2015-03-30 2016-10-06 Telecom Italia S.P.A. Method and system for estimating a posteriori a number of persons in one or more crowds by means of aggregated data of a telecommunication network
US10413913B2 (en) 2017-02-15 2019-09-17 Tokyo Electron Limited Methods and systems for dielectrophoresis (DEP) separation
CN113223955B (en) * 2021-05-08 2022-05-27 长鑫存储技术有限公司 Automatic complementary etching method and device
CN114093785B (en) * 2022-01-10 2022-04-22 广州粤芯半导体技术有限公司 Substrate structure for monitoring micro-etching risk and monitoring method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5998932A (en) * 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US6228278B1 (en) * 1998-09-30 2001-05-08 Lam Research Corporation Methods and apparatus for determining an etch endpoint in a plasma processing system

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5198072A (en) * 1990-07-06 1993-03-30 Vlsi Technology, Inc. Method and apparatus for detecting imminent end-point when etching dielectric layers in a plasma etch system
US5242532A (en) * 1992-03-20 1993-09-07 Vlsi Technology, Inc. Dual mode plasma etching system and method of plasma endpoint detection
US5871658A (en) * 1997-01-13 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Optical emisson spectroscopy (OES) method for monitoring and controlling plasma etch process when forming patterned layers
US5843815A (en) * 1997-01-15 1998-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a MOSFET device, for an SRAM cell, using a self-aligned ion implanted halo region
US5854135A (en) * 1997-04-09 1998-12-29 Vanguard International Semiconductor Corporation Optimized dry etching procedure, using an oxygen containing ambient, for small diameter contact holes

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5998932A (en) * 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US6228278B1 (en) * 1998-09-30 2001-05-08 Lam Research Corporation Methods and apparatus for determining an etch endpoint in a plasma processing system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018182692A1 (en) * 2017-03-31 2018-10-04 Kyu Oh Lee Creating a cavity using plasma gas

Also Published As

Publication number Publication date
TW548739B (en) 2003-08-21
US6861362B2 (en) 2005-03-01
US20030000923A1 (en) 2003-01-02

Similar Documents

Publication Publication Date Title
US20050130334A1 (en) Self-aligned contact process implementing bias compensation etch endpoint detection and methods for implementing the same
KR100465947B1 (en) Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
US6461974B1 (en) High temperature tungsten etching process
US4310380A (en) Plasma etching of silicon
JP2918892B2 (en) Plasma etching method
KR100738699B1 (en) Doping-independent self-cleaning etch process for polysilicon
US6232209B1 (en) Semiconductor device and manufacturing method thereof
US5431772A (en) Selective silicon nitride plasma etching process
US5259923A (en) Dry etching method
US6660127B2 (en) Apparatus for plasma etching at a constant etch rate
US5453156A (en) Anisotropic polysilicon plasma etch using fluorine gases
US7141505B2 (en) Method for bilayer resist plasma etch
US7381653B2 (en) Plasma processing method
JP2006066408A (en) Dry etching method
US6919259B2 (en) Method for STI etching using endpoint detection
JP2988455B2 (en) Plasma etching method
US6787475B2 (en) Flash step preparatory to dielectric etch
KR100433098B1 (en) Method of anisotropic plasma etching using non-chlorofluorocarbon, fluorine-based chemistry
US7189653B2 (en) Etching method and etching apparatus
US20050106868A1 (en) Etching method
JPH09266195A (en) Method and apparatus for etching metallic film
JPH0555174A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION