US20050042874A1 - Removing sacrificial material by thermal decomposition - Google Patents

Removing sacrificial material by thermal decomposition Download PDF

Info

Publication number
US20050042874A1
US20050042874A1 US10/953,744 US95374404A US2005042874A1 US 20050042874 A1 US20050042874 A1 US 20050042874A1 US 95374404 A US95374404 A US 95374404A US 2005042874 A1 US2005042874 A1 US 2005042874A1
Authority
US
United States
Prior art keywords
sacrificial material
layer
dielectric layer
dielectric
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/953,744
Inventor
Robert Meagley
Peter Moon
Kevin O'Brien
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/953,744 priority Critical patent/US20050042874A1/en
Publication of US20050042874A1 publication Critical patent/US20050042874A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material

Definitions

  • the present invention relates generally to the field of integrated circuit manufacturing, and more specifically, to depositing and removing sacrificial material from voids or openings in a dielectric layer on a semiconductor substrate.
  • Sacrificial material has been used in integrated circuit manufacturing to fill voids or openings in a dielectric layer on a semiconductor substrate.
  • Sacrificial material generally has been a spin-on-polymer (SOP) or spin-on-glass (SOG) that is deposited by spin coating to completely fill openings in the dielectric layer.
  • SOP spin-on-polymer
  • SOG spin-on-glass
  • sacrificial material has been used in processes for providing dual damascene metal interconnects in integrated circuits.
  • the dual damascene concept involves forming both a via and a trench in the dielectric layer or interlayer dielectric (ILD).
  • the via may be etched first.
  • sacrificial material is deposited to fill the via and leave between about 500 and 3,000 angstroms of the material on the surface of the device, the trench is etched.
  • the use of sacrificial material allows the trench lithography and etching process to effectively apply to a substantially hole-free surface, similar to a surface without vias.
  • Sacrificial material may be selected so that when the trench is etched, the sacrificial material may be removed at a faster rate than the dielectric layer.
  • any remaining sacrificial material may be cleaned out and removed from the via by a combination of plasma processing and wet chemistry steps. Depending on the type of sacrificial material used, various wet etch chemistries may be used to remove the remaining sacrificial material, including buffered oxide etch processes or chemistry based on commercially available amine based materials.
  • the via and trench may be filled with a conductive material such as copper to form a complete conductive layer of interconnects.
  • Chemical metal polishing (CMP) then may be performed to remove excess material and planarize the surface.
  • the various chemical etch steps for dissolution of the sacrificial material may have high selectivity for sacrificial material over dielectric material, they nevertheless can damage or remove dielectric materials used for the ILD.
  • Dielectric materials with lower dielectric constants (K) are needed to reduce capacitive coupling and cross talk between adjacent metal lines in dual damascene structures.
  • K dielectric constant
  • ILD resistance to damage during cleaning and removal of sacrificial material also is reduced.
  • the problem of damage to the dielectric layer during removal and cleaning of sacrificial material must be addressed.
  • sacrificial material that can be used to fill voids or openings in a dielectric layer and can be removed or cleaned out without also damaging or removing the dielectric.
  • FIGS. 1 a - 1 d illustrate cross-sections that reflect structures that may result after certain steps are used to make a copper containing dual damascene device following one embodiment of the present invention.
  • FIGS. 2 a - 2 d illustrate cross-sections that reflect structures that may result after certain steps are used to make a copper containing dual damascene device following a second embodiment of the present invention.
  • FIG. 3 illustrates a block diagram of a process according to one embodiment of the present invention.
  • FIG. 4 illustrates a block diagram of a process according to another embodiment of the present invention.
  • FIGS. 1 a - 1 d and FIGS. 2 a - 2 d which illustrate cross-sections of structures that result after using certain steps according to certain embodiments of the invention
  • FIGS. 3 and 4 are flow diagrams of processes according to embodiments of the invention.
  • a dual damascene interconnect is described, it will be understood that the present invention also may be used in the context of other semiconductor devices, including but not limited to single damascene processes, in which sacrificial material may be used to fill voids or openings in a dielectric layer.
  • FIG. 1 a shows substrate 101 with first conductive layer 102 , barrier layer 103 , and dielectric layer or ILD 104 .
  • the substrate may be any surface, generated when making an integrated circuit, upon which a conductive layer may be formed.
  • the substrate thus may include, for example, active and passive devices that are formed on a silicon wafer such as transistors, capacitors, resistors, diffused junctions, gate electrodes, local interconnects, etc.
  • the substrate also may include insulating materials (e.g., silicon dioxide, either undoped or doped with phosphorus (PSG) or boron and phosphorus (BPSG); silicon nitride; silicon oxynitride; or a polymer) that separate such active and passive devices from the conductive layer or layers that are formed on top of them, and may include previously formed conductive layers.
  • insulating materials e.g., silicon dioxide, either undoped or doped with phosphorus (PSG) or boron and phosphorus (BPSG); silicon nitride; silicon oxynitride; or a polymer
  • the first conductive layer preferably comprises copper, and may be formed using a conventional copper electroplating process, in which a copper layer is formed on barrier and seed layers.
  • the first conductive layer also may be made from other materials conventionally used to form conductive layers for integrated circuits.
  • the first conductive layer may be made from a copper alloy, aluminum or an aluminum alloy, such as an aluminum/copper alloy.
  • the first conductive layer may be made from doped polysilicon or a silicide, e.g., a silicide comprising tungsten, titanium, nickel or cobalt.
  • the first conductive layer may include a number of separate layers.
  • the first conductive layer may comprise a primary conductor made from an aluminum/copper alloy that is sandwiched between a relatively thin titanium layer located below it and a titanium, titanium nitride double layer above it.
  • the first conductive layer may be formed by a chemical vapor or physical deposition process, like those that are well known to those skilled in the art. If copper is used to make the first conductive layer, a conventional copper electroplating process may be used. Although a few examples of the types of materials that may form the first conductive layer have been identified here, it may be formed from various other materials that can serve to conduct electricity within an integrated circuit. Although copper is preferred, the use of any other conducting material, which may be used to make an integrated circuit, falls within the spirit and scope of the present invention.
  • the barrier layer may be made from silicon nitride, but also may be made from other materials such as titanium nitride or oxynitride, as is well known to those skilled in the art. When formed from silicon nitride, a chemical vapor deposition process may be used to form the barrier layer.
  • the barrier layer may serve to prevent an unacceptable amount of copper, or other metal, from diffusing into other layers, and also may act as an etch stop to prevent subsequent via and trench etch steps from exposing the first conductive layer to subsequent cleaning steps.
  • the barrier layer should be thick enough to perform its diffusion inhibition and etch stop functions, but not so thick that it adversely impacts the overall dielectric characteristics resulting from the combination of the barrier layer and the dielectric layer overlying the barrier layer.
  • the thickness of the barrier layer preferably should be less than about 10% of the thickness of the overlying dielectric layer, and preferably between about 100 and 500 angstroms thick.
  • the dielectric layer has a dielectric constant lower than 3.9 which is the dielectric constant of silicon dioxide.
  • the dielectric layer may comprise plasma enhanced chemical vapor deposition (PECVD) silicon dioxide doped with carbon, having a dielectric constant of approximately 2.2 to 2.6.
  • PECVD plasma enhanced chemical vapor deposition
  • Other materials that may be used for the dielectric layer include materials that may insulate one conductive layer from another, and preferably those materials having dielectric constants below that of silicon dioxide, and most preferably materials with dielectric constants below 3.0.
  • the dielectric layer may comprise fluorinated silicon dioxide or organic polymers selected from the group that includes polyimides, parylenes, polyartylethers, polynaphthalenes, and polyquinolines, or copolymers thereof.
  • the dielectric layer preferably has a thickness of between about 2,000 and about 20,000 angstroms.
  • via 105 is etched into the dielectric layer.
  • a photoresist layer may be patterned on top of the dielectric layer to define the via formation region, using conventional photolithographic techniques, such as masking a layer of photoresist, exposing the masked layer to light, then developing the unexposed portions.
  • photolithographic techniques such as masking a layer of photoresist, exposing the masked layer to light, then developing the unexposed portions.
  • Alternatives to photoresist also may be used, including a bi- or multi-layer photolithographic process, imprinting, electron beam, x-ray atomic force microscopy (AFM), or other forms of advanced lithography.
  • AFM x-ray atomic force microscopy
  • the via may be etched using a medium density magnetically enhanced reactive ion etching system (MERIE system) using fluorocarbon chemistry, or a forming gas chemistry, e.g., one including nitrogen and either hydrogen or oxygen.
  • MMERIE system medium density magnetically enhanced reactive ion etching system
  • thermally decomposable sacrificial material is used to fill via 105 .
  • the thermally decomposable sacrificial material may be deposited by spin coating between about 500 and about 3,000 angstroms of the material onto the surface of the device. The spin coating process causes the thermally decomposable sacrificial material to substantially or completely fill the via, with a thin layer of the material on the surface of the device.
  • the thermally decomposable sacrificial material may be a combination of inorganic and organic materials, such as silicon-containing and carbonaceous materials.
  • the thermally decomposable sacrificial material may be a hydrocarbon-siloxane polymer hybrid.
  • the siloxane oligomers may be either main chain or side chains (grafted) in the copolymers. These include, but are not limited to, silicon containing graft-copolymers such as polysiloxane with an oligopolyolefin, oligopolycyclolefin, oligopolyarylolefin, or oligopolycarbonate graft, and combinations thereof. Additionally, these include polyolefin, polycyclolefin, oligopolyarylolefin, or oligopolycarbonate, or combinations thereof, with an oligosiloxane graft.
  • thermally decomposable sacrificial material examples include polynorbornene with pendant siloxane moieties, marketed under the name UNITY, and/or related polyolefins, and polycarbonate, polyether, and poly(alphamethyl)styrene based compounds that undergo smooth thermal decomposition.
  • inorganic polymers and oligomers examples include hydrosilsesquioxanes, silsesquioxanes and carboranes.
  • the thermally decomposable sacrificial material includes polymers that may be thermally decomposed, and may contain both organic and inorganic moieties, as well as differing levels of organic and inorganic species.
  • the thermally decomposable sacrificial material may include polymer blends such as a siloxane polymer and cycololefin type polymer. If the blending of polymers results in a multiphase mixture, the size of the phase domains may be controlled and/or uniformly distributed.
  • the thermally decomposable sacrificial material may include or be associated with a light absorbing material or dye.
  • a light absorbing material or dye By dyeing the sacrificial material, changes in substrate reflectivity may be reduced, which may enable the photolithographic process to produce improved results.
  • the dye may be associated to the copolymer through the hydrocarbon polymer portion of the hybrid components. Alternatively, the dye may be bonded to the siloxane component or admixture.
  • trench 107 may be formed in the dielectric layer by lithographic and dry etch process steps.
  • the etching process is applied for a time sufficient to form an opening in the dielectric layer and at least partially into the thermally decomposable sacrificial material to a desired depth.
  • the trench etching process may remove some of the sacrificial material from the via.
  • thermally decomposable sacrificial material 106 a remaining in the via may be removed by thermal decomposition. This may be accomplished by heating the structure, preferably to a temperature no greater than 450 degrees C., and preferably in a reducing atmosphere. The thermal decomposition may occur in furnace 108 . The thermally decomposable sacrificial material may be removed by thermal decomposition without damaging or removing the dielectric material.
  • the thermal decomposition reaction to remove the remaining thermally decomposable sacrificial material may also create a solubility difference between the pre-decomposition material and any post-decomposition residue, or enhanced solubility in the post-decomposition residue by virtue of mass loss and/or surface area increase.
  • the sacrificial material is thermally stable at the temperatures that are typically used for lithography, reactive ion etch (RIE) and resist removal in wet chemistries. These temperatures are typically less than 150 degrees C.
  • a catalyst may facilitate thermal decomposition of the organic component of the thermally decomposable sacrificial material.
  • the catalysts that may be used include acids, bases, and thermally or photochemically generated acids and bases, as well as acids and bases that may be liberated upon exposure to various forms of radiation.
  • the application of catalyst sensitive linkages within the polymer structure may facilitate controlled decomposition of the thermally decomposable sacrificial material.
  • the trench and via are filled with second conductive layer 109 .
  • a portion of the barrier layer that separates the via from the first conductive layer may be removed to expose the first conductive layer.
  • a CMP step may be used to remove excess conductive material and planarize the surface of the second conductive layer.
  • FIG. 1 d shows only one dielectric layer and two conductive layers, the process described above may be repeated to form additional conductive and insulating layers until the desired integrated circuit is produced.
  • FIGS. 2 a - 2 d represent structures that may be formed when applying a second embodiment of the present invention.
  • FIG. 2 a shows a structure similar to the one shown in FIG. 1 a, including substrate 201 , first conductive layer 202 , barrier layer 203 , and dielectric layer 204 , except that trench 205 is formed in the dielectric layer.
  • thermally decomposable sacrificial material 206 may be applied to the device, e.g., by spin coating it onto the device's surface, to fill the trench and also create a substantially planar surface over the device.
  • via 207 is then patterned and etched through the exposed portion of the thermally decomposable sacrificial material and through the underlying portion of the dielectric layer. Remaining thermally decomposable sacrificial material 206 a is removed from the trench by thermal decomposition, i.e., in furnace 208 .
  • second conductive layer 209 is applied to fill the via and trench, which then may be planarized.
  • FIG. 3 illustrates a flow diagram of a process which may be performed according to one embodiment of the invention.
  • a first opening is formed in a dielectric layer on a substrate.
  • thermally decomposable sacrificial material is deposited in the first opening.
  • a second opening is formed in the dielectric layer and at least partially in the thermally decomposable sacrificial material.
  • the substrate is heated to a temperature sufficient to remove additional thermally decomposable sacrificial material that remains in the first opening.
  • FIG. 4 is a block diagram illustrating another embodiment of the invention.
  • a conductive layer is formed on a substrate.
  • a dielectric layer or ILD is formed over the conductive layer.
  • the dielectric layer is preferably material having a dielectric constant below that of silicon dioxide.
  • a via is etched into the dielectric layer.
  • a photoresist layer may be patterned on top of the dielectric layer using conventional photolithographic techniques, such as masking the layer of photoresist, exposing the masked layer to light, then developing the unexposed portions.
  • the via then may be etched through the dielectric layer using a conventional anisotropic dry oxide etch process.
  • the via may be etched using a medium density magnetically enhanced reactive ion etching system (MERIE system) using fluorocarbon chemistry, or a forming gas chemistry, e.g., one including nitrogen and either hydrogen or oxygen.
  • MIE system medium density magnetically enhanced reactive ion etching system
  • the via is filled with thermally decomposable sacrificial material.
  • the thermally decomposable sacrificial material is material that may be thermally decomposed and evaporated at an acceptable temperature, preferably less than 450 degrees C., in a reducing atmosphere, so that it can be removed without damaging dielectric material with a low dielectric constant.
  • the thermally decomposable sacrificial material may be deposited by spin coating between about 500 and about 3,000 angstroms of the material onto the surface of the device. The spin coating process causes the thermally decomposable sacrificial material to substantially or completely fill the via, with a thin layer coating the surface of the device.
  • a trench is etched in the dielectric layer using photolithographic and etching steps.
  • remaining or additional sacrificial material may be removed by thermal decomposition in a furnace.
  • the trench and via may be filled with conductive material to form a second conductive layer, and the surface planarized. The portion of the barrier layer at the bottom of the via also may be removed to expose the first conductive layer to the second conductive layer.

Abstract

A thermally decomposable sacrificial material is deposited in a void or opening in a dielectric layer on a semiconductor substrate. The thermally decomposable sacrificial material may be removed without damaging or removing the dielectric layer. The thermally decomposable sacrificial material may be a combination of organic and inorganic materials, such as a hydrocarbon-siloxane polymer hybrid.

Description

    BACKGROUND
  • The present invention relates generally to the field of integrated circuit manufacturing, and more specifically, to depositing and removing sacrificial material from voids or openings in a dielectric layer on a semiconductor substrate.
  • Sacrificial material has been used in integrated circuit manufacturing to fill voids or openings in a dielectric layer on a semiconductor substrate. Sacrificial material generally has been a spin-on-polymer (SOP) or spin-on-glass (SOG) that is deposited by spin coating to completely fill openings in the dielectric layer. For example, sacrificial material has been used in processes for providing dual damascene metal interconnects in integrated circuits.
  • The dual damascene concept involves forming both a via and a trench in the dielectric layer or interlayer dielectric (ILD). For example, the via may be etched first. After sacrificial material is deposited to fill the via and leave between about 500 and 3,000 angstroms of the material on the surface of the device, the trench is etched. The use of sacrificial material allows the trench lithography and etching process to effectively apply to a substantially hole-free surface, similar to a surface without vias. Sacrificial material may be selected so that when the trench is etched, the sacrificial material may be removed at a faster rate than the dielectric layer.
  • After etching the trench, any remaining sacrificial material may be cleaned out and removed from the via by a combination of plasma processing and wet chemistry steps. Depending on the type of sacrificial material used, various wet etch chemistries may be used to remove the remaining sacrificial material, including buffered oxide etch processes or chemistry based on commercially available amine based materials. After the remaining sacrificial material is removed, the via and trench may be filled with a conductive material such as copper to form a complete conductive layer of interconnects. Chemical metal polishing (CMP) then may be performed to remove excess material and planarize the surface.
  • Although the various chemical etch steps for dissolution of the sacrificial material may have high selectivity for sacrificial material over dielectric material, they nevertheless can damage or remove dielectric materials used for the ILD. Dielectric materials with lower dielectric constants (K) are needed to reduce capacitive coupling and cross talk between adjacent metal lines in dual damascene structures. However, as the ILD dielectric constant is reduced, ILD resistance to damage during cleaning and removal of sacrificial material also is reduced. Thus, for dual damascene interconnects to realize their full potential, especially in sub 0.25 micron process technology, the problem of damage to the dielectric layer during removal and cleaning of sacrificial material must be addressed.
  • Thus, there is a need for sacrificial material that can be used to fill voids or openings in a dielectric layer and can be removed or cleaned out without also damaging or removing the dielectric. There is a need to reduce defects and improve yield by enabling a more efficient and effective cleaning procedure to remove sacrificial material in ILD materials and especially ILD materials with low dielectric constants. There is a need for dual damascene process that enables use of ILD materials having lower dielectric constants.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1 a-1 d illustrate cross-sections that reflect structures that may result after certain steps are used to make a copper containing dual damascene device following one embodiment of the present invention.
  • FIGS. 2 a-2 d illustrate cross-sections that reflect structures that may result after certain steps are used to make a copper containing dual damascene device following a second embodiment of the present invention.
  • FIG. 3 illustrates a block diagram of a process according to one embodiment of the present invention.
  • FIG. 4 illustrates a block diagram of a process according to another embodiment of the present invention.
  • DETAILED DESCRIPTION
  • Set forth below is a description of several embodiments of the present invention, presented in the context of a semiconductor device that includes a copper containing dual damascene interconnect. The description is made with reference to FIGS. 1 a-1 d and FIGS. 2 a-2 d which illustrate cross-sections of structures that result after using certain steps according to certain embodiments of the invention, and FIGS. 3 and 4 which are flow diagrams of processes according to embodiments of the invention. Although a dual damascene interconnect is described, it will be understood that the present invention also may be used in the context of other semiconductor devices, including but not limited to single damascene processes, in which sacrificial material may be used to fill voids or openings in a dielectric layer.
  • FIG. 1 a shows substrate 101 with first conductive layer 102, barrier layer 103, and dielectric layer or ILD 104. The substrate may be any surface, generated when making an integrated circuit, upon which a conductive layer may be formed. The substrate thus may include, for example, active and passive devices that are formed on a silicon wafer such as transistors, capacitors, resistors, diffused junctions, gate electrodes, local interconnects, etc. The substrate also may include insulating materials (e.g., silicon dioxide, either undoped or doped with phosphorus (PSG) or boron and phosphorus (BPSG); silicon nitride; silicon oxynitride; or a polymer) that separate such active and passive devices from the conductive layer or layers that are formed on top of them, and may include previously formed conductive layers.
  • The first conductive layer preferably comprises copper, and may be formed using a conventional copper electroplating process, in which a copper layer is formed on barrier and seed layers. The first conductive layer also may be made from other materials conventionally used to form conductive layers for integrated circuits. For example, the first conductive layer may be made from a copper alloy, aluminum or an aluminum alloy, such as an aluminum/copper alloy. Alternatively, the first conductive layer may be made from doped polysilicon or a silicide, e.g., a silicide comprising tungsten, titanium, nickel or cobalt. The first conductive layer may include a number of separate layers. For example, the first conductive layer may comprise a primary conductor made from an aluminum/copper alloy that is sandwiched between a relatively thin titanium layer located below it and a titanium, titanium nitride double layer above it. The first conductive layer may be formed by a chemical vapor or physical deposition process, like those that are well known to those skilled in the art. If copper is used to make the first conductive layer, a conventional copper electroplating process may be used. Although a few examples of the types of materials that may form the first conductive layer have been identified here, it may be formed from various other materials that can serve to conduct electricity within an integrated circuit. Although copper is preferred, the use of any other conducting material, which may be used to make an integrated circuit, falls within the spirit and scope of the present invention.
  • The barrier layer may be made from silicon nitride, but also may be made from other materials such as titanium nitride or oxynitride, as is well known to those skilled in the art. When formed from silicon nitride, a chemical vapor deposition process may be used to form the barrier layer. The barrier layer may serve to prevent an unacceptable amount of copper, or other metal, from diffusing into other layers, and also may act as an etch stop to prevent subsequent via and trench etch steps from exposing the first conductive layer to subsequent cleaning steps. The barrier layer should be thick enough to perform its diffusion inhibition and etch stop functions, but not so thick that it adversely impacts the overall dielectric characteristics resulting from the combination of the barrier layer and the dielectric layer overlying the barrier layer. The thickness of the barrier layer preferably should be less than about 10% of the thickness of the overlying dielectric layer, and preferably between about 100 and 500 angstroms thick.
  • In one embodiment, the dielectric layer has a dielectric constant lower than 3.9 which is the dielectric constant of silicon dioxide. For example, the dielectric layer may comprise plasma enhanced chemical vapor deposition (PECVD) silicon dioxide doped with carbon, having a dielectric constant of approximately 2.2 to 2.6. Other materials that may be used for the dielectric layer include materials that may insulate one conductive layer from another, and preferably those materials having dielectric constants below that of silicon dioxide, and most preferably materials with dielectric constants below 3.0. For example, the dielectric layer may comprise fluorinated silicon dioxide or organic polymers selected from the group that includes polyimides, parylenes, polyartylethers, polynaphthalenes, and polyquinolines, or copolymers thereof. The dielectric layer preferably has a thickness of between about 2,000 and about 20,000 angstroms.
  • In one embodiment of the invention, as shown in FIG. 1 a, via 105 is etched into the dielectric layer. To etch the via, a photoresist layer may be patterned on top of the dielectric layer to define the via formation region, using conventional photolithographic techniques, such as masking a layer of photoresist, exposing the masked layer to light, then developing the unexposed portions. Alternatives to photoresist also may be used, including a bi- or multi-layer photolithographic process, imprinting, electron beam, x-ray atomic force microscopy (AFM), or other forms of advanced lithography. Conventional process steps for etching through a dielectric layer may be used to etch the via, e.g., a conventional anisotropic dry oxide etch process. For example, the via may be etched using a medium density magnetically enhanced reactive ion etching system (MERIE system) using fluorocarbon chemistry, or a forming gas chemistry, e.g., one including nitrogen and either hydrogen or oxygen.
  • As shown in FIG. 1 b, thermally decomposable sacrificial material is used to fill via 105. In one embodiment, the thermally decomposable sacrificial material may be deposited by spin coating between about 500 and about 3,000 angstroms of the material onto the surface of the device. The spin coating process causes the thermally decomposable sacrificial material to substantially or completely fill the via, with a thin layer of the material on the surface of the device.
  • In one embodiment, the thermally decomposable sacrificial material may be a combination of inorganic and organic materials, such as silicon-containing and carbonaceous materials. For example, the thermally decomposable sacrificial material may be a hydrocarbon-siloxane polymer hybrid. The siloxane oligomers may be either main chain or side chains (grafted) in the copolymers. These include, but are not limited to, silicon containing graft-copolymers such as polysiloxane with an oligopolyolefin, oligopolycyclolefin, oligopolyarylolefin, or oligopolycarbonate graft, and combinations thereof. Additionally, these include polyolefin, polycyclolefin, oligopolyarylolefin, or oligopolycarbonate, or combinations thereof, with an oligosiloxane graft.
  • The following chart lists examples of other thermally decomposable hydrocarbon containing oligomers and polymers that may be used to form graft-copolymers, along with their thermal decomposition temperatures (Td) in degrees Centigrade.
    Polymer Basis or Family Td
    Polypropylene oxide   325 to 375 C.
    Polymethlystyrene   350 to 375 C.
    Polycaprolactone   325 C.
    Polycarbonate   325 to 375 C.
    Polyamideimide   343 C.
    Polyamide-6,6   302 C.
    Polyphthalamide   350 C.
    Polyetherketone
      405 C.
    Polyethretherketone   399 C.
    Polybutyllene terephthalate   260 C.
    Polyethyllne terephthalate   300 C.
    Polystyrene   260 C.
    Polystyrene-syndiotactic >320 C.
    Polyphenylene Sulfide   332 C.
    Polyether Sulfone   400 C.
  • Other examples of thermally decomposable sacrificial material, according to various embodiments of the invention, include polynorbornene with pendant siloxane moieties, marketed under the name UNITY, and/or related polyolefins, and polycarbonate, polyether, and poly(alphamethyl)styrene based compounds that undergo smooth thermal decomposition. Other examples of inorganic polymers and oligomers that may be used as thermally decomposable sacrificial material include hydrosilsesquioxanes, silsesquioxanes and carboranes. Thus, the thermally decomposable sacrificial material includes polymers that may be thermally decomposed, and may contain both organic and inorganic moieties, as well as differing levels of organic and inorganic species.
  • In one embodiment, the thermally decomposable sacrificial material may include polymer blends such as a siloxane polymer and cycololefin type polymer. If the blending of polymers results in a multiphase mixture, the size of the phase domains may be controlled and/or uniformly distributed.
  • In one embodiment, the thermally decomposable sacrificial material may include or be associated with a light absorbing material or dye. By dyeing the sacrificial material, changes in substrate reflectivity may be reduced, which may enable the photolithographic process to produce improved results. In one embodiment, for example, the dye may be associated to the copolymer through the hydrocarbon polymer portion of the hybrid components. Alternatively, the dye may be bonded to the siloxane component or admixture.
  • In one embodiment, as shown in FIG. 1 c, trench 107 may be formed in the dielectric layer by lithographic and dry etch process steps. The etching process is applied for a time sufficient to form an opening in the dielectric layer and at least partially into the thermally decomposable sacrificial material to a desired depth. The trench etching process may remove some of the sacrificial material from the via.
  • In one embodiment, thermally decomposable sacrificial material 106 a remaining in the via may be removed by thermal decomposition. This may be accomplished by heating the structure, preferably to a temperature no greater than 450 degrees C., and preferably in a reducing atmosphere. The thermal decomposition may occur in furnace 108. The thermally decomposable sacrificial material may be removed by thermal decomposition without damaging or removing the dielectric material.
  • The thermal decomposition reaction to remove the remaining thermally decomposable sacrificial material may also create a solubility difference between the pre-decomposition material and any post-decomposition residue, or enhanced solubility in the post-decomposition residue by virtue of mass loss and/or surface area increase. In addition to being removable by thermal decomposition, the sacrificial material is thermally stable at the temperatures that are typically used for lithography, reactive ion etch (RIE) and resist removal in wet chemistries. These temperatures are typically less than 150 degrees C.
  • In one embodiment of the invention, a catalyst may facilitate thermal decomposition of the organic component of the thermally decomposable sacrificial material. For example, the catalysts that may be used include acids, bases, and thermally or photochemically generated acids and bases, as well as acids and bases that may be liberated upon exposure to various forms of radiation. The application of catalyst sensitive linkages within the polymer structure may facilitate controlled decomposition of the thermally decomposable sacrificial material.
  • In one embodiment, as shown in FIG. 1 d, the trench and via are filled with second conductive layer 109. A portion of the barrier layer that separates the via from the first conductive layer may be removed to expose the first conductive layer. A CMP step may be used to remove excess conductive material and planarize the surface of the second conductive layer. Although FIG. 1 d shows only one dielectric layer and two conductive layers, the process described above may be repeated to form additional conductive and insulating layers until the desired integrated circuit is produced.
  • FIGS. 2 a-2 d represent structures that may be formed when applying a second embodiment of the present invention. FIG. 2 a shows a structure similar to the one shown in FIG. 1 a, including substrate 201, first conductive layer 202, barrier layer 203, and dielectric layer 204, except that trench 205 is formed in the dielectric layer. As shown in FIG. 2 b, thermally decomposable sacrificial material 206 may be applied to the device, e.g., by spin coating it onto the device's surface, to fill the trench and also create a substantially planar surface over the device.
  • As shown in FIG. 2 c, via 207 is then patterned and etched through the exposed portion of the thermally decomposable sacrificial material and through the underlying portion of the dielectric layer. Remaining thermally decomposable sacrificial material 206 a is removed from the trench by thermal decomposition, i.e., in furnace 208. In FIG. 2 d, second conductive layer 209 is applied to fill the via and trench, which then may be planarized.
  • FIG. 3 illustrates a flow diagram of a process which may be performed according to one embodiment of the invention. In block 301, a first opening is formed in a dielectric layer on a substrate. In block 302, thermally decomposable sacrificial material is deposited in the first opening. In block 303, a second opening is formed in the dielectric layer and at least partially in the thermally decomposable sacrificial material. In block 304, the substrate is heated to a temperature sufficient to remove additional thermally decomposable sacrificial material that remains in the first opening.
  • FIG. 4 is a block diagram illustrating another embodiment of the invention. In block 401, a conductive layer is formed on a substrate. In block 402, a dielectric layer or ILD is formed over the conductive layer. The dielectric layer is preferably material having a dielectric constant below that of silicon dioxide.
  • In block 403, a via is etched into the dielectric layer. To etch the via, a photoresist layer may be patterned on top of the dielectric layer using conventional photolithographic techniques, such as masking the layer of photoresist, exposing the masked layer to light, then developing the unexposed portions. The via then may be etched through the dielectric layer using a conventional anisotropic dry oxide etch process. For example, the via may be etched using a medium density magnetically enhanced reactive ion etching system (MERIE system) using fluorocarbon chemistry, or a forming gas chemistry, e.g., one including nitrogen and either hydrogen or oxygen.
  • In block 404, the via is filled with thermally decomposable sacrificial material. The thermally decomposable sacrificial material is material that may be thermally decomposed and evaporated at an acceptable temperature, preferably less than 450 degrees C., in a reducing atmosphere, so that it can be removed without damaging dielectric material with a low dielectric constant. The thermally decomposable sacrificial material may be deposited by spin coating between about 500 and about 3,000 angstroms of the material onto the surface of the device. The spin coating process causes the thermally decomposable sacrificial material to substantially or completely fill the via, with a thin layer coating the surface of the device.
  • In block 405, a trench is etched in the dielectric layer using photolithographic and etching steps. In block 406, remaining or additional sacrificial material may be removed by thermal decomposition in a furnace. In block 407, the trench and via may be filled with conductive material to form a second conductive layer, and the surface planarized. The portion of the barrier layer at the bottom of the via also may be removed to expose the first conductive layer to the second conductive layer.
  • While the present invention has been described with respect to a limited number of embodiments, those skilled in the art will appreciate numerous modifications and variations therefrom. It is intended that the appended claims cover all such modifications and variations as fall within the true spirit and scope of this present invention.

Claims (15)

1-15. (Canceled).
16. An apparatus comprising:
a semiconductor substrate having a conductive layer, a barrier layer over the conductive layer, and a dielectric layer over the barrier layer;
a first opening and a second opening etched into the dielectric layer, at least one of the openings extending through the dielectric layer to the barrier layer; and
a thermally decomposable sacrificial material in at least one of the first and second openings, wherein the first opening is a via and the second opening is a trench in a dual damascene interconnect.
17. An apparatus comprising:
a semiconductor substrate having a conductive layer, a barrier layer over the conductive layer, and a dielectric layer over the barrier layer;
a first opening and a second opening etched into the dielectric layer, at least one of the openings extending through the dielectric layer to the barrier layer; and
a thermally decomposable sacrificial material in at least one of the first and second openings, wherein the dielectric layer has a dielectric constant below 3.9.
18. The apparatus of claim 17 wherein the dielectric layer has a dielectric constant in the range of 2.2 to 2.6.
19. An apparatus comprising:
a semiconductor substrate having a conductive layer, a barrier layer over the conductive layer, and a dielectric layer over the barrier layer;
a first opening and a second opening etched into the dielectric layer, at least one of the openings extending through the dielectric layer to the barrier layer; and
a thermally decomposable sacrificial material in at least one of the first and second openings, wherein the thermally decomposable sacrificial material is a hydrocarbon-siloxane polymer hybrid.
20. An apparatus comprising:
a semiconductor substrate having a conductive layer, a barrier layer over the conductive layer, and a dielectric layer over the barrier layer;
a first opening and a second opening etched into the dielectric layer, at least one of the openings extending through the dielectric layer to the barrier layer; and
a thermally decomposable sacrificial material in at least one of the first and second openings, wherein the thermally decomposable sacrificial material is light absorbing.
21. The apparatus of claim 16 wherein the dielectric layer has a dielectric constant below 3.9.
22. The apparatus of claim 16 wherein the dielectric layer has a dielectric constant in the range of 2.2 to 2.6.
23. The apparatus of claim 16 wherein the thermally decomposable sacrificial material is a hydrocarbon-siloxane polymer hybrid.
24. The apparatus of claim 16 wherein the thermally decomposable sacrificial material is light absorbing.
25. The apparatus of claim 17 wherein the first opening is a via and the second opening is a trench in a dual damascene interconnect.
26. The apparatus of claim 19 wherein the first opening is a via and the second opening is a trench in a dual damascene interconnect.
27. The apparatus of claim 19 wherein the dielectric layer has a dielectric constant below 3.9.
28. The apparatus of claim 20 wherein the first opening is a via and the second opening is a trench in a dual damascene interconnect.
29. The apparatus of claim 20 wherein the dielectric layer has a dielectric constant below 3.9.
US10/953,744 2002-11-04 2004-09-29 Removing sacrificial material by thermal decomposition Abandoned US20050042874A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/953,744 US20050042874A1 (en) 2002-11-04 2004-09-29 Removing sacrificial material by thermal decomposition

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/287,369 US6833320B2 (en) 2002-11-04 2002-11-04 Removing sacrificial material by thermal decomposition
US10/953,744 US20050042874A1 (en) 2002-11-04 2004-09-29 Removing sacrificial material by thermal decomposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/287,369 Division US6833320B2 (en) 2002-11-04 2002-11-04 Removing sacrificial material by thermal decomposition

Publications (1)

Publication Number Publication Date
US20050042874A1 true US20050042874A1 (en) 2005-02-24

Family

ID=32175679

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/287,369 Expired - Fee Related US6833320B2 (en) 2002-11-04 2002-11-04 Removing sacrificial material by thermal decomposition
US10/953,744 Abandoned US20050042874A1 (en) 2002-11-04 2004-09-29 Removing sacrificial material by thermal decomposition

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/287,369 Expired - Fee Related US6833320B2 (en) 2002-11-04 2002-11-04 Removing sacrificial material by thermal decomposition

Country Status (1)

Country Link
US (2) US6833320B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060128089A1 (en) * 2002-12-20 2006-06-15 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device and semiconductor device obtained by means of such a method
US9790343B2 (en) 2008-06-12 2017-10-17 Avery Dennison Corporation Porous material and method for producing the same
US10569479B2 (en) 2012-08-21 2020-02-25 Vertera, Inc. Systems and methods for making porous films, fibers, spheres, and other articles

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7658975B2 (en) * 2003-12-12 2010-02-09 Intel Corporation Sealing porous dielectric materials
US7344972B2 (en) * 2004-04-21 2008-03-18 Intel Corporation Photosensitive dielectric layer
US20060105567A1 (en) * 2004-11-12 2006-05-18 Intel Corporation Method for forming a dual-damascene structure
US20070082469A1 (en) * 2005-10-12 2007-04-12 Peters John M Forming heaters for phase change memories
WO2007054867A2 (en) * 2005-11-08 2007-05-18 Nxp B.V. Producing a covered through substrate via using a temporary cap layer
WO2008044181A1 (en) * 2006-10-09 2008-04-17 Nxp B.V. Method of forming an interconnect structure
US7892900B2 (en) * 2008-04-07 2011-02-22 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing sacrificial spacers
CN103187362B (en) * 2011-12-31 2015-07-29 中芯国际集成电路制造(上海)有限公司 There is the manufacture method of the dual damascene damascene structure device of air gap
US10748757B2 (en) 2017-09-21 2020-08-18 Honeywell International, Inc. Thermally removable fill materials for anti-stiction applications
US10727044B2 (en) 2017-09-21 2020-07-28 Honeywell International Inc. Fill material to mitigate pattern collapse
JP7164773B2 (en) * 2018-03-02 2022-11-02 東京エレクトロン株式会社 How to transfer the pattern to the layer
JP7045974B2 (en) * 2018-11-14 2022-04-01 東京エレクトロン株式会社 Device manufacturing method
KR20210050953A (en) 2019-10-29 2021-05-10 삼성전자주식회사 Integrated Circuit devices and manufacturing methods for the same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6023102A (en) * 1997-07-17 2000-02-08 Sharp Laboratories Of America, Inc. Low resistance contact between circuit metal levels
US20040198048A1 (en) * 2001-01-19 2004-10-07 Chevron U.S.A. Inc. Diamondoid-containing field emission devices
US6828229B2 (en) * 2001-05-10 2004-12-07 Samsung Electronics Co., Ltd. Method of manufacturing interconnection line in semiconductor device
US6888251B2 (en) * 2002-07-01 2005-05-03 International Business Machines Corporation Metal spacer in single and dual damascene processing
US20050095847A1 (en) * 2002-07-08 2005-05-05 Nec Electronics Corporation Semiconductor device and manufacturing method thereof
US20050212135A1 (en) * 2004-03-26 2005-09-29 Zhen-Cheng Wu Interconnect structure with dielectric barrier and fabrication method thereof

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6093636A (en) * 1998-07-08 2000-07-25 International Business Machines Corporation Process for manufacture of integrated circuit device using a matrix comprising porous high temperature thermosets
US7214594B2 (en) * 2002-03-26 2007-05-08 Intel Corporation Method of making semiconductor device using a novel interconnect cladding layer

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6023102A (en) * 1997-07-17 2000-02-08 Sharp Laboratories Of America, Inc. Low resistance contact between circuit metal levels
US20040198048A1 (en) * 2001-01-19 2004-10-07 Chevron U.S.A. Inc. Diamondoid-containing field emission devices
US6828229B2 (en) * 2001-05-10 2004-12-07 Samsung Electronics Co., Ltd. Method of manufacturing interconnection line in semiconductor device
US6888251B2 (en) * 2002-07-01 2005-05-03 International Business Machines Corporation Metal spacer in single and dual damascene processing
US20050095847A1 (en) * 2002-07-08 2005-05-05 Nec Electronics Corporation Semiconductor device and manufacturing method thereof
US20050212135A1 (en) * 2004-03-26 2005-09-29 Zhen-Cheng Wu Interconnect structure with dielectric barrier and fabrication method thereof

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060128089A1 (en) * 2002-12-20 2006-06-15 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device and semiconductor device obtained by means of such a method
US7491639B2 (en) * 2002-12-20 2009-02-17 Nxp, B.V. Method of manufacturing a semiconductor device and semiconductor obtained by means of such a method
US9790343B2 (en) 2008-06-12 2017-10-17 Avery Dennison Corporation Porous material and method for producing the same
US11168195B2 (en) 2008-06-12 2021-11-09 Avery Dennison Corporation Porous material and method for producing the same
US10569479B2 (en) 2012-08-21 2020-02-25 Vertera, Inc. Systems and methods for making porous films, fibers, spheres, and other articles
US11780175B2 (en) 2012-08-21 2023-10-10 Nuvasive, Inc. Systems and methods for making porous films, fibers, spheres, and other articles

Also Published As

Publication number Publication date
US6833320B2 (en) 2004-12-21
US20040087060A1 (en) 2004-05-06

Similar Documents

Publication Publication Date Title
US7018920B2 (en) Composite sacrificial material
US6395607B1 (en) Integrated circuit fabrication method for self-aligned copper diffusion barrier
US7371461B2 (en) Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
US7098476B2 (en) Multilayer interconnect structure containing air gaps and method for making
US7030031B2 (en) Method for forming damascene structure utilizing planarizing material coupled with diffusion barrier material
US6329118B1 (en) Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6833320B2 (en) Removing sacrificial material by thermal decomposition
US6583047B2 (en) Method for eliminating reaction between photoresist and OSG
US6831005B1 (en) Electron beam process during damascene processing
US20040214427A1 (en) Forming thin hard mask over air gap or porous dielectric
US7323408B2 (en) Metal barrier cap fabrication by polymer lift-off
US20020127844A1 (en) Multilevel interconnect structure containing air gaps and method for making
US6498399B2 (en) Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits
US6097095A (en) Advanced fabrication method of integrated circuits with borderless vias and low dielectric-constant inter-metal dielectrics
US6452275B1 (en) Fabrication of integrated circuits with borderless vias
US20050140012A1 (en) Method for forming copper wiring of semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION