US20040226511A1 - Multi-core transformer plasma source - Google Patents

Multi-core transformer plasma source Download PDF

Info

Publication number
US20040226511A1
US20040226511A1 US10/768,601 US76860104A US2004226511A1 US 20040226511 A1 US20040226511 A1 US 20040226511A1 US 76860104 A US76860104 A US 76860104A US 2004226511 A1 US2004226511 A1 US 2004226511A1
Authority
US
United States
Prior art keywords
plasma
core
transformer
chamber
plasma generator
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/768,601
Inventor
Canfeng Lai
Michael Cox
Peter Loewenhardt
Tsutomu Tanaka
Shamouil Shamouilian
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/768,601 priority Critical patent/US20040226511A1/en
Publication of US20040226511A1 publication Critical patent/US20040226511A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor

Definitions

  • Plasmas are used for a variety of purposes in the fabrication of semiconductor devices, such as integrated circuits, and other types of substrates, such as micro-electro-mechanical (“MEMs”) substrates to achieve a variety of results.
  • Plasma methods include the formation of a layer using plasma-enhanced chemical vapor deposition and etching techniques, such as reactive ion etching.
  • a plasma might also be used to clean a processing chamber, or to prepare a surface of a substrate for a subsequent process step, such as a plasma wafer surface clean or activation prior to formation of a layer on the surface.
  • Plasma generators are also used as a source of ions for ion implantation or ion milling.
  • a directed plasma might also be used as a plasma torch for cutting applications.
  • plasma processing has resulted in a wide variety of plasma processing systems and apparatus.
  • One type of plasma processing chamber places the wafer on an electrode of the plasma circuit, opposite another planar electrode, and capacitively couples high-frequency electrical power to the two electrodes to form a plasma between them.
  • Such a plasma reactor has advantages where it is desirable to form the plasma in the presence of the substrate, such as when the physical movement of plasma species to and from the substrate is desired.
  • some devices or materials might not be compatible with this type of plasma formation, particularly the bombardment by plasma species, including high-energy photons, and associated heating of the substrate.
  • Another approach to plasma processing generates plasma in a remote location, and couples the plasma to a processing chamber.
  • Various types of plasma generators have been developed, including magnetron sources coupled to a cavity, inductively coupled toroidal sources, microwave irradiation directed at a plasma precursor, electron-cyclotron resonance generators, and others.
  • Remote plasma techniques offer a number of advantages for certain types of processes, such as cleaning deposition chambers, but generally the plasma that eventually reaches the chamber is of relatively low density, due to recombination of the reactive plasma species with each other or with components of the processing system, such as the chamber walls or delivery conduit.
  • Inductively coupled plasma systems have been developed that can generate a high-density plasma in one portion of the processing chamber (e.g. above the wafer), yet shield the wafer from the more deleterious effects of the plasma generation process by using the plasma itself as a buffer between the wafer and the plasma generation region and typically relies on diffusion of plasma to provide a uniform ion density across the wafer surface.
  • a dielectric dome, or chamber top has a conductive coil wound around the dome. High-frequency electric energy provided to the coil couples to a plasma precursor gas in the chamber and converts the precursor to plasma.
  • a second power supply couples an alternating field to the wafer or wafer support structure, and allows a directional component to and from the wafer to be added to the plasma generated by the coils.
  • Such systems are used for both deposition and etch processes to achieve very desirable results, generally providing both high rates and good uniformity across a wafer.
  • the fields generated by the coil through the dome have an electric field component normal to the surface of the dome that causes plasma species to be directed to and from the inner surface of the dome.
  • This field component acting on the plasma can cause physical erosion (“sputtering”) of the inside of the dome, as well as affect the power coupling to the plasma, thus causing a non-uniform plasma density.
  • the plasma might contain species that react with the material of the dome, further eroding the dome and potentially creating particles than can fall from the dome onto the wafer, creating defects. Reaction of the dome material with the plasma often arises in an etch process when the material being etched is similar to the material of the dome, e.g. silica-based glass. If erosion of the inner surface of the dome continues to a point where particulate contamination or strength of the dome is an issue, the dome might have to be replaced, affecting throughput of the plasma system, and potentially disrupting the product flow through an entire fabrication line.
  • Transformer plasma sources have also been developed using a toroidal core.
  • the core is typically a ferrite or similar high-permeability material, and the plasma source acts generally like an alternating-current (“AC”) transformer.
  • Primary windings are wound around the core and an induced plasma flux around the core acts like a secondary winding(s), the plasma flux providing a secondary current to oppose the magnetic fields in the core.
  • a tube structure forms a continuous closed path (“loop”) that includes a leg through a center opening of the core for transformer-coupled plasma.
  • Another leg includes a gas inlet, and the same or another leg provides a plasma/gas outlet.
  • one leg of the plasma loop includes the gas inlet, gas/plasma outlet, and a process wafer. Plasma formed in the loop is carried past the wafer surface by the gas flow from the inlet to the outlet.
  • recombination of plasma species on the surface of the tubes or in the gas/plasma mixture can reduce the effectiveness of a plasma source. Recombination generally occurs to a greater degree where the distance between the plasma core, where the fields that generate the plasma are generally higher, to the process chamber are greater. Recombination can also affect plasma density, as can dilution with a process gas stream.
  • plasma or plasma-assisted process on a wafer surface it is generally desirable to have a uniform plasma so that the surface of the wafer is uniformly processed. Uniformity problems are generally greater with larger-sized wafers.
  • Embodiments of the present invention provide a plasma processing apparatus applicable to deposition, etch, cleaning processes, ion implantation, ion milling, and plasma torch applications. Such processes may be applied to a substrate, such as a silicon wafer, composite wafer, glass panel, or other materials. In some instances, the plasma generated by the apparatus might be used for chamber cleaning purposes, in the absence of a substrate.
  • a multi-core plasma source forms a number of poloidal plasma currents.
  • the cores are essentially parallel to each other, i.e. the center axis of the core tori are essentially parallel to each other in a “flat” configuration.
  • the cores are in a series, or “stacked” configuration.
  • a number of relatively small plasma-generating transformer cores are arrayed across a double-walled panel.
  • the panel has a number of through holes, some surrounded by transformer cores, and some providing a return path for the plasma generated by the cores.
  • the panel provides a uniform plasma across a relatively large surface area, and can be scaled to larger sizes.
  • the multi-core panel can be driven by a variety of AC, radio-frequency (“RF”), or microwave (“MW”) sources.
  • RF radio-frequency
  • MW microwave
  • the transformers efficiently generate plasma from a variety of precursors over a wide range of pressures.
  • the panel is curved, rather than flat.
  • a plasma processing system includes two substrate support structures that each hold a substrate facing each other with a transformer-coupled plasma generator between them.
  • various configuration of transformer-coupled plasma generators are provided using multiple cores.
  • the multiple cores promote conversion of the precursor into plasma by providing additional plasma generating zones.
  • the plasma produced by the cores achieves a higher directionality by aligning the cores in a vertical stack.
  • the plasma generators are external to a processing chamber, being coupled to the processing chamber with a conduit, and in other embodiments the processing chamber completes a current path for the secondary circuit of the transformer-coupled plasma generator.
  • an ion source for an ion implantation system utilizes the directional nature of the ion distribution along the centerline of the toroidal plasma generators by ejecting a portion of the ions produced toward extraction electrodes. This is believed to allow optimizing extraction gradients for mass/charge analyzer performance while providing a high ion flux for implantation.
  • a toroidal plasma generator is placed in a plasma torch head.
  • the plasma generator is encased within an inner nozzle, thus protecting the operator from electrical shock hazard.
  • the poloidal current flow minimizes erosion of the inner nozzle material. It is believed that the toroidal plasma generator will produce plasma from a wide variety of precursors over wider pressure ranges and flow rates than conventional arc-discharge plasma generators.
  • an ion source for an ion milling system utilizes the directional nature of the ion distribution along the centerline of the toroidal plasma generators by ejecting a portion of the ions produced toward accelerator plates. It is believed that the transformer-coupled toroidal plasma generator will provide a high flux of ions and that the high-density nature of the plasma along the centerline will improve the performance of the ion milling system.
  • FIG. 1A is a simplified diagram of a plasma processing system with a multi-core plasma generator plate according to an embodiment of the present invention
  • FIG. 1B is a simplified top view of a multi-core plasma generator plate according to an embodiment of the present invention.
  • FIG. 1C is a simplified cross section of a portion of the multi-core plasma generator plate shown in FIG. 1B;
  • FIG. 1D is a simplified top view of a portion of the multi-core plasma generator plate shown in FIG. 1B with a surface of the plate removed to illustrate internal details of the plate;
  • FIG. 1E is a simplified flow chart of a method of processing a substrate according to an embodiment of the present invention.
  • FIG. 2A is a simplified diagram of a multi-wafer processing system with an internal toroidal plasma source according to another embodiment of the present invention
  • FIG. 2B is a simplified flow chart of a method of multi-wafer plasma processing according to an embodiment of the present invention
  • FIG. 3A is a simplified diagram of a plasma processing system with a multi-core plasma generator according to another embodiment of the present invention.
  • FIG. 3B is a simplified schematic representation of a plasma processing system with a multi-core plasma generator according to another embodiment of the present invention.
  • FIG. 3C is a simplified schematic representation of a plasma processing system with a multi-core plasma generator according to yet another embodiment of the present invention.
  • FIG. 3D is a simplified schematic representation of a plasma processing system with a multi-core plasma generator according to another embodiment of the present invention.
  • FIG. 3E is a simplified schematic representation of a plasma processing system with a multi-core plasma generator according to yet another embodiment of the present invention.
  • FIG. 3F is a simplified schematic representation of a multi-core plasma processing system with a planar array of exterior transformer cores
  • FIG. 3G is a simplified perspective view of an example of a top plate of a multi-core plasma system as could be used in the system illustrated in FIG. 3F;
  • FIG. 4A is a simplified diagram of a multi-core plasma generator according to an embodiment of the present invention.
  • FIG. 4B is a simplified sectioned perspective view of a multi-core plasma generator according to another embodiment of the present invention.
  • FIG. 5A is a simplified cross section of a toroidal transformer-coupled plasma generator
  • FIG. 5B is a simplified graph of ion density versus radial distance for the toroidal transformer-coupled plasma generator illustrated in FIG. 5A;
  • FIG. 6A is a simplified diagram of an ion implantation system with a toroidal plasma source according to an embodiment of the present invention
  • FIG. 6B is a simplified flow chart of an ion implantation process according to an embodiment of the present invention.
  • FIG. 7A is a simplified sectioned perspective view of a portion of a plasma torch head according to an embodiment of the present invention.
  • FIG. 7B is a simplified 0 low chart of a plasma cutting method according to an embodiment of the present invention.
  • FIG. 8A is a simplified diagram of an ion milling system with a toroidal plasma source according to an embodiment of the present invention.
  • FIG. 8B is a simplified flow chart of an ion milling process according to an embodiment of the present invention.
  • Embodiments of the present invention produce a plasma from multiple cores to achieve various beneficial effects.
  • many small cores are used to achieve a uniform plasma over a large surface area.
  • multiple cores are used to provide a high plasma density with a compact, efficient plasma generator.
  • the directionality of the plasma is used to provide an efficient source of ions for ion implantation.
  • the temperature profile of the plasma across the center of the core provides a compact, efficient plasma torch.
  • FIG. 1A illustrates one embodiment of a plasma processing system 10 suitable for a variety of plasma processes, such as plasma-enhanced deposition processes and plasma etch processes performed on a substrate or wafer.
  • Plasma processing system 10 includes a chamber 12 having a chamber body 14 and a chamber top 16 , a vacuum system 18 , an alternating current (“AC”) power supply 20 , such as an RF generator, is coupled to a several toroidal cores 21 , 22 , 23 by leads 24 , 26 and primary coils (not shown) wound around the cores.
  • AC alternating current
  • the toroidal core 22 is a ferrite core, but could be other magnetic material, or merely free space (“air”) depending on the coupling structure.
  • bias plasma system 30 can produce movement in the plasma normal to the surface 32 of a substrate 34 or be used to initiate a plasma.
  • Other plasma initiators such as a spark discharge, direct current (“DC”) electrode, or ultra-violet (“UV”) source may be used.
  • the substrate could be a silicon wafer, semiconductor-on-insulator, glass substrate, or other substrate for example.
  • the surface 32 will be referred to as the “process surface” of the substrate. It is understood that the process surface may include layers and structures previously formed on the substrate.
  • the wafer is a silicon wafer with a nominal diameter of 200 mm or 300 mm.
  • a gas delivery system 36 provides gas(es) to the processing chamber and other system components through gas delivery lines 38 , only some of which might be shown.
  • gases provided by the gas delivery system 36 might include plasma precursor gases, such as a cleaning or etching plasma precursor gas, a plasma deposition precursor gas, plasma striking gas, plasma dilution gas, and other gases, such as a cleaning precursor gas provided to an optional remote plasma cleaning system 104 , for example.
  • the delivery lines generally include some sort of control, such as a mass flow controller 42 and shut-off valves (not shown). The timing and rate of flow of the various gases is controlled through a system controller 44 , as will be described in further detail below.
  • the chamber top 16 includes an outer shell 46 .
  • a plasma generator plate 48 is installed between the outer shell and the chamber body 14 .
  • the plasma generator plate has a number of through holes 52 that allow gas and plasma to pass through the plate.
  • the magnetic field inside the ferrite cores 21 , 22 , 23 within the plate drive the secondary plasma current inside the chamber when energized by the AC power supply 20 through the leads 24 , 26 .
  • Primary windings (not shown) around each core couple the AC power to the core.
  • the primary windings can be connected to the AC power supply in series, in parallel, or in a combination of series and parallel connections.
  • the plate can be made of dielectric materials, such as ceramic, fused silica, or organic polymer, or can be made primarily of metal, such as stainless steel or aluminum alloy with a dielectric gap or spacer to disrupt unwanted currents through the metal around the core.
  • Each toroidal core produces an alternating poloidal plasma flow in the direction of the arrows 25 (during one half cycle) around the core through the through holes, and in the other direction during the other half cycle.
  • the poloidal plasma flow is essentially piece-wise parallel to the surfaces of the generator plate, thus reducing sputtering of those surfaces.
  • plasma formed by more than one core may couple in an additive or subtractive manner in a through hole, in other embodiments, such coupling is negligible because of the distribution of cores and through holes.
  • a through hole with a core surrounding it will be referred to as a generator hole, and a through hole without a core surrounding it will be referred to as a return hole.
  • the return hole or holes generally completes the plasma current loop around the core.
  • the toroidal cores are made of a ferrite material, such as a material sold under the trade designation “3C90” by ROYAL PHILIPS ELECTRONICS, N.V., but other ferrites or other materials, such as iron, may be appropriate, or the primary winding can define a core with a dielectric material or even a void, for example.
  • a ferrite material such as a material sold under the trade designation “3C90” by ROYAL PHILIPS ELECTRONICS, N.V.
  • ferrites or other materials such as iron
  • the outer shell 46 and chamber body 14 can be made of a conductive material, thus serving as a shield for electronic emissions generated by the transformer plasma sources, since each transformer plasma source is within the processing chamber. This not only reduces unwanted emissions from the system, but also may allow the AC power supply 20 to operate at frequencies that would otherwise generate an unacceptable level of electronic noise emissions. In such an embodiment, it may be desirable to provide leads from the power supply to the chamber that are shielded.
  • the efficient coupling of the transformer plasma source(s) also allow a plasma to be generated over a wide range of pressure, such as from about 500 mTorr to 3 Torr, and can generate plasma from a wide variety of precursors.
  • the chamber body 14 includes a substrate support member 72 , which is mounted on, and forms a continuous inner surface with, the body. Substrates are transferred into and out of chamber by a robot blade (not shown) through an insertion/removal opening (not shown) in the side of the chamber. Motor-controlled lift pins (not shown) are raised and then lowered to transfer the substrate from the robot blade to the substrate support member 72 .
  • a substrate receiving portion 74 of the substrate support member can include a wafer hold-down apparatus, such as an electrostatic chuck (not shown), that can selectively secure the substrate to the substrate support member during substrate processing, if desired.
  • the substrate support member 72 is made from anodized aluminum, aluminum, or aluminum oxide.
  • the substrate support member may also include a heater (not shown) to heat the wafer during processing, or to heat portions of the chamber during a cleaning process.
  • the substrate support member holds the substrate 34 so that the processing surface 32 of the substrate is opposite and essentially parallel to the major plane of the plasma generator plate.
  • the gate valve can isolate the turbo-molecular pump from the throttle body, and can also control chamber pressure by restricting the exhaust flow capacity when the throttle valve 78 is fully open.
  • the arrangement of the throttle valve, gate valve, and turbo-molecular pump allow accurate and stable control of chamber pressures from between about 1 mTorr to about 3 Torr, depending on gas flow rates. It is understood that other types of vacuum pumps and configurations of vacuum systems could be used with alternative embodiments of the present invention.
  • the AC power supply 20 operates at a nominal frequency of 400 KHz, but could operate at different frequencies, such as 60 Hz, 2 MHz, 13.56 MHz, 60 MHz, or 200 MHz, with appropriate design of the elements of the plasma system.
  • the power supply can supply up to 8 kW, but the processing system typically draws about 3-5 kW when processing a 200 mm wafer. It is understood that lower or higher power levels might be appropriate according to the type of process being performed and the size of the substrate.
  • a particular advantage of embodiments of the present invention utilizing multiple ferrite cores is the relatively low quality factor (“Q”) of the toroidal plasma generating structures (primary-core-secondary (plasma loop)).
  • Q quality factor
  • the low Q allows a high-frequency plasma generation system without the need for complicated matching circuits, as might be required with higher-Q systems.
  • the low Q also reduces the sensitivity of the plasma system to the chamber load, thus resulting in a more stable and consistent plasma operated over a wider process range.
  • the power delivered to the plasma can vary as the plasma is formed or chamber conditions change.
  • a plasma might be initiated with a plasma striker gas, such as argon.
  • a precursor gas such as NF 3 or F2
  • the dissociation of the gas into plasma creates a sudden increase in plasma species (pressure) as well as electrically charged particles. This effect can change the load on the power supply as well as the match to the load, resulting in reduced power transfer to the plasma and potentially reflecting a harmful level of power back to the generator.
  • a low-Q system can be implemented, avoiding these problems.
  • the optional bias plasma system 30 includes a bias generator 86 and an optional bias-matching network 88 .
  • the bias plasma system capacitively couples the substrate receiving portion 74 , i.e. the substrate, to conductive (grounded) inner surfaces of the chamber through a common ground 90 .
  • the bias plasma system serves to enhance the transport of plasma species (e.g. reactive ions or other particles) created by the plasma generating plate 48 to the surface 32 of the substrate.
  • the gas delivery system 36 provides gases from several gas sources 92 , 94 , 96 , 98 to the chamber and other system components via the gas delivery lines 38 (only some of which might be shown). Gases can be introduced into the chamber in a variety of fashions.
  • a top port 100 is shown as one example of a means for flowing gases in to the chamber.
  • a gas mixing chamber (not shown) can be present between the gas sources and the chamber, or the top port can be arranged with a number of parallel or concentric gas conduits to keep various gases separate until reaching the chamber.
  • gas conduits are present around the perimeter of the chamber, either above or below the plasma generating plate.
  • a gas delivery ring with a series of gas nozzles is provided about an inner circumference of the processing chamber.
  • Gas generally flows from the gas inlet port(s) to the vacuum exhaust system 18 .
  • This flow can also carry plasma species generated by the plasma generator plate toward the surface of the substrate.
  • the process wafer might be placed close enough to the plasma generating plate that gas flow is not required for plasma processing of the wafer surface.
  • An optional remote plasma cleaning system 40 is provided to periodically clean deposition residues from chamber components.
  • the cleaning system includes a remote microwave or RF plasma generator 106 that creates a plasma from a cleaning gas source 98 such as molecular fluorine, nitrogen trifluoride, other fluorocarbons or equivalents, in a reactor cavity 108 .
  • a cleaning gas source 98 such as molecular fluorine, nitrogen trifluoride, other fluorocarbons or equivalents
  • the system controller 44 controls the operation of the plasma processing system 10 .
  • the system controller includes a processor 114 coupled to a memory 116 , such as a hard disk drive, a floppy disk drive (not shown), and a card rack (not shown).
  • the card rack may contain a single-board computer (SBC) (not shown), analog and digital input/output boards (not shown), interface boards (not shown), and stepper motor controller boards (not shown).
  • SBC single-board computer
  • the system controller is coupled to other parts of the processing system by control lines 118 (only some of which might be shown), which may include system control signals from the controller and feedback signals from the system.
  • the system controller conforms to the Versa Modular European (VME) standard, which defines board, card cage, and connector dimensions and types.
  • the VME standard also defines the bus structure having a 16-bit data bus and 24-bit address bus.
  • chambers might be adapted to a multi-core toroidal plasma source according to the present invention, and that different types of wafer support systems, such as a center pedestal, might be used, as well as different exhaust configurations, such as a perimeter exhaust configuration.
  • additional coils might be added to control the plasma density and distribution (uniformity) inside the processing chamber.
  • a dielectric dome or shell could be used, and a coil provided outside the chamber or a coupling structure (s), such as a pole face of a solenoid, could couple to the interior of the chamber through a chamber wall.
  • the plasma generator plate is illustrated as a flat plate, it could form a hemisphere or other shape.
  • one fourth of the holes pass through a core and three fourths do not. Other ratios may be selected.
  • holes around the outmost edge are through a transformer core while center holes are through holes.
  • the plasma generating plate is typically intended to be placed within a processing chamber, such as is shown in FIG. 1A, above, and FIG. 2A, below.
  • Hole diameter can be optimized for different processes as determined by mean free path and sheath thickness. In some embodiments, a larger hole diameter is used for low pressure operation and a smaller hole diameter for high pressure processes.
  • An AC power supply 20 provides current to the primary coils in the array through leads that are coupled to the primary coils.
  • the primary coils can be directly attached to the leads, or one side of each coil can be connected to a common ground With one side of the power supply.
  • the plate may be grounded as shown, or floating, or at a selected potential.
  • a bias voltage is applied between the plasma generator plate and a process wafer to provide additional control of the plasma.
  • FIG. 1C is a simplified cross section of a portion of the multi-core plasma generator plate shown in FIG. 1B.
  • Ferrite cores 21 , 22 are coupled to primary coils 147 , 149 , which are connected to an AC power source (not shown in this figure).
  • the surfaces 151 , 153 of the plate are generally fabricated from a metal, such as aluminum or anodized aluminum. Other metals can be selected according to the intended process, for example, stainless steel may be used in applications where the risk of contamination from the elements in the steel is low.
  • An insulative spacer 50 breaks the electrical path around the core(s) through the plate to disrupt eddy currents.
  • the surfaces of the plate could be made of a non-conductive material.
  • the cores are packed in a packing material 155 , such as polyamide resin or magnesium oxide, to reduce the movement of the coils after assembly. Packing material can also serve to electrically insulate the primary coils and associated wiring from the conductive portions of the generator plate.
  • the packing material can be applied as a powder, as a liquid, such as silicon oil, as a liquid that is then polymerized, such as polyamide resin, or can be applied in a series of steps, such as applying a sheet of durable electrical insulation on which the cores and coils are placed, then filling in the spaces between the cores and conduits with a liquid or powder, and then applying a second sheet of durable electrical insulation before sealing the generator plate.
  • the generator plate may have additional features, such as liquid or gas cooling, which is not shown for simplicity of illustration.
  • FIG. 1D is a simplified top view of a portion of the plasma generator plate shown in FIGS. 1B and 1C with a surface of the plate removed to illustrate interior details of the plate.
  • a toroidal core 22 surrounds a hole 143 formed by the wall of the conduit 157 .
  • a primary coil 149 couples electromagnetic energy to the core 22 , which couples to plasma outside the generator plate.
  • the plasma flow acts as a secondary circuit to complete the transformer coupling from the AC power supply (not shown in this figure) to the plasma.
  • Another hole 145 does not have a surrounding core, and allows plasma flow through the hole to complete the secondary circuit.
  • FIG. 2A is a simplified diagram of a multi-wafer processing system 159 with an internal toroidal plasma source 161 according to another embodiment of the present invention.
  • the internal plasma source is shown as a single-core source, but a multi-core source, such as is described in conjunction with FIGS. 1A, 1B, 1 C and 1 E, could be used.
  • An internal plasma source with a single core is described in U.S. application Ser. No. 09/584,167, entitled TOROIDAL PLASMA SOURCE FOR PLASMA PROCESSING, by Cox et al., filed May 25, 2000, the disclosure of which is hereby incorporated in its entirety for all purposes.
  • the toroidal plasma source is a symmetrical source. That is, the plasma current flows essentially equally in both directions through the center of the torus (tori), as represented by the double-ended arrow 163 .
  • a gas source 36 supplies gas to the process chamber 165 .
  • An AC power supply 20 provides current to a primary coil (not shown in this figure) and the toroidal plasma source 161 for ms plasma from the gas.
  • the exhaust system 18 removes effluent from the chamber.
  • the plane that the toroidal core lies in (the plane of intersection) is essentially parallel to the surface of each wafer.
  • the wafers are held with wafer supports 72 A, 72 B, which can be mechanical supports, such as pockets or clips, vacuum chucks, or electrostatic chucks, for example. Although the wafers are shown in a vertical orientation, other orientations are possible.
  • a larger diameter of the center opening provides better plasma uniformity.
  • a 10 inch or larger diameter opening is used.
  • a 16 inch or larger diameter opening is used.
  • the distance between wafer and source depends on uniformity which in turn depends on gases, pressure and power. Some embodiments add a gas distribution ring to the torus to improve uniformity.
  • FIG. 2B is a simplified flow chart of a method 166 of simultaneously processing two wafers in processing chamber with a transformer-coupled plasma generator.
  • a first wafer and a second wafer are placed in the process chamber each facing an opposite side of a transformer-coupled plasma generator between the wafers (step 167 ).
  • a plasma is formed on both sides of the transformer-coupled plasma generator (step 168 ) to simultaneously process the first and second wafer (step 169 ).
  • the plasma process could be an etch process, a surface activation process, or a plasma-assisted layer formation process, for example.
  • FIG. 3A is a simplified diagram of a plasma processing system 170 with a multi-core plasma generator according to another embodiment of the present invention.
  • a gas inlet conduit 172 provides precursor gas to the plasma generator 174 .
  • a chamber exhaust 173 removes Two cores 176 , 178 , surround a conduit 180 of the plasma generator 174 .
  • Additional conduits 182 , 184 , 186 complete a path around the cores for the plasma to form a secondary circuit of the transformer-coupled plasma generator.
  • the conduits can be made of an electric insulator, such as fused silica or ceramic, or can be made from a metal, such as aluminum or anodized aluminum if a non-conductive gap or spacer is provided in the electric circuit around the core through the conduit walls.
  • Primary coils 188 , 190 around the vertically stacked cores 176 , 178 are connected to an AC power supply 20 .
  • the primary coils are shown as being wound in-phase, that is, current flowing through each primary coil induces plasma flow around each core in the same direction. Alternatively, the primary coils could be wound out-of-phase to each other. While the cores are shown as being relatively close together, they may be separated.
  • An insulator 192 breaks the electric circuit path around the coils if the conduits are made of a conductor.
  • the insulator can be omitted if the conduits or one segment of the conduit path around the core(s) is made of an insulative material.
  • FIG. 3B is a simplified schematic representation of a plasma processing system 196 with a multi-core plasma generator 198 according to another embodiment of the present invention.
  • a gas inlet 172 and chamber exhaust 173 provide gas or vapor to the plasma generator 198 and the process chamber 200 .
  • a substrate 34 is in the process chamber. It is understood that various types of exhaust and gas delivery systems could be used, and that the representation of the substrate is merely exemplary.
  • Two cores 202 , 204 are side-by-side.
  • a separate conduit 206 , 208 goes through each core.
  • Each core has a separate AC power supply 20 A, 20 B driving the primary coils 210 , 212 ; however, a single power supply may be used.
  • Insulative spacers 192 A, 192 B break the electric path through the conduits around the cores if the conduits are made of metal.
  • FIG. 3C is a simplified schematic representation of a plasma processing system 214 with a multi-core plasma generator 216 according to another embodiment of the present invention.
  • a gas inlet 173 provides plasma precursor into the conduits 181 , 183 , 185 , and 187 and a chamber exhaust 173 removes products from the reaction chamber 200 .
  • the plasma formed in the plasma generator 216 can be used to process a substrate 34 , as in a layer formation or etch process, or can be used for chamber cleaning, device cleaning, surface treating, or sterilization processes, among others.
  • the AC power supply 20 provides electric current to the primary coils 188 , 190 , which couple to the cores 176 , 178 .
  • FIG. 3D is a simplified schematic representation of a plasma processing system 218 with a multi-core transformer plasma generator 220 according to another embodiment of the present invention.
  • the plasma generator has two cores 222 , 224 with conduits 226 , 228 traversing through the center of the cores, and a bypass conduit 230 extending from the gas inlet 232 to an outlet 225 providing plasma to the process chamber 200 .
  • the AC power supply 20 drives the primary coils 227 , 229 in series, but the primary coils could driven in parallel in other embodiments.
  • a dielectric spacer 233 in the bypass conduit provide a gap in the eddy current path through the conduits around both coils.
  • a bias supply 234 provides an electric potential between the substrate 34 and the conductive portions of the chamber forming a circuit through the plasma and typically through a common ground.
  • the bias supply can be a direct-current supply, as shown, or can be another AC supply.
  • the bias supply can assist in the transport of ions in the plasma to the surface of the substrate, as with a DC bias supply, or can provide motion back and forth along a selected direction, i.e. perpendicular to the surface of the substrate, with an AC supply. Either type of bias supply may be added to the systems shown in FIGS. 3A, 3B, and 3 C, above. Similarly, additional cores and associated components could be added to the embodiments shown to produce additional multi-core transformer plasma generators.
  • FIG. 3E is a simplified schematic representation of a plasma processing system 238 with a multi-core transformer plasma generator 240 according to another embodiment of the present invention.
  • the gas inlet 242 provides plasma precursor(s) and other gases or vapors to the process chamber 244 .
  • the chamber exhaust 246 creates a flow from the inlet 242 across the surface 32 of the wafer 34 .
  • the transformer cores 248 , 250 of the plasma generator 240 have conduits 252 , 254 passing through the centers of the toroidal cores.
  • a linking conduit 256 and the process chamber 244 complete the secondary circuit of the transformer.
  • Dielectric spacers 258 , 260 break the eddy current path around the conduit walls, which are made of anodized aluminum.
  • FIG. 3F is a simplified diagram of a plasma processing system 262 with a multi-core plasma generator 264 according to another embodiment of the present invention.
  • a chamber lid 266 is made from a plate 268 and tubes 270 , 272 , 274 .
  • the lid can be made from stainless steel or aluminum alloy, for example.
  • Toroidal transformer cores 276 , 278 surround the outer tubes 270 , 274 , typically resting on the plate 268 with an intervening spacer (not shown).
  • the outer tubes are approximately 25.4 mm (1 inch) in diameter.
  • a dielectric spacer 280 in the center tube 272 breaks the eddy current path around the cores. As in FIG.
  • the gas inlet 242 and chamber exhaust 246 create a flow across the surface of the substrate 34 .
  • the gas or vapor could be admitted into the processing chamber 244 from vents in the chamber lid, or vents around the perimeter of the processing chamber.
  • the exhaust could draw from beneath the substrate, or from the perimeter of the substrate.
  • FIG. 4A is a simplified diagram of a multi-core plasma generator 400 according to another embodiment of the present invention.
  • a gas inlet 401 provides gas and/or vapor from a gas delivery system (not shown), and plasma flows out the outlet 402 . It is understood that gas or vapor can also flow out the outlet, and that additional inlets could be provided. For example, it may be desirable to provide an additional inlet near the outlet to provide a dilutent gas to reduce plasma recombination or to increase the flow though the outlet without increasing the flow through the plasma generation zones.
  • Toroidal transformer cores 405 , 406 , 407 , 408 surround conduits 409 , 410 , 411 , 412 that carry gas or vapor through the center of the tori to be disassociated (or at least partially disassociated) into plasma.
  • An AC power supply 20 provides current to the primary coils 413 , 414 , 415 , 416 .
  • the primary circuits are driven in parallel; however, in another example they can be connected in series.
  • the cores can alternate sides of the plasma generator, or additional cores and associated circuitry can be added to surround other conduit segments.
  • FIG. 4B is a simplified sectioned perspective view of a multi-core transformer coupled plasma generator 440 .
  • the generator has an inlet 442 for admitting plasma precursor(s) and an outlet 444 that provides plasma to a plasma process, such as a deposition chamber cleaning process. These designations are used solely for purposes of illustration and the actual flow may be reversed in some applications.
  • the generator has an outer shell 446 surrounding each toroidal plasma generator stage and inner shells 447 , 448 , 449 surrounding the toroidal transformer cores 450 , 451 , 452 .
  • the shells can be made of metal if a non-conductive gap or dielectric spacer 454 , 455 , 456 is included to prevent eddy currents.
  • the dielectric spacer can be located in different locations around the core.
  • Webs 457 support the generator stages inside the outer shell 446 of the plasma generator, while allowing gas and plasma to flow around each core.
  • a primary coil (not shown) around each core couples electro-magnetic energy to the plasma generator.
  • the electrical leads (not shown) are typically lead out from the cores to outside the outer shell through the webs.
  • a bottom portion 458 of the inner shell 447 is shaped to promote a symmetrical flow of plasma around the inner shell.
  • the toroidal plasma generator produces a plasma with directionality, specifically, with a high plasma concentration extending along the center axis of the cores.
  • This directionality can be a desired attribute in some applications, such as a source for ion implantation or ion milling, or a plasma torch application.
  • FIG. 5A is a simplified cross-section of a toroidal core 501 inside a shroud or cover 503 with a dielectric gap 505 .
  • An upper edge 507 of the cover forms a reference plane.
  • a portion of the chamber wall is shown as dotted line 508 .
  • FIG. 5B is a simplified cross-section of a representative ion density distribution 511 along the radial distance from the center axis 509 of the torus in the reference plane.
  • a constant ion density could be shown versus distance from the reference plane. Such a curve would have a similar shape.
  • the ion density has a maximum 513 along the center axis of the torus, that is, ions are essentially ejected outside of the torus along the center axis. It is believed this ion distribution arises due to crowding of the plasma within the inner circumference of the toroidal cover.
  • the ion density is bilaterally symmetrical about the plane of intersection with the circumference of the torus, and has theta symmetry about the center axis.
  • the ion density also generally represents the temperature of the plasma, so the temperature at the center axis is hotter than elsewhere at a similar distance from the reference plane.
  • the absolute ion density depends on many factors, such as the dimensions of the transformer structure, including the inner diameter of the cover and radius of the core, the pressure, the plasma species, and the AC drive frequency. However, it is possible to drive the transformer at a sufficiently high frequency to establish an essentially steady-state ion distribution as shown. Thus, the transformer-coupled plasma generator can maintain an enhanced ion density or temperature above the reference plane formed by the upper edge of the cover. If a more uniform plasma is desired, the inner diameter of the transformer structure can be increased relative to the diameter of the core. Additional plasma shaping can be done with shaped cores or core covers, or by using electromagnetic fields.
  • FIG. 6A is a simplified diagram of an ion implantation system 600 according to another embodiment of the present invention.
  • the system includes a transformer-coupled ion source 602 , which is driven by an AC power supply 20 .
  • a gas delivery system 603 provides the precursor gas or vapor to the ion source 602 .
  • a hot filament or arc discharge is typically used to ionize a gas into ions for implantation.
  • the gas may provide boron or arsenic ions for P-type or N-type doping of a silicon wafer.
  • the ions are extracted from the ion source with extraction electrodes 604 , 606 and slightly accelerated so that an analyzing magnet 608 can select the desired ions according to their mass and charge in conjunction with a resolving aperture 610 .
  • the extraction electrodes are generally at different electric potentials and form an electric field gradient to accelerate ions of the proper polarity.
  • the selected ions are then accelerated in an acceleration tube 612 to a selected energy for implantation into the substrate or wafer 34 , also referred to as the target.
  • a focusing element 614 , neutral beam trap 616 , Y-axis scanner 618 , and X-axis scanner 620 are a few of the other elements typically present in an ion implantation system. Additional elements, such as high-voltage power supplies, controllers, additional extraction electrodes and beam traps (mass resolving slits) may also be present but are not shown for simplicity of illustration.
  • the extraction electrodes 604 , 606 are an integral part of the ion source 602 .
  • a number of vacuum pumps 622 , 624 , 626 can be operated to provide a selected and differential vacuums in various portions of the system.
  • the transformer-coupled ion source 602 includes a toroidal core 627 and a primary coil 629 and produces a poloidal current flow around the core 627 of the transformer, represented by the double-ended arrows 628 , 630 .
  • the primary coil, transformer core, and secondary plasma circuit will be referred to as the transformer coupled toroidal plasma generator. Additional components, such as a cover for the core, mounting structure to hold the generator in the ion source, and a cooling system are not shown for clarity of illustration.
  • the ion source can be a multicore plasma generator such as that shown in FIGS. 4A or 4 B.
  • the plasma has theta symmetry, that is, the plasma density profile is generally symmetrical about the center axis of the toroidal core. However, the plasma density varies along a radial direction from the center axis of the toroidal core. In particular, the plasma is concentrated through the center of the core, as shown in FIG. 5B above.
  • the directionality of the plasma density distribution along the center axis of the torus aids in the extraction of ions from the ion source through the opening or aperture in the ion source.
  • the aperture in the ion source is aligned with the center axis of the torus such that the center concentration of ions (ref. FIG. 5B, num. 513 ) is “pushed” out of the ion source.
  • a toroidal transformer-coupled ion generator will produce a higher ion flux than conventional sources for similar operating conditions.
  • a high ion flux may also allow greater control of the beam shape and provide more accurate implantation.
  • a high initial ion flux out of the ion source may allow a high-dose, relatively low energy (shallow) implantation with low noise and divergence because more ions are present in the initial beam than are needed and only a portion of the ion beam (e.g. the center portion) might be selected for acceleration.
  • FIG. 6B is a simplified flow chart of an ion implantation process 650 according to an embodiment of the present invention.
  • An ion precursor is provided to transformer-coupled toroidal plasma generator (step 652 ).
  • the transformer-coupled toroidal plasma generator ionizes the ion precursor to form a plasma with a plasma density distribution varying along a radial direction from a center axis of the toroidal core, the plasma density being greater near the center axis (step 654 ).
  • step 656 Ejecting a portion of the plasma along the center axis toward an electric field gradient formed by extraction electrodes (step 656 ), accelerating the plasma toward a mass/charge analyzer (step 658 ) to select a portion of the ions for implantation (step 660 ), accelerating the portion of selected ions to a selected implantation energy (step 662 ) and implanting the selected ions into the surface of a target (step 664 ).
  • FIG. 7A is a simplified sectioned perspective view of a plasma torch head 700 according to another embodiment of the present invention.
  • the plasma torch head might be used in any of several applications, such as cutting shapes from material stock or in a die-separation process. For example, it may be desirable to use a plasma torch instead of a saw when separation micro-electro-mechanical systems (“MEMS”) dice to reduce particle generation that can degrade performance of the MEMS dice.
  • MEMS micro-electro-mechanical systems
  • the torch head 700 includes an outer nozzle 702 and an inner nozzle 704 .
  • Gas from which the plasma is formed enters from the inlet side 706 of the torch head and plasma and gas exit the outlet 708 .
  • the inner nozzle 704 includes a toroidal core 710 of a transformer-coupled plasma generator.
  • a primary coil (not shown) couples electromagnetic energy from an AC power supply (not shown). Additional cores and primary coils may be stacked along the center axis of the conduit to promote the directionality of the plasma.
  • the core has an essentially semi-circular cross-section 711 with a long edge 709 parallel to the conduit.
  • the leads (not shown) for the primary coil can be lead through a web, as is described according to reference numeral 457 in FIG.
  • the inner nozzle also includes an upper shell 712 , a dielectric spacer 713 , and a lower shaped portion 714 .
  • a conduit 716 extends through the inner nozzle.
  • the upper shell and the lower shaped portion are made of a suitable metal or alloy, such as an aluminum alloy.
  • a high-density plasma is formed in the conduit 716 in the portion of the conduit proximate to the core 710 , with the plasma current return path through a bypass 718 .
  • a plasma initiator device such as an electric arc or high-frequency parallel plate initiator may be used in some applications to assist in the initial formation of a plasma.
  • the toroidal transformer-coupled plasma generator can maintain the plasma over a wide range of operating conditions, such as pressure (e.g., 1 mTorr to 100 Torr) and flow rate
  • the bypass 718 allows for a separate gas flow that does not flow through the conduit 716 .
  • This gas flow can serve many purposes. It can provide cooling to the inner nozzle, mass transport of the plasma out the outlet 708 , and can dilute the plasma to reduce recombination.
  • a separate gas e.g. propane or hydrogen
  • another gas e.g. oxygen
  • the same gas is flown through the conduit and the bypass.
  • the poloidal flow of plasma around the core 710 provides a high-density plasma extending along the center axis of the nozzle.
  • This directional aspect to the plasma operates in conjunction with the gas flow to efficiently provide plasma at the outlet 708 of the torch head 700 , which lies on the centerline with the conduit.
  • arc-type plasma generators are typically run at several hundred volts, which can be lethal if an operator comes in contact with the voltage. While the arc electrodes are typically unavailable to the operator during use, exposed powered electrodes or failures in the isolation of high voltages may present a lethal electrical shock hazard. In comparison, the electrical components of the toroidal transformer-coupled plasma generator can be completely enclosed, and remain so even during servicing of the torch head.
  • the AC power supply can be a simple step-up/step down transformer and in some applications might run at the frequency of the line supply (e.g. 60 Hz).
  • FIG. 7B is a simplified flow chart of a plasma torch cutting process 750 according to an embodiment of the present invention.
  • a plasma precursor is flown from an inlet end toward an outlet end of a plasma torch head through a conduit passing through a center of an inner nozzle (step 752 ).
  • the inner nozzle includes a toroidal plasma generator that ionizes the precursor to form a plasma (step 754 ) in the center conduit.
  • a carrier gas is flown through an outer passageway formed between the outer surface of the inner nozzle and an inner surface of an outer nozzle (step 756 ) to cool the inner nozzle and to assist in the transport of plasma formed in the inner nozzle out the outlet (step 758 ).
  • the order given is merely exemplary, and the steps can be performed in other orders, such as initiating carrier gas flow before or concurrently with the flow of the plasma precursor.
  • FIG. 8A is a simplified diagram of an ion milling system 800 with an ion source 802 according to an embodiment of the present invention.
  • a toroidal transformer core 804 is contained within the ion source 806 and is driven by an AC power supply 20 .
  • a primary coil (not shown) couples electromagnetic energy from the AC power supply to the transformer core.
  • the transformer core 804 is typically housed in a shell 805 supported by a web, as shown in FIG. 4B, above, and includes a dielectric gap in the shell and typically a shaped portion (both not shown) to direct the plasma (ions) generated by the transformer-coupled plasma generator. Additional cores may be stacked along the center axis, as discussed in reference to FIG. 4B, above.
  • the high-voltage power supply is typically a direct-current supply operating at between about 300-1,500 Volts.
  • a focusing magnet 814 powered directed by a controller 816 with a power supply operates as a lens to produce an ion beam of a selected diameter at a selected location on the substrate 34 .
  • the substrate is held by a chuck 818 , such as a vacuum or electrostatic chuck.
  • a chuck 818 such as a vacuum or electrostatic chuck.
  • an electrostatic chuck with grooves for circulating a coolant against the backside of the substrate is used.
  • Helium gas is circulated in the grooves to thermally couple the substrate to the chuck, which is cooled with a water-based coolant.
  • a vacuum system provides the desired chamber pressure, typically between about 10-80 mTorr, in conjunction with gases supplied from the gas delivery system 36 through the gas conduit(s) 38 .
  • Gas supplied to the ion source 806 can be substantially inert, such as argon or krypton, or can be reactive, such as O 2 , C 2 F 5 H, F 2 , NF 3 , CF 4 , C 3 F 8 , or SF 6 .
  • ion milling is achieved primarily through physical sputtering, while in the latter cases the ion milling may occur through both physical and reactive ion sputtering, depending partially on the type of material being removed. In either case, the ion milling system provides a directed beam of ions to the surface of the substrate for selective removal of material.
  • a secondary plasma is formed between the surface of the plasma and the wall 820 of the processing chamber 822 using a secondary plasma supply (AC or DC) 824 .
  • This secondary plasma over the wafer surface assists in dissipating the accumulated charge to the grounded chamber wall 820 , which is typically made of aluminum or aluminum alloy.
  • FIG. 8B is a simplified flow chart of an ion milling process 850 according to another embodiment of the present invention.
  • An ion precursor is provided to transformer-coupled toroidal plasma generator (step 852 ).
  • the transformer-coupled toroidal plasma generator ionizes the ion precursor to form a plasma with a plasma density distribution varying along a radial direction from a center axis of the toroidal core, the plasma density being greater near the center axis and diminishing with increasing radial distance from the center axis (step 854 ).
  • step 856 Accelerating a portion of the plasma from the center axis toward a target with accelerator plates (step 856 ), focusing the ejected plasma into an ion beam (step 858 ), and directing the ion beam to a selected portion of a target substrate (step 860 ) to select remove material from the substrate.

Abstract

A transformer-coupled plasma source using toroidal cores forms a plasma with a high-density of ions along the center axis of the torus. In one embodiment, cores of a plasma generator are stacked in a vertical alignment to enhance the directionality of the plasma and generation efficiency. In another embodiment, cores are arranged in a lateral array into a plasma generating plate that can be scaled to accommodate substrates of various sizes, including very large substrates. The symmetry of the plasma attained allows simultaneous processing of two substrates, one on either side of the plasma generator.

Description

    BACKGROUND OF THE INVENTION
  • Plasmas are used for a variety of purposes in the fabrication of semiconductor devices, such as integrated circuits, and other types of substrates, such as micro-electro-mechanical (“MEMs”) substrates to achieve a variety of results. Plasma methods include the formation of a layer using plasma-enhanced chemical vapor deposition and etching techniques, such as reactive ion etching. A plasma might also be used to clean a processing chamber, or to prepare a surface of a substrate for a subsequent process step, such as a plasma wafer surface clean or activation prior to formation of a layer on the surface. Plasma generators are also used as a source of ions for ion implantation or ion milling. A directed plasma might also be used as a plasma torch for cutting applications. [0001]
  • The wide application of plasma processing has resulted in a wide variety of plasma processing systems and apparatus. One type of plasma processing chamber places the wafer on an electrode of the plasma circuit, opposite another planar electrode, and capacitively couples high-frequency electrical power to the two electrodes to form a plasma between them. Such a plasma reactor has advantages where it is desirable to form the plasma in the presence of the substrate, such as when the physical movement of plasma species to and from the substrate is desired. However, some devices or materials might not be compatible with this type of plasma formation, particularly the bombardment by plasma species, including high-energy photons, and associated heating of the substrate. [0002]
  • Another approach to plasma processing generates plasma in a remote location, and couples the plasma to a processing chamber. Various types of plasma generators have been developed, including magnetron sources coupled to a cavity, inductively coupled toroidal sources, microwave irradiation directed at a plasma precursor, electron-cyclotron resonance generators, and others. Remote plasma techniques offer a number of advantages for certain types of processes, such as cleaning deposition chambers, but generally the plasma that eventually reaches the chamber is of relatively low density, due to recombination of the reactive plasma species with each other or with components of the processing system, such as the chamber walls or delivery conduit. [0003]
  • Inductively coupled plasma systems have been developed that can generate a high-density plasma in one portion of the processing chamber (e.g. above the wafer), yet shield the wafer from the more deleterious effects of the plasma generation process by using the plasma itself as a buffer between the wafer and the plasma generation region and typically relies on diffusion of plasma to provide a uniform ion density across the wafer surface. In one system, a dielectric dome, or chamber top, has a conductive coil wound around the dome. High-frequency electric energy provided to the coil couples to a plasma precursor gas in the chamber and converts the precursor to plasma. In some systems, a second power supply couples an alternating field to the wafer or wafer support structure, and allows a directional component to and from the wafer to be added to the plasma generated by the coils. Such systems are used for both deposition and etch processes to achieve very desirable results, generally providing both high rates and good uniformity across a wafer. [0004]
  • However, the fields generated by the coil through the dome have an electric field component normal to the surface of the dome that causes plasma species to be directed to and from the inner surface of the dome. This field component acting on the plasma can cause physical erosion (“sputtering”) of the inside of the dome, as well as affect the power coupling to the plasma, thus causing a non-uniform plasma density. In some instances the plasma might contain species that react with the material of the dome, further eroding the dome and potentially creating particles than can fall from the dome onto the wafer, creating defects. Reaction of the dome material with the plasma often arises in an etch process when the material being etched is similar to the material of the dome, e.g. silica-based glass. If erosion of the inner surface of the dome continues to a point where particulate contamination or strength of the dome is an issue, the dome might have to be replaced, affecting throughput of the plasma system, and potentially disrupting the product flow through an entire fabrication line. [0005]
  • Transformer plasma sources have also been developed using a toroidal core. The core is typically a ferrite or similar high-permeability material, and the plasma source acts generally like an alternating-current (“AC”) transformer. Primary windings are wound around the core and an induced plasma flux around the core acts like a secondary winding(s), the plasma flux providing a secondary current to oppose the magnetic fields in the core. In one system, a tube structure forms a continuous closed path (“loop”) that includes a leg through a center opening of the core for transformer-coupled plasma. Another leg includes a gas inlet, and the same or another leg provides a plasma/gas outlet. In another embodiment, one leg of the plasma loop includes the gas inlet, gas/plasma outlet, and a process wafer. Plasma formed in the loop is carried past the wafer surface by the gas flow from the inlet to the outlet. [0006]
  • However, recombination of plasma species on the surface of the tubes or in the gas/plasma mixture can reduce the effectiveness of a plasma source. Recombination generally occurs to a greater degree where the distance between the plasma core, where the fields that generate the plasma are generally higher, to the process chamber are greater. Recombination can also affect plasma density, as can dilution with a process gas stream. When performing a plasma or plasma-assisted process on a wafer surface it is generally desirable to have a uniform plasma so that the surface of the wafer is uniformly processed. Uniformity problems are generally greater with larger-sized wafers. [0007]
  • Thus, it is desirable to provide a plasma system that avoids the surface erosion problem of conventional systems while creating a high-density, uniform plasma. [0008]
  • SUMMARY OF THE INVENTION
  • Embodiments of the present invention provide a plasma processing apparatus applicable to deposition, etch, cleaning processes, ion implantation, ion milling, and plasma torch applications. Such processes may be applied to a substrate, such as a silicon wafer, composite wafer, glass panel, or other materials. In some instances, the plasma generated by the apparatus might be used for chamber cleaning purposes, in the absence of a substrate. [0009]
  • A multi-core plasma source forms a number of poloidal plasma currents. In some embodiments, the cores are essentially parallel to each other, i.e. the center axis of the core tori are essentially parallel to each other in a “flat” configuration. In other embodiments, the cores are in a series, or “stacked” configuration. In one flat configuration, a number of relatively small plasma-generating transformer cores are arrayed across a double-walled panel. The panel has a number of through holes, some surrounded by transformer cores, and some providing a return path for the plasma generated by the cores. The panel provides a uniform plasma across a relatively large surface area, and can be scaled to larger sizes. Similarly, plasma uniformity can be improved by increasing the number of cores and through holes. The multi-core panel can be driven by a variety of AC, radio-frequency (“RF”), or microwave (“MW”) sources. The transformers efficiently generate plasma from a variety of precursors over a wide range of pressures. In another embodiment, the panel is curved, rather than flat. [0010]
  • In another embodiment, two substrates are simultaneously processed in a plasma chamber using the symmetry achieved by toroidal plasma generators. A plasma processing system includes two substrate support structures that each hold a substrate facing each other with a transformer-coupled plasma generator between them. [0011]
  • In yet other embodiments, various configuration of transformer-coupled plasma generators are provided using multiple cores. In some embodiments the multiple cores promote conversion of the precursor into plasma by providing additional plasma generating zones. In other embodiments, the plasma produced by the cores achieves a higher directionality by aligning the cores in a vertical stack. In some embodiments the plasma generators are external to a processing chamber, being coupled to the processing chamber with a conduit, and in other embodiments the processing chamber completes a current path for the secondary circuit of the transformer-coupled plasma generator. [0012]
  • In yet another embodiment, an ion source for an ion implantation system utilizes the directional nature of the ion distribution along the centerline of the toroidal plasma generators by ejecting a portion of the ions produced toward extraction electrodes. This is believed to allow optimizing extraction gradients for mass/charge analyzer performance while providing a high ion flux for implantation. [0013]
  • In yet another embodiment, a toroidal plasma generator is placed in a plasma torch head. The plasma generator is encased within an inner nozzle, thus protecting the operator from electrical shock hazard. The poloidal current flow minimizes erosion of the inner nozzle material. It is believed that the toroidal plasma generator will produce plasma from a wide variety of precursors over wider pressure ranges and flow rates than conventional arc-discharge plasma generators. [0014]
  • In yet another embodiment, an ion source for an ion milling system utilizes the directional nature of the ion distribution along the centerline of the toroidal plasma generators by ejecting a portion of the ions produced toward accelerator plates. It is believed that the transformer-coupled toroidal plasma generator will provide a high flux of ions and that the high-density nature of the plasma along the centerline will improve the performance of the ion milling system. [0015]
  • These and other embodiments of the present invention, as well as its advantages and features, are described in more detail in conjunction with the text below and attached figures.[0016]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is a simplified diagram of a plasma processing system with a multi-core plasma generator plate according to an embodiment of the present invention; [0017]
  • FIG. 1B is a simplified top view of a multi-core plasma generator plate according to an embodiment of the present invention; [0018]
  • FIG. 1C is a simplified cross section of a portion of the multi-core plasma generator plate shown in FIG. 1B; [0019]
  • FIG. 1D is a simplified top view of a portion of the multi-core plasma generator plate shown in FIG. 1B with a surface of the plate removed to illustrate internal details of the plate; [0020]
  • FIG. 1E is a simplified flow chart of a method of processing a substrate according to an embodiment of the present invention; [0021]
  • FIG. 2A is a simplified diagram of a multi-wafer processing system with an internal toroidal plasma source according to another embodiment of the present invention; [0022]
  • FIG. 2B is a simplified flow chart of a method of multi-wafer plasma processing according to an embodiment of the present invention; [0023]
  • FIG. 3A is a simplified diagram of a plasma processing system with a multi-core plasma generator according to another embodiment of the present invention; [0024]
  • FIG. 3B is a simplified schematic representation of a plasma processing system with a multi-core plasma generator according to another embodiment of the present invention; [0025]
  • FIG. 3C is a simplified schematic representation of a plasma processing system with a multi-core plasma generator according to yet another embodiment of the present invention; [0026]
  • FIG. 3D is a simplified schematic representation of a plasma processing system with a multi-core plasma generator according to another embodiment of the present invention; [0027]
  • FIG. 3E is a simplified schematic representation of a plasma processing system with a multi-core plasma generator according to yet another embodiment of the present invention; [0028]
  • FIG. 3F is a simplified schematic representation of a multi-core plasma processing system with a planar array of exterior transformer cores; [0029]
  • FIG. 3G is a simplified perspective view of an example of a top plate of a multi-core plasma system as could be used in the system illustrated in FIG. 3F; [0030]
  • FIG. 4A is a simplified diagram of a multi-core plasma generator according to an embodiment of the present invention; [0031]
  • FIG. 4B is a simplified sectioned perspective view of a multi-core plasma generator according to another embodiment of the present invention; [0032]
  • FIG. 5A is a simplified cross section of a toroidal transformer-coupled plasma generator; [0033]
  • FIG. 5B is a simplified graph of ion density versus radial distance for the toroidal transformer-coupled plasma generator illustrated in FIG. 5A; [0034]
  • FIG. 6A is a simplified diagram of an ion implantation system with a toroidal plasma source according to an embodiment of the present invention; [0035]
  • FIG. 6B is a simplified flow chart of an ion implantation process according to an embodiment of the present invention; [0036]
  • FIG. 7A is a simplified sectioned perspective view of a portion of a plasma torch head according to an embodiment of the present invention; [0037]
  • FIG. 7B is a simplified [0038] 0low chart of a plasma cutting method according to an embodiment of the present invention;
  • FIG. 8A is a simplified diagram of an ion milling system with a toroidal plasma source according to an embodiment of the present invention; and [0039]
  • FIG. 8B is a simplified flow chart of an ion milling process according to an embodiment of the present invention.[0040]
  • DESCRIPTION OF THE SPECIFIC EMBODIMENTS
  • I. Introduction [0041]
  • Embodiments of the present invention produce a plasma from multiple cores to achieve various beneficial effects. In one embodiment, many small cores are used to achieve a uniform plasma over a large surface area. In another embodiment, multiple cores are used to provide a high plasma density with a compact, efficient plasma generator. In another embodiment, the directionality of the plasma is used to provide an efficient source of ions for ion implantation. In yet another embodiment, the temperature profile of the plasma across the center of the core provides a compact, efficient plasma torch. These and other aspects of the invention will be further understood in light of the specific embodiments discussed below and reference to the accompanying figures. It is understood that other embodiments may be utilized and structural changes may be made without departing from the scope of the present invention. [0042]
  • II. Exemplary Substrate Processing System [0043]
  • FIG. 1A illustrates one embodiment of a [0044] plasma processing system 10 suitable for a variety of plasma processes, such as plasma-enhanced deposition processes and plasma etch processes performed on a substrate or wafer. Plasma processing system 10 includes a chamber 12 having a chamber body 14 and a chamber top 16, a vacuum system 18, an alternating current (“AC”) power supply 20, such as an RF generator, is coupled to a several toroidal cores 21, 22, 23 by leads 24, 26 and primary coils (not shown) wound around the cores. In a preferred embodiment the toroidal core 22 is a ferrite core, but could be other magnetic material, or merely free space (“air”) depending on the coupling structure. As an optional bias plasma system 30 can produce movement in the plasma normal to the surface 32 of a substrate 34 or be used to initiate a plasma. Other plasma initiators, such as a spark discharge, direct current (“DC”) electrode, or ultra-violet (“UV”) source may be used. The substrate could be a silicon wafer, semiconductor-on-insulator, glass substrate, or other substrate for example. For ease of description, the surface 32 will be referred to as the “process surface” of the substrate. It is understood that the process surface may include layers and structures previously formed on the substrate. In certain embodiments, the wafer is a silicon wafer with a nominal diameter of 200 mm or 300 mm.
  • A [0045] gas delivery system 36 provides gas(es) to the processing chamber and other system components through gas delivery lines 38, only some of which might be shown. Typical gases provided by the gas delivery system 36 might include plasma precursor gases, such as a cleaning or etching plasma precursor gas, a plasma deposition precursor gas, plasma striking gas, plasma dilution gas, and other gases, such as a cleaning precursor gas provided to an optional remote plasma cleaning system 104, for example. The delivery lines generally include some sort of control, such as a mass flow controller 42 and shut-off valves (not shown). The timing and rate of flow of the various gases is controlled through a system controller 44, as will be described in further detail below.
  • The [0046] chamber top 16 includes an outer shell 46. A plasma generator plate 48 is installed between the outer shell and the chamber body 14. The plasma generator plate has a number of through holes 52 that allow gas and plasma to pass through the plate. The magnetic field inside the ferrite cores 21, 22, 23 within the plate drive the secondary plasma current inside the chamber when energized by the AC power supply 20 through the leads 24, 26. Primary windings (not shown) around each core couple the AC power to the core. The primary windings can be connected to the AC power supply in series, in parallel, or in a combination of series and parallel connections.
  • The plate can be made of dielectric materials, such as ceramic, fused silica, or organic polymer, or can be made primarily of metal, such as stainless steel or aluminum alloy with a dielectric gap or spacer to disrupt unwanted currents through the metal around the core. Each toroidal core produces an alternating poloidal plasma flow in the direction of the arrows [0047] 25 (during one half cycle) around the core through the through holes, and in the other direction during the other half cycle. The poloidal plasma flow is essentially piece-wise parallel to the surfaces of the generator plate, thus reducing sputtering of those surfaces.
  • In some embodiments, plasma formed by more than one core may couple in an additive or subtractive manner in a through hole, in other embodiments, such coupling is negligible because of the distribution of cores and through holes. For purposes of discussion, a through hole with a core surrounding it will be referred to as a generator hole, and a through hole without a core surrounding it will be referred to as a return hole. The return hole or holes generally completes the plasma current loop around the core. [0048]
  • In one embodiment the toroidal cores are made of a ferrite material, such as a material sold under the trade designation “3C90” by ROYAL PHILIPS ELECTRONICS, N.V., but other ferrites or other materials, such as iron, may be appropriate, or the primary winding can define a core with a dielectric material or even a void, for example. [0049]
  • The AC power supply is coupled to the cores by the [0050] leads 24, 26 that are electrically connected to the primary windings around the cores. The AC power supply could operate at a variety of frequencies, such as about 400 kHz, 10 MHz, 13.5 MHz, or 60 MHz. Although two leads are shown connecting the AC power supply to the cores, an alternative circuit configuration using a single lead and common ground could be used. Specifically, the power supply could be mounted directly on the chamber structure, thus avoiding long leads to the coil and associated electromagnetic radiation, as well as reducing variations in load resulting from long leads. Each core, primary coil, and generated plasma form a transformer circuit that operates as a toroidal transformer plasma source within the interior 70 of the processing chamber when in operation. The primary circuit of the transformer is the coil, with the plasma serving as the secondary circuit of the transformer, the primary coupling to the secondary through the core.
  • As described above, the [0051] outer shell 46 and chamber body 14 can be made of a conductive material, thus serving as a shield for electronic emissions generated by the transformer plasma sources, since each transformer plasma source is within the processing chamber. This not only reduces unwanted emissions from the system, but also may allow the AC power supply 20 to operate at frequencies that would otherwise generate an unacceptable level of electronic noise emissions. In such an embodiment, it may be desirable to provide leads from the power supply to the chamber that are shielded. The efficient coupling of the transformer plasma source(s) also allow a plasma to be generated over a wide range of pressure, such as from about 500 mTorr to 3 Torr, and can generate plasma from a wide variety of precursors.
  • The [0052] chamber body 14 includes a substrate support member 72, which is mounted on, and forms a continuous inner surface with, the body. Substrates are transferred into and out of chamber by a robot blade (not shown) through an insertion/removal opening (not shown) in the side of the chamber. Motor-controlled lift pins (not shown) are raised and then lowered to transfer the substrate from the robot blade to the substrate support member 72. A substrate receiving portion 74 of the substrate support member can include a wafer hold-down apparatus, such as an electrostatic chuck (not shown), that can selectively secure the substrate to the substrate support member during substrate processing, if desired. In a preferred embodiment, the substrate support member 72 is made from anodized aluminum, aluminum, or aluminum oxide. The substrate support member may also include a heater (not shown) to heat the wafer during processing, or to heat portions of the chamber during a cleaning process. In a preferred embodiment, the substrate support member holds the substrate 34 so that the processing surface 32 of the substrate is opposite and essentially parallel to the major plane of the plasma generator plate.
  • The [0053] vacuum system 18 includes a throttle body 76 that houses a twin-blade throttle valve 78 and is attached to a gate valve 80 and turbo-molecular pump 82. It should be noted that the throttle body 76 offers minimum obstruction to gas flow, and allows symmetric pumping, as described in co-pending, co-assigned U.S. patent application Ser. No. 08/712,724 entitled SYMMETRIC CHAMBER by Ishikawa, filed Sep. 11, 1996, and which is incorporated herein by reference.
  • The gate valve can isolate the turbo-molecular pump from the throttle body, and can also control chamber pressure by restricting the exhaust flow capacity when the [0054] throttle valve 78 is fully open. The arrangement of the throttle valve, gate valve, and turbo-molecular pump allow accurate and stable control of chamber pressures from between about 1 mTorr to about 3 Torr, depending on gas flow rates. It is understood that other types of vacuum pumps and configurations of vacuum systems could be used with alternative embodiments of the present invention.
  • The [0055] AC power supply 20 operates at a nominal frequency of 400 KHz, but could operate at different frequencies, such as 60 Hz, 2 MHz, 13.56 MHz, 60 MHz, or 200 MHz, with appropriate design of the elements of the plasma system. The power supply can supply up to 8 kW, but the processing system typically draws about 3-5 kW when processing a 200 mm wafer. It is understood that lower or higher power levels might be appropriate according to the type of process being performed and the size of the substrate.
  • A particular advantage of embodiments of the present invention utilizing multiple ferrite cores is the relatively low quality factor (“Q”) of the toroidal plasma generating structures (primary-core-secondary (plasma loop)). The low Q allows a high-frequency plasma generation system without the need for complicated matching circuits, as might be required with higher-Q systems. The low Q also reduces the sensitivity of the plasma system to the chamber load, thus resulting in a more stable and consistent plasma operated over a wider process range. [0056]
  • In a high-Q system, the power delivered to the plasma can vary as the plasma is formed or chamber conditions change. For example, a plasma might be initiated with a plasma striker gas, such as argon. When a precursor gas, such as NF[0057] 3 or F2, is provided to the plasma, the dissociation of the gas into plasma creates a sudden increase in plasma species (pressure) as well as electrically charged particles. This effect can change the load on the power supply as well as the match to the load, resulting in reduced power transfer to the plasma and potentially reflecting a harmful level of power back to the generator. In the present invention, a low-Q system can be implemented, avoiding these problems.
  • The optional [0058] bias plasma system 30 includes a bias generator 86 and an optional bias-matching network 88. The bias plasma system capacitively couples the substrate receiving portion 74, i.e. the substrate, to conductive (grounded) inner surfaces of the chamber through a common ground 90. The bias plasma system serves to enhance the transport of plasma species (e.g. reactive ions or other particles) created by the plasma generating plate 48 to the surface 32 of the substrate.
  • The [0059] gas delivery system 36 provides gases from several gas sources 92, 94, 96, 98 to the chamber and other system components via the gas delivery lines 38 (only some of which might be shown). Gases can be introduced into the chamber in a variety of fashions. For example, a top port 100 is shown as one example of a means for flowing gases in to the chamber. A gas mixing chamber (not shown) can be present between the gas sources and the chamber, or the top port can be arranged with a number of parallel or concentric gas conduits to keep various gases separate until reaching the chamber. In an alternate embodiment, gas conduits are present around the perimeter of the chamber, either above or below the plasma generating plate. In yet an alternative embodiment, a gas delivery ring with a series of gas nozzles is provided about an inner circumference of the processing chamber. Gas generally flows from the gas inlet port(s) to the vacuum exhaust system 18. This flow can also carry plasma species generated by the plasma generator plate toward the surface of the substrate. In other instances, the process wafer might be placed close enough to the plasma generating plate that gas flow is not required for plasma processing of the wafer surface.
  • An optional remote plasma cleaning system [0060] 40 is provided to periodically clean deposition residues from chamber components. The cleaning system includes a remote microwave or RF plasma generator 106 that creates a plasma from a cleaning gas source 98 such as molecular fluorine, nitrogen trifluoride, other fluorocarbons or equivalents, in a reactor cavity 108. The reactive species resulting from this plasma are conveyed to the chamber interior through cleaning gas feed port 110 via applicator tube 112.
  • The [0061] system controller 44 controls the operation of the plasma processing system 10. In a preferred embodiment, the system controller includes a processor 114 coupled to a memory 116, such as a hard disk drive, a floppy disk drive (not shown), and a card rack (not shown). The card rack may contain a single-board computer (SBC) (not shown), analog and digital input/output boards (not shown), interface boards (not shown), and stepper motor controller boards (not shown). The system controller is coupled to other parts of the processing system by control lines 118 (only some of which might be shown), which may include system control signals from the controller and feedback signals from the system. The system controller conforms to the Versa Modular European (VME) standard, which defines board, card cage, and connector dimensions and types. The VME standard also defines the bus structure having a 16-bit data bus and 24-bit address bus.
  • An example of a system which may incorporate some or all of the subsystems and routines described above would be the ULTIMA™ system, manufactured by APPLIED MATERIALS, INC., of Santa Clara, Calif., configured to practice the present invention. Further details of such a system are disclosed in U.S. patent application Ser. No. 08/679,927, filed Jul. 15, 1996, entitled “Symmetric Tunable Inductively-Coupled HDP-CVD Reactor,” having Fred C. Redeker, Farhad Moghadam, Hirogi Hanawa, Tetsuya Ishikawa, Dan Maydan, Shijian Li, Brian Lue, Robert Steger, Yaxin Wang, Manus Wong and Ashok Sinha listed as co-inventors, the disclosure of which is incorporated herein by reference. [0062]
  • It is specifically understood that other types of chambers might be adapted to a multi-core toroidal plasma source according to the present invention, and that different types of wafer support systems, such as a center pedestal, might be used, as well as different exhaust configurations, such as a perimeter exhaust configuration. Similarly, additional coils might be added to control the plasma density and distribution (uniformity) inside the processing chamber. For example, instead of the metal outer shell described in conjunction with FIG. 1A, a dielectric dome or shell could be used, and a coil provided outside the chamber or a coupling structure (s), such as a pole face of a solenoid, could couple to the interior of the chamber through a chamber wall. Although the plasma generator plate is illustrated as a flat plate, it could form a hemisphere or other shape. [0063]
  • III. Planar Multi-Core Internal Plasma Generating Plate [0064]
  • FIG. 1B is a simplified top view of a multi-core [0065] plasma generator plate 48 according to an embodiment of the present invention. The plate may be flat, curved, or otherwise shaped. The plate includes a plurality of holes forming conduits through the plate for the passage of gas and plasma. Within the plate are several toroidal cores with a primary coil for each core. Some of the holes 143 pass through the center of a toroidal transformer core, while others 145 do not pass through a transformer core but provide return paths for the plasma current to complete the secondary circuit. Holes that pass through a transformer core can also provide a return path for the plasma current in another transformer circuit. In one embodiment half the holes pass through a transformer core and the other half do not. In another embodiment, one fourth of the holes pass through a core and three fourths do not. Other ratios may be selected. In one embodiment, holes around the outmost edge are through a transformer core while center holes are through holes. The plasma generating plate is typically intended to be placed within a processing chamber, such as is shown in FIG. 1A, above, and FIG. 2A, below. Hole diameter can be optimized for different processes as determined by mean free path and sheath thickness. In some embodiments, a larger hole diameter is used for low pressure operation and a smaller hole diameter for high pressure processes.
  • An [0066] AC power supply 20 provides current to the primary coils in the array through leads that are coupled to the primary coils. The primary coils can be directly attached to the leads, or one side of each coil can be connected to a common ground With one side of the power supply. The plate may be grounded as shown, or floating, or at a selected potential. In one embodiment, a bias voltage is applied between the plasma generator plate and a process wafer to provide additional control of the plasma.
  • FIG. 1C is a simplified cross section of a portion of the multi-core plasma generator plate shown in FIG. 1B. [0067] Ferrite cores 21, 22 are coupled to primary coils 147, 149, which are connected to an AC power source (not shown in this figure). The surfaces 151, 153 of the plate are generally fabricated from a metal, such as aluminum or anodized aluminum. Other metals can be selected according to the intended process, for example, stainless steel may be used in applications where the risk of contamination from the elements in the steel is low. An insulative spacer 50 breaks the electrical path around the core(s) through the plate to disrupt eddy currents. Alternatively, the surfaces of the plate could be made of a non-conductive material. The cores are packed in a packing material 155, such as polyamide resin or magnesium oxide, to reduce the movement of the coils after assembly. Packing material can also serve to electrically insulate the primary coils and associated wiring from the conductive portions of the generator plate. The packing material can be applied as a powder, as a liquid, such as silicon oil, as a liquid that is then polymerized, such as polyamide resin, or can be applied in a series of steps, such as applying a sheet of durable electrical insulation on which the cores and coils are placed, then filling in the spaces between the cores and conduits with a liquid or powder, and then applying a second sheet of durable electrical insulation before sealing the generator plate. The generator plate may have additional features, such as liquid or gas cooling, which is not shown for simplicity of illustration.
  • FIG. 1D is a simplified top view of a portion of the plasma generator plate shown in FIGS. 1B and 1C with a surface of the plate removed to illustrate interior details of the plate. A [0068] toroidal core 22 surrounds a hole 143 formed by the wall of the conduit 157. A primary coil 149 couples electromagnetic energy to the core 22, which couples to plasma outside the generator plate. The plasma flow acts as a secondary circuit to complete the transformer coupling from the AC power supply (not shown in this figure) to the plasma. Another hole 145 does not have a surrounding core, and allows plasma flow through the hole to complete the secondary circuit.
  • FIG. 1E is a simplified flow chart of a method of processing a [0069] substrate 150 according to an embodiment of the present invention. A substrate is placed in a processing chamber (step 152) and plasma precursor is flown into a multi-core transformer-coupled plasma generator (step 154). The generator (i.e. primary coil, core, and secondary plasma circuit path) may lie entirely within the processing chamber such as illustrated in FIGS. 1A and 2A, or may be outside of the chamber, such as illustrated in FIGS. 3A-3F. In some cases the external plasma generator is coupled to the processing chamber through a conduit, in other cases the processing chamber completes the secondary plasma current path around the core. The plasma generator forms a plasma from the plasma precursor (step 156) to plasma process the wafer (step 158). Examples of suitable plasma processes include etching, plasma-assisted thin-film formation, and surface activation.
  • IV. A Multi-Wafer Plasma Processing System [0070]
  • FIG. 2A is a simplified diagram of a [0071] multi-wafer processing system 159 with an internal toroidal plasma source 161 according to another embodiment of the present invention. The internal plasma source is shown as a single-core source, but a multi-core source, such as is described in conjunction with FIGS. 1A, 1B, 1C and 1E, could be used. An internal plasma source with a single core is described in U.S. application Ser. No. 09/584,167, entitled TOROIDAL PLASMA SOURCE FOR PLASMA PROCESSING, by Cox et al., filed May 25, 2000, the disclosure of which is hereby incorporated in its entirety for all purposes. In either case, the toroidal plasma source is a symmetrical source. That is, the plasma current flows essentially equally in both directions through the center of the torus (tori), as represented by the double-ended arrow 163.
  • A [0072] gas source 36 supplies gas to the process chamber 165. An AC power supply 20 provides current to a primary coil (not shown in this figure) and the toroidal plasma source 161 for ms plasma from the gas. The exhaust system 18 removes effluent from the chamber. Two wafers 34A, 34B opposite each other with the symmetrical plasma source in between the wafers. The plane that the toroidal core lies in (the plane of intersection) is essentially parallel to the surface of each wafer. The wafers are held with wafer supports 72A, 72B, which can be mechanical supports, such as pockets or clips, vacuum chucks, or electrostatic chucks, for example. Although the wafers are shown in a vertical orientation, other orientations are possible.
  • When a single torus source is employed, generally a larger diameter of the center opening provides better plasma uniformity. For some 200 mm wafer processing embodiments, a 10 inch or larger diameter opening is used. For some 300 mm wafer processing embodiments a 16 inch or larger diameter opening is used. The distance between wafer and source depends on uniformity which in turn depends on gases, pressure and power. Some embodiments add a gas distribution ring to the torus to improve uniformity. [0073]
  • FIG. 2B is a simplified flow chart of a [0074] method 166 of simultaneously processing two wafers in processing chamber with a transformer-coupled plasma generator. A first wafer and a second wafer are placed in the process chamber each facing an opposite side of a transformer-coupled plasma generator between the wafers (step 167). A plasma is formed on both sides of the transformer-coupled plasma generator (step 168) to simultaneously process the first and second wafer (step 169). The plasma process could be an etch process, a surface activation process, or a plasma-assisted layer formation process, for example.
  • V. External Multi-Core Plasma Systems [0075]
  • FIG. 3A is a simplified diagram of a [0076] plasma processing system 170 with a multi-core plasma generator according to another embodiment of the present invention. A gas inlet conduit 172 provides precursor gas to the plasma generator 174. A chamber exhaust 173 removes Two cores 176, 178, surround a conduit 180 of the plasma generator 174. Additional conduits 182, 184, 186 complete a path around the cores for the plasma to form a secondary circuit of the transformer-coupled plasma generator. The conduits can be made of an electric insulator, such as fused silica or ceramic, or can be made from a metal, such as aluminum or anodized aluminum if a non-conductive gap or spacer is provided in the electric circuit around the core through the conduit walls. Primary coils 188, 190 around the vertically stacked cores 176, 178, are connected to an AC power supply 20. The primary coils are shown as being wound in-phase, that is, current flowing through each primary coil induces plasma flow around each core in the same direction. Alternatively, the primary coils could be wound out-of-phase to each other. While the cores are shown as being relatively close together, they may be separated. An insulator 192 breaks the electric circuit path around the coils if the conduits are made of a conductor. The insulator can be omitted if the conduits or one segment of the conduit path around the core(s) is made of an insulative material.
  • FIG. 3B is a simplified schematic representation of a [0077] plasma processing system 196 with a multi-core plasma generator 198 according to another embodiment of the present invention. A gas inlet 172 and chamber exhaust 173 provide gas or vapor to the plasma generator 198 and the process chamber 200. A substrate 34 is in the process chamber. It is understood that various types of exhaust and gas delivery systems could be used, and that the representation of the substrate is merely exemplary. Two cores 202, 204 are side-by-side. A separate conduit 206, 208 goes through each core. Each core has a separate AC power supply 20A, 20B driving the primary coils 210, 212; however, a single power supply may be used. Using two separate power supplies allows the phase of each transformer to be individually adjusted by adjusting the power supply. Other adjustments, such as lead length or tuning circuits, can also be used to adjust the phase of the transformers. Insulative spacers 192A, 192B break the electric path through the conduits around the cores if the conduits are made of metal.
  • FIG. 3C is a simplified schematic representation of a [0078] plasma processing system 214 with a multi-core plasma generator 216 according to another embodiment of the present invention. A gas inlet 173 provides plasma precursor into the conduits 181, 183, 185, and 187 and a chamber exhaust 173 removes products from the reaction chamber 200. The plasma formed in the plasma generator 216 can be used to process a substrate 34, as in a layer formation or etch process, or can be used for chamber cleaning, device cleaning, surface treating, or sterilization processes, among others. The AC power supply 20 provides electric current to the primary coils 188, 190, which couple to the cores 176, 178.
  • FIG. 3D is a simplified schematic representation of a [0079] plasma processing system 218 with a multi-core transformer plasma generator 220 according to another embodiment of the present invention. The plasma generator has two cores 222, 224 with conduits 226, 228 traversing through the center of the cores, and a bypass conduit 230 extending from the gas inlet 232 to an outlet 225 providing plasma to the process chamber 200. The AC power supply 20 drives the primary coils 227, 229 in series, but the primary coils could driven in parallel in other embodiments. A dielectric spacer 233 in the bypass conduit provide a gap in the eddy current path through the conduits around both coils. A bias supply 234 provides an electric potential between the substrate 34 and the conductive portions of the chamber forming a circuit through the plasma and typically through a common ground. The bias supply can be a direct-current supply, as shown, or can be another AC supply The bias supply can assist in the transport of ions in the plasma to the surface of the substrate, as with a DC bias supply, or can provide motion back and forth along a selected direction, i.e. perpendicular to the surface of the substrate, with an AC supply. Either type of bias supply may be added to the systems shown in FIGS. 3A, 3B, and 3C, above. Similarly, additional cores and associated components could be added to the embodiments shown to produce additional multi-core transformer plasma generators.
  • FIG. 3E is a simplified schematic representation of a [0080] plasma processing system 238 with a multi-core transformer plasma generator 240 according to another embodiment of the present invention. In this embodiment, the gas inlet 242 provides plasma precursor(s) and other gases or vapors to the process chamber 244. The chamber exhaust 246 creates a flow from the inlet 242 across the surface 32 of the wafer 34. The transformer cores 248, 250 of the plasma generator 240 have conduits 252, 254 passing through the centers of the toroidal cores. A linking conduit 256 and the process chamber 244 complete the secondary circuit of the transformer. Dielectric spacers 258, 260 break the eddy current path around the conduit walls, which are made of anodized aluminum.
  • FIG. 3F is a simplified diagram of a plasma processing system [0081] 262 with a multi-core plasma generator 264 according to another embodiment of the present invention. A chamber lid 266 is made from a plate 268 and tubes 270, 272, 274. The lid can be made from stainless steel or aluminum alloy, for example. Toroidal transformer cores 276, 278 surround the outer tubes 270, 274, typically resting on the plate 268 with an intervening spacer (not shown). In one embodiment, the outer tubes are approximately 25.4 mm (1 inch) in diameter. A dielectric spacer 280 in the center tube 272 breaks the eddy current path around the cores. As in FIG. 3E, above, the gas inlet 242 and chamber exhaust 246 create a flow across the surface of the substrate 34. Alternatively, the gas or vapor could be admitted into the processing chamber 244 from vents in the chamber lid, or vents around the perimeter of the processing chamber. Similarly, the exhaust could draw from beneath the substrate, or from the perimeter of the substrate.
  • FIG. 3G is a simplified perspective view from the top of the [0082] lid 266 illustrated in FIG. 3F. Two additional tubes 271, 273 join the two tubes 270, 274 shown in FIG. 3F at the center tube 272. There are also toroidal transformer cores 275, 279 around the base of these tubes 271, 273. Leads 290, 291 from an AC power supply 20 are connected to the primary coils 281, 282; 283, 284 around the toroidal transformer cores 276, 277, 278, 279 in series, but could be connected in parallel or series-parallel. Similarly, different power supplies could be used to drive the various transformer circuits.
  • VI. Multi-Core Plasma Generators [0083]
  • FIG. 4A is a simplified diagram of a [0084] multi-core plasma generator 400 according to another embodiment of the present invention. A gas inlet 401 provides gas and/or vapor from a gas delivery system (not shown), and plasma flows out the outlet 402. It is understood that gas or vapor can also flow out the outlet, and that additional inlets could be provided. For example, it may be desirable to provide an additional inlet near the outlet to provide a dilutent gas to reduce plasma recombination or to increase the flow though the outlet without increasing the flow through the plasma generation zones.
  • [0085] Toroidal transformer cores 405, 406, 407, 408 surround conduits 409, 410, 411, 412 that carry gas or vapor through the center of the tori to be disassociated (or at least partially disassociated) into plasma. An AC power supply 20 provides current to the primary coils 413, 414, 415, 416. In this example the primary circuits are driven in parallel; however, in another example they can be connected in series. Similarly, in another embodiment the cores can alternate sides of the plasma generator, or additional cores and associated circuitry can be added to surround other conduit segments.
  • FIG. 4B is a simplified sectioned perspective view of a multi-core transformer coupled [0086] plasma generator 440. The generator has an inlet 442 for admitting plasma precursor(s) and an outlet 444 that provides plasma to a plasma process, such as a deposition chamber cleaning process. These designations are used solely for purposes of illustration and the actual flow may be reversed in some applications. The generator has an outer shell 446 surrounding each toroidal plasma generator stage and inner shells 447, 448, 449 surrounding the toroidal transformer cores 450, 451, 452. The shells can be made of metal if a non-conductive gap or dielectric spacer 454, 455, 456 is included to prevent eddy currents. The dielectric spacer can be located in different locations around the core. Webs 457 support the generator stages inside the outer shell 446 of the plasma generator, while allowing gas and plasma to flow around each core.
  • A primary coil (not shown) around each core couples electro-magnetic energy to the plasma generator. The electrical leads (not shown) are typically lead out from the cores to outside the outer shell through the webs. A [0087] bottom portion 458 of the inner shell 447 is shaped to promote a symmetrical flow of plasma around the inner shell. When AC current is provided to the plasma generator under plasma-generating conditions, plasma flows back and forth through the centers of each toroidal plasma generating stage (i.e. each core, primary coil, and inner shell). The toroidal configuration of each stage produces a plasma density distribution that is greater in the center of the generator and generally extends beyond the inner shells. In other words, the toroidal plasma generator produces a plasma with directionality, specifically, with a high plasma concentration extending along the center axis of the cores. This directionality can be a desired attribute in some applications, such as a source for ion implantation or ion milling, or a plasma torch application.
  • VII. Spatial Plasma Density [0088]
  • FIG. 5A is a simplified cross-section of a [0089] toroidal core 501 inside a shroud or cover 503 with a dielectric gap 505. An upper edge 507 of the cover forms a reference plane. A portion of the chamber wall is shown as dotted line 508. FIG. 5B is a simplified cross-section of a representative ion density distribution 511 along the radial distance from the center axis 509 of the torus in the reference plane. Alternatively, a constant ion density could be shown versus distance from the reference plane. Such a curve would have a similar shape. The ion density has a maximum 513 along the center axis of the torus, that is, ions are essentially ejected outside of the torus along the center axis. It is believed this ion distribution arises due to crowding of the plasma within the inner circumference of the toroidal cover. The ion density is bilaterally symmetrical about the plane of intersection with the circumference of the torus, and has theta symmetry about the center axis. The ion density also generally represents the temperature of the plasma, so the temperature at the center axis is hotter than elsewhere at a similar distance from the reference plane.
  • The absolute ion density depends on many factors, such as the dimensions of the transformer structure, including the inner diameter of the cover and radius of the core, the pressure, the plasma species, and the AC drive frequency. However, it is possible to drive the transformer at a sufficiently high frequency to establish an essentially steady-state ion distribution as shown. Thus, the transformer-coupled plasma generator can maintain an enhanced ion density or temperature above the reference plane formed by the upper edge of the cover. If a more uniform plasma is desired, the inner diameter of the transformer structure can be increased relative to the diameter of the core. Additional plasma shaping can be done with shaped cores or core covers, or by using electromagnetic fields. [0090]
  • VIII. Ion Implantation Source [0091]
  • FIG. 6A is a simplified diagram of an [0092] ion implantation system 600 according to another embodiment of the present invention. The system includes a transformer-coupled ion source 602, which is driven by an AC power supply 20. A gas delivery system 603 provides the precursor gas or vapor to the ion source 602. The
  • In a conventional ion implantation system, a hot filament or arc discharge is typically used to ionize a gas into ions for implantation. For example, the gas may provide boron or arsenic ions for P-type or N-type doping of a silicon wafer. The ions are extracted from the ion source with [0093] extraction electrodes 604, 606 and slightly accelerated so that an analyzing magnet 608 can select the desired ions according to their mass and charge in conjunction with a resolving aperture 610. The extraction electrodes are generally at different electric potentials and form an electric field gradient to accelerate ions of the proper polarity. The selected ions are then accelerated in an acceleration tube 612 to a selected energy for implantation into the substrate or wafer 34, also referred to as the target. A focusing element 614, neutral beam trap 616, Y-axis scanner 618, and X-axis scanner 620 are a few of the other elements typically present in an ion implantation system. Additional elements, such as high-voltage power supplies, controllers, additional extraction electrodes and beam traps (mass resolving slits) may also be present but are not shown for simplicity of illustration. In some systems, the extraction electrodes 604, 606 are an integral part of the ion source 602. A number of vacuum pumps 622, 624, 626 can be operated to provide a selected and differential vacuums in various portions of the system.
  • The transformer-coupled [0094] ion source 602 includes a toroidal core 627 and a primary coil 629 and produces a poloidal current flow around the core 627 of the transformer, represented by the double-ended arrows 628, 630. For purposes of discussion, the primary coil, transformer core, and secondary plasma circuit will be referred to as the transformer coupled toroidal plasma generator. Additional components, such as a cover for the core, mounting structure to hold the generator in the ion source, and a cooling system are not shown for clarity of illustration. In other embodiments, the ion source can be a multicore plasma generator such as that shown in FIGS. 4A or 4B.
  • The plasma has theta symmetry, that is, the plasma density profile is generally symmetrical about the center axis of the toroidal core. However, the plasma density varies along a radial direction from the center axis of the toroidal core. In particular, the plasma is concentrated through the center of the core, as shown in FIG. 5B above. [0095]
  • It is believed that the directionality of the plasma density distribution along the center axis of the torus, represented by the double-ended [0096] arrow 630, aids in the extraction of ions from the ion source through the opening or aperture in the ion source. The aperture in the ion source is aligned with the center axis of the torus such that the center concentration of ions (ref. FIG. 5B, num. 513) is “pushed” out of the ion source. In other words, the transformer-coupled toroidal ion source ejects ions out of the source toward the extraction electrodes, rather than relying on diffusion (drift) and extraction field intrusion into the ion source chamber 601 to remove ions form implantation out of the ion source chamber.
  • Providing this initial transport of plasma from the transformer core allows greater extraction of ions over a wider range of extraction voltages. The extraction voltage typically affects the boundary shape of the ions exiting the ion source; however, the extraction voltage that achieves the optimal source ion boundary shape is not necessarily the optimum extraction voltage for maximum ion flux. This can result in longer implantation times and reduced throughput. [0097]
  • It is believed that a toroidal transformer-coupled ion generator will produce a higher ion flux than conventional sources for similar operating conditions. A high ion flux may also allow greater control of the beam shape and provide more accurate implantation. In particular, a high initial ion flux out of the ion source may allow a high-dose, relatively low energy (shallow) implantation with low noise and divergence because more ions are present in the initial beam than are needed and only a portion of the ion beam (e.g. the center portion) might be selected for acceleration. [0098]
  • FIG. 6B is a simplified flow chart of an [0099] ion implantation process 650 according to an embodiment of the present invention. An ion precursor is provided to transformer-coupled toroidal plasma generator (step 652). The transformer-coupled toroidal plasma generator ionizes the ion precursor to form a plasma with a plasma density distribution varying along a radial direction from a center axis of the toroidal core, the plasma density being greater near the center axis (step 654). Ejecting a portion of the plasma along the center axis toward an electric field gradient formed by extraction electrodes (step 656), accelerating the plasma toward a mass/charge analyzer (step 658) to select a portion of the ions for implantation (step 660), accelerating the portion of selected ions to a selected implantation energy (step 662) and implanting the selected ions into the surface of a target (step 664).
  • IX. Plasma Torch Head [0100]
  • FIG. 7A is a simplified sectioned perspective view of a [0101] plasma torch head 700 according to another embodiment of the present invention. The plasma torch head might be used in any of several applications, such as cutting shapes from material stock or in a die-separation process. For example, it may be desirable to use a plasma torch instead of a saw when separation micro-electro-mechanical systems (“MEMS”) dice to reduce particle generation that can degrade performance of the MEMS dice.
  • The [0102] torch head 700 includes an outer nozzle 702 and an inner nozzle 704. Gas from which the plasma is formed enters from the inlet side 706 of the torch head and plasma and gas exit the outlet 708. The inner nozzle 704 includes a toroidal core 710 of a transformer-coupled plasma generator. A primary coil (not shown) couples electromagnetic energy from an AC power supply (not shown). Additional cores and primary coils may be stacked along the center axis of the conduit to promote the directionality of the plasma. In this embodiment, the core has an essentially semi-circular cross-section 711 with a long edge 709 parallel to the conduit. The leads (not shown) for the primary coil can be lead through a web, as is described according to reference numeral 457 in FIG. 4B, above. The inner nozzle also includes an upper shell 712, a dielectric spacer 713, and a lower shaped portion 714. A conduit 716 extends through the inner nozzle. The upper shell and the lower shaped portion are made of a suitable metal or alloy, such as an aluminum alloy.
  • Generally speaking, a high-density plasma is formed in the [0103] conduit 716 in the portion of the conduit proximate to the core 710, with the plasma current return path through a bypass 718. A plasma initiator device, such as an electric arc or high-frequency parallel plate initiator may be used in some applications to assist in the initial formation of a plasma. Once the plasma is initiated, the toroidal transformer-coupled plasma generator can maintain the plasma over a wide range of operating conditions, such as pressure (e.g., 1 mTorr to 100 Torr) and flow rate
  • The [0104] bypass 718 allows for a separate gas flow that does not flow through the conduit 716. This gas flow can serve many purposes. It can provide cooling to the inner nozzle, mass transport of the plasma out the outlet 708, and can dilute the plasma to reduce recombination. In one embodiment, a separate gas, e.g. propane or hydrogen, is flown through the bypass while another gas, e.g. oxygen, is flown through the conduit. In another embodiment, the same gas is flown through the conduit and the bypass. Some plasma is in the bypass, as well as in the conduit, to complete the secondary circuit around the transformer core. The tapered shape of the outer nozzle provides an increase in velocity and concentration of the plasma and carrier gas exiting the outlet 708. The poloidal flow of plasma around the core 710 provides a high-density plasma extending along the center axis of the nozzle. This directional aspect to the plasma operates in conjunction with the gas flow to efficiently provide plasma at the outlet 708 of the torch head 700, which lies on the centerline with the conduit.
  • Using a toroidal transformer-coupled plasma generator within the torch head has several advantages over conventional arc-type plasma torch heads. First, arc-type plasma generators are typically run at several hundred volts, which can be lethal if an operator comes in contact with the voltage. While the arc electrodes are typically unavailable to the operator during use, exposed powered electrodes or failures in the isolation of high voltages may present a lethal electrical shock hazard. In comparison, the electrical components of the toroidal transformer-coupled plasma generator can be completely enclosed, and remain so even during servicing of the torch head. [0105]
  • Second, the AC power supply can be a simple step-up/step down transformer and in some applications might run at the frequency of the line supply (e.g. 60 Hz). [0106]
  • Third, conventional arc-type electrodes are exposed to the plasma and plasma precursor, often causing electrode erosion or contamination. The erosion of the electrodes is exacerbated by the fact that the greatest erosion typically occurs at the point of the electrode, where it is generally desirable to generate the high voltage gradients desired for arc discharge. The toroidal transformer-coupled plasma generator has cover with a relatively high surface area surrounding the core, thus intense field lines intersecting the surface of the cover are substantially avoided. Similarly, the poloidal plasma flux generated by the toroidal core runs essentially parallel to the surface of the cover, thus sputtering or similar damage to the core is substantially eliminated. [0107]
  • Fourth, while arc discharge generators are relatively sensitive to pressure and flows, and may become unstable or extinguish if appropriately stable operating conditions are not established, the transformer-coupled plasma generator can operate over a wide range of pressures and flow rates. [0108]
  • FIG. 7B is a simplified flow chart of a plasma [0109] torch cutting process 750 according to an embodiment of the present invention. A plasma precursor is flown from an inlet end toward an outlet end of a plasma torch head through a conduit passing through a center of an inner nozzle (step 752). The inner nozzle includes a toroidal plasma generator that ionizes the precursor to form a plasma (step 754) in the center conduit. A carrier gas is flown through an outer passageway formed between the outer surface of the inner nozzle and an inner surface of an outer nozzle (step 756) to cool the inner nozzle and to assist in the transport of plasma formed in the inner nozzle out the outlet (step 758). The order given is merely exemplary, and the steps can be performed in other orders, such as initiating carrier gas flow before or concurrently with the flow of the plasma precursor.
  • X. Ion Milling Source [0110]
  • FIG. 8A is a simplified diagram of an [0111] ion milling system 800 with an ion source 802 according to an embodiment of the present invention. A toroidal transformer core 804 is contained within the ion source 806 and is driven by an AC power supply 20. A primary coil (not shown) couples electromagnetic energy from the AC power supply to the transformer core. The transformer core 804 is typically housed in a shell 805 supported by a web, as shown in FIG. 4B, above, and includes a dielectric gap in the shell and typically a shaped portion (both not shown) to direct the plasma (ions) generated by the transformer-coupled plasma generator. Additional cores may be stacked along the center axis, as discussed in reference to FIG. 4B, above.
  • Accelerator plates or grids (also called vanes) [0112] 808 connected to a high-voltage power supply 810 via power lines 812 accelerate ions generated proximate to the transformer core 804, particularly those generated along the center axis of the toroidal core, toward the target substrate 34 in response to a voltage gradient established between the plates. The high-voltage power supply is typically a direct-current supply operating at between about 300-1,500 Volts.
  • A focusing [0113] magnet 814 powered directed by a controller 816 with a power supply operates as a lens to produce an ion beam of a selected diameter at a selected location on the substrate 34. The substrate is held by a chuck 818, such as a vacuum or electrostatic chuck. In one embodiment, an electrostatic chuck with grooves for circulating a coolant against the backside of the substrate is used. Helium gas is circulated in the grooves to thermally couple the substrate to the chuck, which is cooled with a water-based coolant. A vacuum system provides the desired chamber pressure, typically between about 10-80 mTorr, in conjunction with gases supplied from the gas delivery system 36 through the gas conduit(s) 38.
  • Gas supplied to the [0114] ion source 806 can be substantially inert, such as argon or krypton, or can be reactive, such as O2, C2F5H, F2, NF3, CF4, C3F8, or SF6. In the first case, ion milling is achieved primarily through physical sputtering, while in the latter cases the ion milling may occur through both physical and reactive ion sputtering, depending partially on the type of material being removed. In either case, the ion milling system provides a directed beam of ions to the surface of the substrate for selective removal of material. While the beam is generally directed with the focusing magnet, in one embodiment the substrate 34 and chuck 818 can be tilted and rotated with respect to the ion source 806. To avoid charge build-up on the surface of the substrate, in some embodiments a secondary plasma is formed between the surface of the plasma and the wall 820 of the processing chamber 822 using a secondary plasma supply (AC or DC) 824. This secondary plasma over the wafer surface assists in dissipating the accumulated charge to the grounded chamber wall 820, which is typically made of aluminum or aluminum alloy.
  • FIG. 8B is a simplified flow chart of an [0115] ion milling process 850 according to another embodiment of the present invention. An ion precursor is provided to transformer-coupled toroidal plasma generator (step 852). The transformer-coupled toroidal plasma generator ionizes the ion precursor to form a plasma with a plasma density distribution varying along a radial direction from a center axis of the toroidal core, the plasma density being greater near the center axis and diminishing with increasing radial distance from the center axis (step 854). Accelerating a portion of the plasma from the center axis toward a target with accelerator plates (step 856), focusing the ejected plasma into an ion beam (step 858), and directing the ion beam to a selected portion of a target substrate (step 860) to select remove material from the substrate.
  • While the invention has been described above with respect to specific structures and process steps, it is understood that the invention is not limited to the described embodiments. In particular, alternative configurations of the cover, shape of the core, core materials, or placement of dielectric gaps, or use of other precursors or other process. For example, although embodiments have generally been illustrated with an essentially round core, the core could be made of straight segments, such as in the shape of a square, rectangle, hexagon, or octagon, among others. Similarly, although embodiments have generally been illustrated with one or two substrates, additional substrates could be processes, such as by placing several substrates on the substrate support member(s). These equivalents and alternatives are intended to be included within the scope of the present invention. Other variations will be apparent to persons of skill in the art. Accordingly, it is not intended to limit the invention except as provided in the appended claims. [0116]

Claims (9)

1-31. (Cancelled)
32. A plasma generator comprising:
an outer shell surrounding a first inner shell housing a first toroidal transformer core; and
a second inner shell housing a second toroidal transformer core, wherein the first toroidal transformer core and the second toroidal transformer core are disposed along a common center axis.
33. The plasma generator of claim 32 wherein the first inner shell is supported within the outer shell by a web allowing circulation of secondary plasma current around the first inner shell within the outer shell.
34. The plasma generator of claim 33 wherein the web contains an electrical lead connected to a primary coil disposed to couple electromagnetic energy to the first toroidal transformer core.
35. The plasma generator of claim 32 wherein the first inner shell includes a shaped bottom portion to provide a circular cross-section to the inner shell.
36. The plasma generator of claim 32 further comprising:
an inlet; and
an outlet, both the inlet and the outlet lying along the common center axis.
37-52. (Cancelled)
53. The plasma generator of claim 32 further comprising a dielectric spaced disposed between the first and second inner shells.
54. The plasma generator of claim 53 wherein the first and second inner shells are made of metal.
US10/768,601 2001-04-20 2004-01-30 Multi-core transformer plasma source Abandoned US20040226511A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/768,601 US20040226511A1 (en) 2001-04-20 2004-01-30 Multi-core transformer plasma source

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/839,360 US6755150B2 (en) 2001-04-20 2001-04-20 Multi-core transformer plasma source
US10/768,601 US20040226511A1 (en) 2001-04-20 2004-01-30 Multi-core transformer plasma source

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/839,360 Division US6755150B2 (en) 2001-04-20 2001-04-20 Multi-core transformer plasma source

Publications (1)

Publication Number Publication Date
US20040226511A1 true US20040226511A1 (en) 2004-11-18

Family

ID=25279529

Family Applications (6)

Application Number Title Priority Date Filing Date
US09/839,360 Expired - Fee Related US6755150B2 (en) 2001-04-20 2001-04-20 Multi-core transformer plasma source
US10/769,229 Abandoned US20040185610A1 (en) 2001-04-20 2004-01-30 Multi-core transformer plasma source
US10/768,607 Expired - Fee Related US7363876B2 (en) 2001-04-20 2004-01-30 Multi-core transformer plasma source
US10/769,225 Abandoned US20040226658A1 (en) 2001-04-20 2004-01-30 Multi-core transformer plasma source
US10/769,216 Abandoned US20040182517A1 (en) 2001-04-20 2004-01-30 Multi-core transformer plasma source
US10/768,601 Abandoned US20040226511A1 (en) 2001-04-20 2004-01-30 Multi-core transformer plasma source

Family Applications Before (5)

Application Number Title Priority Date Filing Date
US09/839,360 Expired - Fee Related US6755150B2 (en) 2001-04-20 2001-04-20 Multi-core transformer plasma source
US10/769,229 Abandoned US20040185610A1 (en) 2001-04-20 2004-01-30 Multi-core transformer plasma source
US10/768,607 Expired - Fee Related US7363876B2 (en) 2001-04-20 2004-01-30 Multi-core transformer plasma source
US10/769,225 Abandoned US20040226658A1 (en) 2001-04-20 2004-01-30 Multi-core transformer plasma source
US10/769,216 Abandoned US20040182517A1 (en) 2001-04-20 2004-01-30 Multi-core transformer plasma source

Country Status (1)

Country Link
US (6) US6755150B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060065629A1 (en) * 2004-09-30 2006-03-30 Tokyo Electron Limited Method for treating a substrate
CN108932982A (en) * 2017-05-22 2018-12-04 成都达信成科技有限公司 A kind of high line plasma array source for straight line high-intensity magnetic field device
WO2019104218A1 (en) * 2017-11-21 2019-05-31 Pi Inc. High accuracy tuning of resonant network

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7838850B2 (en) 1999-12-13 2010-11-23 Semequip, Inc. External cathode ion source
US20070107841A1 (en) * 2000-12-13 2007-05-17 Semequip, Inc. Ion implantation ion source, system and method
AU2430601A (en) * 1999-12-13 2001-06-18 Semequip, Inc. Ion implantation ion source, system and method
US6803585B2 (en) * 2000-01-03 2004-10-12 Yuri Glukhoy Electron-cyclotron resonance type ion beam source for ion implanter
US6970362B1 (en) 2000-07-31 2005-11-29 Intel Corporation Electronic assemblies and systems comprising interposer with embedded capacitors
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US6775150B1 (en) * 2000-08-30 2004-08-10 Intel Corporation Electronic assembly comprising ceramic/organic hybrid substrate with embedded capacitors and methods of manufacture
US6793775B2 (en) * 2001-03-13 2004-09-21 Mikhail I. Gouskov Multiple torch—multiple target method and apparatus for plasma outside chemical vapor deposition
WO2003054912A1 (en) * 2001-12-20 2003-07-03 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
KR20030097284A (en) * 2002-06-20 2003-12-31 삼성전자주식회사 Ion source for ion implantation equipment
US20030015965A1 (en) * 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
DE10243406A1 (en) * 2002-09-18 2004-04-01 Leybold Optics Gmbh plasma source
WO2004040629A1 (en) * 2002-10-29 2004-05-13 Mitsubishi Heavy Industries, Ltd. Method and system for making uniform high frequency plasma over larger area in plasma-activated cvd system
KR100464856B1 (en) * 2002-11-07 2005-01-05 삼성전자주식회사 Method for etching a surface of workpiece and a backside of silicon substrate
KR100542740B1 (en) * 2002-11-11 2006-01-11 삼성전자주식회사 Method and apparatus for generating a gas plasma, gas compostion for generating a plasma and method for semiconductor processing using the same
KR100488348B1 (en) * 2002-11-14 2005-05-10 최대규 Plasma process chamber and system
US8409400B2 (en) * 2003-05-07 2013-04-02 Gen Co., Ltd. Inductive plasma chamber having multi discharge tube bridge
US6879109B2 (en) * 2003-05-15 2005-04-12 Axcelis Technologies, Inc. Thin magnetron structures for plasma generation in ion implantation systems
KR100505035B1 (en) * 2003-11-17 2005-07-29 삼성전자주식회사 Electrostatic chuck for supporting a substrate
US7737382B2 (en) * 2004-04-01 2010-06-15 Lincoln Global, Inc. Device for processing welding wire
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US7396431B2 (en) * 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
KR101121418B1 (en) * 2005-02-17 2012-03-16 주성엔지니어링(주) Plasma generation apparatus comprising toroidal core
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7292428B2 (en) * 2005-04-26 2007-11-06 Applied Materials, Inc. Electrostatic chuck with smart lift-pin mechanism for a plasma reactor
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
ATE543199T1 (en) * 2005-05-23 2012-02-15 New Power Plasma Co Ltd PLASMA CHAMBER WITH DISCHARGE INDUCING BRIDGE
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
WO2007023968A1 (en) * 2005-08-26 2007-03-01 Showa Denko K. K. Method and apparatus for producing nitrogen trifluoride
US7704887B2 (en) * 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US9681529B1 (en) * 2006-01-06 2017-06-13 The United States Of America As Represented By The Secretary Of The Air Force Microwave adapting plasma torch module
KR100799175B1 (en) * 2006-04-21 2008-02-01 주식회사 뉴파워 프라즈마 Plasma processing system and control method therefor
JP5257917B2 (en) * 2006-04-24 2013-08-07 株式会社ニューパワープラズマ Inductively coupled plasma reactor with multiple magnetic cores
JP4179337B2 (en) * 2006-05-17 2008-11-12 日新イオン機器株式会社 Ion source and operation method thereof
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
US7871828B2 (en) * 2007-02-06 2011-01-18 Applied Materials, Inc. In-situ dose monitoring using optical emission spectroscopy
US7746001B2 (en) * 2007-04-27 2010-06-29 Plasma Technics, Inc. Plasma generator having a power supply with multiple leakage flux coupled transformers
TWI352368B (en) * 2007-09-21 2011-11-11 Ind Tech Res Inst Plasma head and plasma-discharging device using th
JP5329796B2 (en) * 2007-11-14 2013-10-30 株式会社イー・エム・ディー Plasma processing equipment
JP2009123929A (en) * 2007-11-15 2009-06-04 Tokyo Electron Ltd Plasma treatment apparatus
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
KR20110074912A (en) * 2008-10-21 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 Plasma source for chamber cleaning and process
US7994724B2 (en) * 2009-03-27 2011-08-09 Ecole Polytechnique Inductive plasma applicator
EP2471087A1 (en) 2009-08-27 2012-07-04 Mosaic Crystals Ltd. Penetrating plasma generating apparatus for high vacuum chambers
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8771538B2 (en) * 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US20110278260A1 (en) * 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
US8999104B2 (en) * 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) * 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) * 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
KR101893471B1 (en) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for multizone plasma generation
US9263237B2 (en) * 2011-02-22 2016-02-16 Gen Co., Ltd. Plasma processing apparatus and method thereof
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8692467B2 (en) * 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
US8692468B2 (en) 2011-10-03 2014-04-08 Varian Semiconductor Equipment Associates, Inc. Transformer-coupled RF source for plasma processing tool
CN103094038B (en) 2011-10-27 2017-01-11 松下知识产权经营株式会社 Plasma processing apparatus and plasma processing method
DE102011055061A1 (en) * 2011-11-04 2013-05-08 Aixtron Se CVD reactor or substrate holder for a CVD reactor
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
WO2013078434A1 (en) 2011-11-24 2013-05-30 Lam Research Corporation Plasma processing chamber with flexible symmetric rf return strap
JP5510437B2 (en) * 2011-12-07 2014-06-04 パナソニック株式会社 Plasma processing apparatus and plasma processing method
KR101429806B1 (en) * 2012-01-17 2014-08-12 (주)이큐베스텍 Multi-mode apparatus for generating plasma
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
US9522202B1 (en) * 2013-05-07 2016-12-20 Getinge Stericool Medikal Aletler San, Ve Tic. A.S. Variable plasma generator for use with low temperature sterilizers
US9144148B2 (en) 2013-07-25 2015-09-22 Hypertherm, Inc. Devices for gas cooling plasma arc torches and related systems and methods
US9070538B2 (en) * 2013-10-25 2015-06-30 Varian Semiconductor Equipment Associates, Inc. Pinched plasma bridge flood gun for substrate charge neutralization
US11684995B2 (en) 2013-11-13 2023-06-27 Hypertherm, Inc. Cost effective cartridge for a plasma arc torch
US11432393B2 (en) 2013-11-13 2022-08-30 Hypertherm, Inc. Cost effective cartridge for a plasma arc torch
US9981335B2 (en) 2013-11-13 2018-05-29 Hypertherm, Inc. Consumable cartridge for a plasma arc cutting system
US11278983B2 (en) 2013-11-13 2022-03-22 Hypertherm, Inc. Consumable cartridge for a plasma arc cutting system
US10456855B2 (en) 2013-11-13 2019-10-29 Hypertherm, Inc. Consumable cartridge for a plasma arc cutting system
KR102167594B1 (en) 2013-12-04 2020-10-19 삼성전자주식회사 Method of processing a substrate and apparatus for performing the same
WO2016025616A1 (en) * 2014-08-12 2016-02-18 Hypertherm, Inc. Cost effective cartridge for a plasma arc torch
JP6373160B2 (en) * 2014-10-15 2018-08-15 東京エレクトロン株式会社 Plasma processing equipment
US10460949B2 (en) * 2014-10-20 2019-10-29 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
JP6545054B2 (en) * 2014-10-20 2019-07-17 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US9899933B2 (en) * 2015-07-24 2018-02-20 Tibbar Plasma Technologies, Inc. Electrical transformer
EP3332616B1 (en) 2015-08-04 2023-06-07 Hypertherm, Inc. Cartridge for a liquid-cooled plasma arc torch
US10600548B2 (en) 2016-05-13 2020-03-24 Prippell Technologies, Llc Liquid cooled magnetic element
US11508509B2 (en) 2016-05-13 2022-11-22 Enure, Inc. Liquid cooled magnetic element
WO2019006147A1 (en) 2017-06-28 2019-01-03 Prippell Technologies, Llc Fluid cooled magnetic element
US11114327B2 (en) 2017-08-29 2021-09-07 Applied Materials, Inc. ESC substrate support with chucking force control
JP2022007611A (en) * 2020-06-26 2022-01-13 東京エレクトロン株式会社 Device for forming plasma, device for processing substrate, and method for forming plasma
US11863089B2 (en) 2021-03-26 2024-01-02 Applied Materials, Inc. Live measurement of high voltage power supply output

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3462622A (en) * 1966-04-27 1969-08-19 Xerox Corp Plasma energy extraction
US5370765A (en) * 1989-03-09 1994-12-06 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source and method of operation
US6287980B1 (en) * 1999-04-22 2001-09-11 Mitsubishi Denki Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US20030015965A1 (en) * 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor
US6523493B1 (en) * 2000-08-01 2003-02-25 Tokyo Electron Limited Ring-shaped high-density plasma source and method

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3291715A (en) 1963-08-19 1966-12-13 Litton Systems Inc Apparatus for cathode sputtering including a plasmaconfining chamber
US5041760A (en) 1973-10-24 1991-08-20 Koloc Paul M Method and apparatus for generating and utilizing a compound plasma configuration
US4086506A (en) 1976-08-05 1978-04-25 The United States Of America As Represented By The United States Department Of Energy Contra-rotating homopolar motor-generator for energy storage and return
US4859399A (en) 1977-10-13 1989-08-22 Fdx Patents Holding Company, N.V. Modular fusion power apparatus using disposable core
US4277306A (en) 1977-12-22 1981-07-07 General Atomic Company Coil-less divertors for toroidal plasma systems
US4431898A (en) 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
JPS58197262A (en) 1982-05-13 1983-11-16 Canon Inc Mass production type vacuum apparatus for forming film
US4585986A (en) 1983-11-29 1986-04-29 The United States Of America As Represented By The Department Of Energy DC switching regulated power supply for driving an inductive load
US4713208A (en) 1986-05-21 1987-12-15 The United States Of America As Represented By The United States Department Of Energy Spheromak reactor with poloidal flux-amplifying transformer
JPH0810258B2 (en) 1986-06-02 1996-01-31 株式会社日立製作所 Plasma confinement method
US4868919A (en) 1987-03-05 1989-09-19 Sharp Kabushiki Kaisha Color image copying device
DE3884653T2 (en) * 1987-04-03 1994-02-03 Fujitsu Ltd Method and device for the vapor deposition of diamond.
US5014321A (en) 1988-10-11 1991-05-07 Commissariat A L'energie Atomique Wide passband omnidirectional loudspeaker
GB8905073D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Ion gun
JPH02260399A (en) 1989-03-31 1990-10-23 Fuji Denpa Koki Kk Generating method of high pressure plasma arc
US5061838A (en) * 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5174875A (en) 1990-08-29 1992-12-29 Materials Research Corporation Method of enhancing the performance of a magnetron sputtering target
AU8629491A (en) 1990-08-30 1992-03-30 Materials Research Corporation Pretextured cathode sputtering target and method of preparation thereof and sputtering therewith
ATE168819T1 (en) * 1991-03-25 1998-08-15 Commw Scient Ind Res Org MACRO PARTICLE FILTER IN ARC SOURCE
JPH05144594A (en) 1991-11-19 1993-06-11 Ebara Corp Discharge plasma generator
JPH05166595A (en) 1991-12-12 1993-07-02 Fuji Denpa Koki Kk Method for generating plasma of high atmospheric pressure and high density
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5505780A (en) 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5565249A (en) * 1992-05-07 1996-10-15 Fujitsu Limited Method for producing diamond by a DC plasma jet
JP3290777B2 (en) 1993-09-10 2002-06-10 株式会社東芝 Inductively coupled high frequency discharge method and inductively coupled high frequency discharge device
GB9321489D0 (en) 1993-10-19 1993-12-08 Central Research Lab Ltd Plasma processing
US5619103A (en) 1993-11-02 1997-04-08 Wisconsin Alumni Research Foundation Inductively coupled plasma generating devices
US5514246A (en) 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5580385A (en) 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5576629A (en) 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6164240A (en) 1998-03-24 2000-12-26 Applied Materials, Inc. Semiconductor wafer processor, plasma generating apparatus, magnetic field generator, and method of generating a magnetic field
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6432260B1 (en) * 1999-08-06 2002-08-13 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
US6915964B2 (en) * 2001-04-24 2005-07-12 Innovative Technology, Inc. System and process for solid-state deposition and consolidation of high velocity powder particles using thermal plastic deformation

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3462622A (en) * 1966-04-27 1969-08-19 Xerox Corp Plasma energy extraction
US5370765A (en) * 1989-03-09 1994-12-06 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source and method of operation
US6287980B1 (en) * 1999-04-22 2001-09-11 Mitsubishi Denki Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6523493B1 (en) * 2000-08-01 2003-02-25 Tokyo Electron Limited Ring-shaped high-density plasma source and method
US20030015965A1 (en) * 2002-08-15 2003-01-23 Valery Godyak Inductively coupled plasma reactor

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060065629A1 (en) * 2004-09-30 2006-03-30 Tokyo Electron Limited Method for treating a substrate
WO2006038990A2 (en) * 2004-09-30 2006-04-13 Tokyo Electron Limited Method for treating a substrate
WO2006038990A3 (en) * 2004-09-30 2006-08-17 Tokyo Electron Ltd Method for treating a substrate
US7268084B2 (en) * 2004-09-30 2007-09-11 Tokyo Electron Limited Method for treating a substrate
CN108932982A (en) * 2017-05-22 2018-12-04 成都达信成科技有限公司 A kind of high line plasma array source for straight line high-intensity magnetic field device
WO2019104218A1 (en) * 2017-11-21 2019-05-31 Pi Inc. High accuracy tuning of resonant network

Also Published As

Publication number Publication date
US20040226658A1 (en) 2004-11-18
US20040182517A1 (en) 2004-09-23
US7363876B2 (en) 2008-04-29
US20040226512A1 (en) 2004-11-18
US6755150B2 (en) 2004-06-29
US20040185610A1 (en) 2004-09-23
US20030085205A1 (en) 2003-05-08

Similar Documents

Publication Publication Date Title
US6755150B2 (en) Multi-core transformer plasma source
US11101113B2 (en) Ion-ion plasma atomic layer etch process
US6712020B2 (en) Toroidal plasma source for plasma processing
US7776156B2 (en) Side RF coil and side heater for plasma processing apparatus
US20040237897A1 (en) High-Frequency electrostatically shielded toroidal plasma and radical source
US6869880B2 (en) In situ application of etch back for improved deposition into high-aspect-ratio features
KR100342014B1 (en) Plasma processing apparatus
US6634313B2 (en) High-frequency electrostatically shielded toroidal plasma and radical source
JP3233575B2 (en) Plasma processing equipment
US20100098882A1 (en) Plasma source for chamber cleaning and process
US20040219737A1 (en) Method and apparatus for processing a workpiece with a plasma
EP1412963A2 (en) Method and apparatus for producing uniform process rates
JP3254069B2 (en) Plasma equipment
JPH1074600A (en) Plasma processing equipment
JP4527432B2 (en) Plasma processing method and plasma processing apparatus

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION