US20040005726A1 - Plasma chamber equipped with temperature-controlled focus ring and method of operating - Google Patents

Plasma chamber equipped with temperature-controlled focus ring and method of operating Download PDF

Info

Publication number
US20040005726A1
US20040005726A1 US10/190,412 US19041202A US2004005726A1 US 20040005726 A1 US20040005726 A1 US 20040005726A1 US 19041202 A US19041202 A US 19041202A US 2004005726 A1 US2004005726 A1 US 2004005726A1
Authority
US
United States
Prior art keywords
focus ring
plasma
temperature
wafer
plasma chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/190,412
Other versions
US6767844B2 (en
Inventor
Chuan-Chieh Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US10/190,412 priority Critical patent/US6767844B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO. LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO. LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HUANG, CHUAN-CHIEH
Publication of US20040005726A1 publication Critical patent/US20040005726A1/en
Application granted granted Critical
Publication of US6767844B2 publication Critical patent/US6767844B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Definitions

  • plugs or interconnects In the fabrication of modern integrated circuit devices, one of the key requirements is the ability to construct plugs or interconnects in reduced dimensions such that they may be used in a multi-level metalization structure.
  • the numerous processing steps involved require the formation of via holes for the plug or interconnect in a dimension of 0.5 ⁇ m or less for high-density logic devices. For instance, in forming tungsten plugs by a chemical vapor deposition method, via holes in such small dimensions must be formed by etching through layers of oxide and spin-on-glass materials at a high etch rate. A high-density plasma etching process utilizing a fluorine chemistry is thus used for such via formation process.
  • the via hole formation process can be enhanced by improving the etch directionality by a mechanism known as sidewall passivation to improve the anisotropy of the etching process.
  • a suitable etchant gas and suitable reactor parameters an etch-inhibiting film of a polymeric nature can be formed on vertical sidewalls.
  • the etch-inhibiting film slows down or completely stops any possible lateral etching of horizontal surfaces in the via hole.
  • a fluorine-containing etchant gas such as CFH 3
  • CFH 3 fluorine-type polymeric film
  • Many photoresist materials may also contribute to the formation of polymeric films on the sidewalls. After the sidewall is coated with a polymeric film, it is protected by the inhibitor film to preserve the line width or via hole diameter control.
  • an electrostatic chuck (or E-chuck), is frequently used in which the chuck electrostatically attracts and holds a wafer that is positioned on top.
  • E-chuck is highly desirable in the vacuum handling and processing of wafers.
  • an E-chuck can hold and move wafers with a force equivalent to several tens of Torr pressure.
  • Another advantage for the E-chuck is that no particle generation or contamination problem can occur since there are no moving parts acting on the wafer.
  • the electrostatic force utilized on an E-chuck is sufficient in preventing bowing of a wafer which normally occurs in mechanical clamping and thus promotes uniform heat transfer over the entire wafer surface.
  • a shadow ring may be utilized as a seal around the peripheral edge of the wafer.
  • the shadow ring also known as a focus ring, is utilized for achieving a more uniform plasma distribution over the entire surface of the wafer and for restricting the distribution of the plasma cloud to only the wafer surface area, i.e. and thus the name of focus ring.
  • the uniform distribution function may be further enhanced by a RF bias voltage applied on the wafer during a plasma etching process.
  • Another function served by the shadow ring is sealing at the wafer level the upper compartment of the etch chamber which contains the plasma from the lower compartment of the etch chamber which contains various mechanical components for controlling the E-chuck. This is important since it prevents the plasma from attacking the hardware components contained in the lower compartment of the etch chamber.
  • a shadow ring is frequently constructed of a ceramic material such as quartz.
  • the effective voltage for the electrostatic clamping of the wafer is then the voltage which appears across the E-chuck dielectric layer between the isolated electrode and the wafer.
  • the voltage applied to the isolated electrode may be positive or negative with respect to the chamber ground.
  • the electrostatic force depends on the algebraic difference between the wafer and the isolated electrode.
  • the gaps around an E-chuck exceed several debye lengths, plasma may either be generated in the gaps or may be extracted into the gaps.
  • a current may flow between the E-chuck and the plasma.
  • the voltage at the E-chuck electrode is therefore affected.
  • the magnitude of the E-chuck voltage is reduced when a current flows between the chuck and the plasma which leads to a reduction in the electrostatic force.
  • the efficiency of the E-chuck for holding a wafer is therefore affected.
  • the solution to the problem is to shield the E-chuck from the high density plasma by limiting gaps between the E-chuck and a shadow ring around the E-chuck to less than several debye lengths.
  • FIG. 1 wherein a conventional etch chamber 10 equipped with a shadow ring 12 around an electrostatic chuck 16 is shown.
  • the etch chamber 10 is equipped with a coil antenna 14 as a plasma source in a reaction chamber 20 formed by a silicon ceiling block 22 , a dome-shaped sidewall 24 , a chamber wall liner 26 and the electrostatic chuck 16 .
  • the dome-shaped sidewall 24 and the chamber wall liner 26 are normally fabricated of quartz.
  • the chamber wall liner 26 may be equipped with an opening for the passage of a wafer paddle in loading and unloading wafers. It may be removed from the vacuum chamber 10 for cleaning.
  • the shadow ring 12 is positioned inside the plasma reaction chamber 20 which can be lifted up to a process position by positioning pins 32 .
  • the positioning pins 32 lift the shadow ring 12 away from the wafer when a wafer is being loaded or unloaded.
  • a multiplicity of cooling gas channels 34 is provided inside the electrostatic chuck 16 at near its top surface 36 .
  • a high heat conductivity gas such as helium can be circulated through the cooling gas channels 34 to provide a suitable gas pressure on the bottom side of wafer 30 for transferring heat away from the wafer to the water-cooled E-chuck 16 during an etch process.
  • the supply lines for the cooling gas to channel 34 are not shown.
  • the electrostatic chuck 16 is aligned by an electrostatic chuck collar 38 .
  • the etching gas is fed into chamber 20 through gas inlet 28 .
  • a thermal coupler 42 is mounted in the silicon ceiling block 22 for controlling temperature.
  • the shadow ring, or focus ring 12 is frequently formed of a ceramic material such as quartz for its high temperature stability.
  • a ceramic material such as quartz for its high temperature stability.
  • oxygen atoms may evolve from the quartz and become a serious contaminating species in the plasma chamber.
  • silicon is frequently used as the material for fabricating the focus ring 12 . This is shown in FIGS. 2 and 2A.
  • the etchant gas of a fluorine-containing plasma cause a physical interaction, i.e. an adsorption process of the fluorine ions or radicals onto the surface of silicon.
  • FIG. 2 The plasma ions or radicals at close to the edges of the wafer 30 are diverted and attracted to the silicon focus ring 12 for attaching themselves to the top surface of the silicon focus ring.
  • FIG. 2A the plasma density at the edges of the wafer 30 is decreased, resulting in a decrease in the etch rates along the edges of a wafer.
  • FIG. 4A The decreases in the etch rates of silicon oxide, i.e. where the bias voltage is the smallest, is shown in FIG. 4A at various levels of source voltages. It is seen that a significant drop in the oxide etch rates has occurred along the edges of the wafer. It is to be noted that the bias voltage is the voltage applied to the E-chuck 16 shown in FIG. 2.
  • the deterioration in the oxide etch rate is plotted against the source voltage at five different levels of bias voltages in FIG. 4B.
  • the largest source voltage occurs along the edges of the plasma zone, indicative of the edges of the wafer 30 shown in FIG. 2.
  • a focus ring assembly for use in a plasma chamber which includes a focus ring surrounding a wafer pedestal for confining plasma ions to a top surface of a wafer positioned on the wafer pedestal; a heat transfer means in intimate contact with the focus ring for decreasing or increasing a temperature of the focus ring; and a controller for controlling the temperature of the focus ring to a preset value.
  • the focus ring is fabricated of a material that adsorbs plasma ions on a top surface of the focus ring.
  • the focus ring may be fabricated of silicon and the process chamber may generate a fluorine-containing plasma.
  • the heat transfer means is in intimate contact with a bottom surface of the focus ring.
  • the heat transfer means may be a cooling means, or a heating means.
  • the heat transfer means may be formed in a ring shape that has a planar top surface area substantially the same as a bottom planar surface area of the focus ring.
  • the focus ring surrounds an electrostatic wafer chucking device, and may be fabricated of silicon that is sufficiently cooled such that substantially no fluorine ions or radicals are adsorbed on a top surface of the focus ring.
  • the focus ring may be controlled to a temperature within a range of 100° C. ⁇ 30° C.
  • the present invention is further directed to a plasma chamber that is equipped with a temperature-controlled focus ring including a chamber enclosure defining a cavity therein capable of maintaining a pressure not higher than 1 Torr; a plasma generating means for producing a plasma in the cavity; a wafer pedestal for holding a wafer thereon; a focus ring surrounding the wafer pedestal for confining plasma ions to a top surface of the wafer held on the wafer pedestal; and a heat transfer means in intimate contact with the focus ring for controlling a temperature of the focus ring.
  • a temperature-controlled focus ring including a chamber enclosure defining a cavity therein capable of maintaining a pressure not higher than 1 Torr; a plasma generating means for producing a plasma in the cavity; a wafer pedestal for holding a wafer thereon; a focus ring surrounding the wafer pedestal for confining plasma ions to a top surface of the wafer held on the wafer pedestal; and a heat transfer means in intimate contact with the focus ring for controlling a temperature of the focus
  • the wafer pedestal may be an electro-static wafer chucking device.
  • the plasma chamber may further include a controller for controlling a temperature of the focus ring to a predetermined value.
  • the plasma produced in the chamber cavity may be a fluorine-containing plasma for etching oxide layers on a wafer.
  • the focus ring may be fabricated of a material that adsorbs plasma ions or radicals on a top surface of the focus ring.
  • the focus ring may be fabricated of silicon.
  • the heat transfer means controls a temperature of the focus ring to within a range of 100° C. ⁇ 30° C.
  • the present invention is still further directed to a method for operating a plasma chamber that is equipped with a temperature-controlled focus ring including the operating steps of first providing a plasma chamber that has a chamber enclosure defining a cavity therein; a plasma generating means; a wafer pedestal; a focus ring surrounding the wafer pedestal; and a heat transfer means in intimate contact with the focus ring; mounting a wafer to be processed on top of the wafer pedestal; controlling a temperature of the focus ring by the heat transfer means to a predetermined temperature; and igniting a plasma in the cavity and substantially confining the plasma to a top surface of the wafer by the temperature-controlled focus ring.
  • the method for operating a plasma chamber that is equipped with a temperature-controlled focus ring may further include the steps of providing a focus ring fabricated of silicon; and igniting a plasma including fluorine ions in the cavity.
  • the method may further include the step of controlling a temperature of the focus ring by the heat transfer means to within a range of 100° C. ⁇ 30° C.
  • FIG. 1 is a cross-sectional view of a conventional plasma etch chamber equipped with an electro-static chuck and a focus ring.
  • FIG. 2 is an illustration of a cross-sectional view of a plasma etch chamber equipped with a silicon focus ring and bombarded with fluorine-containing plasma ions.
  • FIG. 2A is a graph illustrating the dependency of the plasma density on the location on the wafer for the set-up of FIG. 2.
  • FIG. 3 is an illustration of a cross-sectional view of a present invention focus ring assembly equipped with a heat transfer means.
  • FIG. 3A is a graph illustrating the dependency of the plasma density on the location on the wafer for the present invention silicon focus ring of FIG. 3.
  • FIGS. 4A and 4B are graphs illustrating the dependency of the oxide etch rate on the bias voltage and source voltage, respectively.
  • FIGS. 5A and 5B are graphs illustrating the dependency of the oxide etch rate on the roof temperature at different levels of source voltage and bias voltage, respectively, in a plasma etch chamber equipped with the present invention focus ring.
  • the present invention discloses a focus ring assembly for use in a plasma chamber, and a plasma chamber equipped with a temperature-controlled focus ring.
  • the present invention further discloses a method for operating a plasma chamber that is equipped with a temperature-controlled focus ring.
  • the focus ring assembly of the present invention for use in a plasma chamber includes a focus ring, a heat transfer means and a controller.
  • the focus ring is used to surround a wafer pedestal for confining plasma ions to a top surface of a wafer positioned on the pedestal.
  • a heat transfer means is mounted in intimate contact with the focus ring for decreasing or increasing a temperature of the focus ring.
  • a controller for controlling the temperature of the focus ring to a predetermined value.
  • the present invention focus ring assembly can be used in any plasma chambers, i.e. plasma etch chamber or plasma deposition chamber, it is particularly suitable for use in a plasma etch chamber wherein fluorine-containing plasma is utilized.
  • the present invention focus ring assembly is also suitable for use in a plasma etch chamber wherein a silicon focus ring surrounds an electro-static wafer chucking device.
  • the present invention further discloses a plasma chamber that is equipped with a temperature-controlled focus ring which includes a chamber enclosure that defines a cavity, a plasma generating means, a wafer pedestal of the electro-static chucking type, a focus ring surrounding the pedestal, and a heat transfer means for controlling the temperature of the focus ring.
  • the focus ring assembly 50 is constructed of a focus ring 52 that surrounds an electro-static chuck 16 for confining plasma ions 48 to a top surface 46 of the wafer 30 that is positioned on the E-chuck 16 .
  • a heat transfer means 54 which may either be a cooling means or a heating means is mounted in intimate contact with the focus ring for decreasing or increasing the temperature of the focus ring.
  • a temperature controller (not shown) is also used for controlling the temperature of the focus ring to a preset value.
  • the fluorine ions (or radicals) 48 are not attracted to the silicon focus ring and, as a result, the plasma density at the edge portion of the wafer 30 is not decreased.
  • FIGS. 5A and 5B The effectiveness of the present invention focus ring assembly 50 is further shown in FIGS. 5A and 5B.
  • a roof temperature between about 240° C. and about 250° C., which is equivalent to a focus ring temperature between about 90° C. and about 110° C.
  • the etch rate for silicon oxide is substantially not affected at the edges of the wafer at five different source voltage levels, and at five different bias voltage levels, respectively.
  • the effectiveness of the present invention focus ring assembly 50 is shown in the figures.
  • the present invention further discloses a method for operating a plasma chamber that is equipped with a temperature-controlled focus ring.
  • the focus ring assembly 50 can be either cooled or heated.
  • the focus ring 52 should be chilled by a cooling system (shown in FIG. 3) in order to decrease the temperature of the focus ring 52 and thus, decreasing the adsorption of fluorine ions on the focus ring surface.
  • the temperature of the silicon focus ring 50 may be increased in order to draw more fluorine ions onto the silicon focus ring and thus, decreasing the plasma density along the edges of the wafer, resulting in a decrease in the etch rate along the wafer edge. It has been shown that for silicon focus rings operating in a plasma etch chamber utilizing fluorine chemistry, a suitable temperature of the focus ring is in the range of 100° C. ⁇ 30° C.
  • the present invention method may be carried out by first providing a plasma chamber that is equipped with a chamber enclosure, a plasma generating means, a wafer pedestal, a focus ring and a heat transfer means in intimate contact with the focus ring; then mounting a wafer to be processed on top of the wafer pedestal; then controlling a temperature of the focus ring by the heat transfer means to a predetermined temperature; and igniting a plasma in the cavity and substantially confining the plasma to a top surface of the wafer by the temperature-controlled focus ring.
  • the present invention novel focus ring assembly, the plasma chamber equipped with a temperature-controlled focus ring assembly and a method for operating the plasma chamber have therefore been amply described in the above description and in the appended drawings of FIGS. 3, 3A, 5 A and 5 B.

Abstract

A temperature-controlled focus ring assembly for use in a plasma chamber that includes a focus ring surrounding a wafer pedestal for confining plasma ions to a top surface of a wafer positioned on the wafer pedestal; a heat transfer means in intimate contact with the focus ring for decreasing or increasing the temperature of the focus ring; and a controller for controlling the temperature of the focus ring to a predetermined value. The invention further discloses a method for operating a plasma chamber equipped with a temperature-controlled focus ring assembly.

Description

    FIELD OF THE INVENTION
  • The present invention generally relates to a semiconductor processing equipment and a method for using the equipment, more particularly, relates to a plasma chamber that is equipped with a temperature-controlled focus ring and a method of operating the chamber. [0001]
  • BACKGROUND OF THE INVENTION
  • In the fabrication of modern integrated circuit devices, one of the key requirements is the ability to construct plugs or interconnects in reduced dimensions such that they may be used in a multi-level metalization structure. The numerous processing steps involved require the formation of via holes for the plug or interconnect in a dimension of 0.5 μm or less for high-density logic devices. For instance, in forming tungsten plugs by a chemical vapor deposition method, via holes in such small dimensions must be formed by etching through layers of oxide and spin-on-glass materials at a high etch rate. A high-density plasma etching process utilizing a fluorine chemistry is thus used for such via formation process. [0002]
  • The via hole formation process can be enhanced by improving the etch directionality by a mechanism known as sidewall passivation to improve the anisotropy of the etching process. By utilizing a suitable etchant gas and suitable reactor parameters, an etch-inhibiting film of a polymeric nature can be formed on vertical sidewalls. The etch-inhibiting film slows down or completely stops any possible lateral etching of horizontal surfaces in the via hole. For instance, when a fluorine-containing etchant gas such as CFH[0003] 3 is used, a fluorine-type polymeric film is formed on the sidewalls. Many photoresist materials may also contribute to the formation of polymeric films on the sidewalls. After the sidewall is coated with a polymeric film, it is protected by the inhibitor film to preserve the line width or via hole diameter control.
  • In a modern etch chamber, an electrostatic chuck (or E-chuck), is frequently used in which the chuck electrostatically attracts and holds a wafer that is positioned on top. The use of E-chuck is highly desirable in the vacuum handling and processing of wafers. In contrast to a conventional method of holding wafers by mechanical clamping means where only slow movement is allowed during wafer handling, an E-chuck can hold and move wafers with a force equivalent to several tens of Torr pressure. Another advantage for the E-chuck is that no particle generation or contamination problem can occur since there are no moving parts acting on the wafer. Moreover, the electrostatic force utilized on an E-chuck is sufficient in preventing bowing of a wafer which normally occurs in mechanical clamping and thus promotes uniform heat transfer over the entire wafer surface. [0004]
  • In an etch chamber equipped with a plasma generating device and an E-chuck, a shadow ring may be utilized as a seal around the peripheral edge of the wafer. The shadow ring, also known as a focus ring, is utilized for achieving a more uniform plasma distribution over the entire surface of the wafer and for restricting the distribution of the plasma cloud to only the wafer surface area, i.e. and thus the name of focus ring. The uniform distribution function may be further enhanced by a RF bias voltage applied on the wafer during a plasma etching process. Another function served by the shadow ring is sealing at the wafer level the upper compartment of the etch chamber which contains the plasma from the lower compartment of the etch chamber which contains various mechanical components for controlling the E-chuck. This is important since it prevents the plasma from attacking the hardware components contained in the lower compartment of the etch chamber. In order to survive high temperature and hostile environments, a shadow ring is frequently constructed of a ceramic material such as quartz. [0005]
  • In an etch chamber equipped with a high density plasma and an E-chuck, problems sometimes arise in the operation of the E-chuck. High density gas plasma formed has a short debye length and consequently vary small sheaths are formed at boundaries of objects that are present in the gas plasma. In order to prevent the plasma from affecting the voltage on the electrode of the E-chuck, the electrode positioned in a plasma chamber must be sufficiently isolated from the plasma. In a typical E-chuck positioned in a high density plasma, the electrode has a voltage applied to it with respect to a ground reference point. The wafer is referenced back to the same ground reference by the plasma. The effective voltage for the electrostatic clamping of the wafer is then the voltage which appears across the E-chuck dielectric layer between the isolated electrode and the wafer. The voltage applied to the isolated electrode may be positive or negative with respect to the chamber ground. However, the electrostatic force depends on the algebraic difference between the wafer and the isolated electrode. [0006]
  • When the gaps around an E-chuck exceed several debye lengths, plasma may either be generated in the gaps or may be extracted into the gaps. When the plasma contacts the electrostatic chuck which has an imperfect dielectric layer or the E-chuck electrode, a current may flow between the E-chuck and the plasma. The voltage at the E-chuck electrode is therefore affected. Typically, the magnitude of the E-chuck voltage is reduced when a current flows between the chuck and the plasma which leads to a reduction in the electrostatic force. The efficiency of the E-chuck for holding a wafer is therefore affected. Ideally, the solution to the problem is to shield the E-chuck from the high density plasma by limiting gaps between the E-chuck and a shadow ring around the E-chuck to less than several debye lengths. In such an ideal situation, plasma can be prevented from being generated in the gaps or being extracted into the gaps. Since the ideal equipment conditions cannot be achieved in a manufacturing environment, the generation of plasma in the gaps or the extraction of plasma into the gaps and therefore attacking a shadow ring which is normally fabricated of quartz cannot be avoided. In a normal fabrication environment, it has been found that a quartz shadow ring would only survive about one preventive maintenance cycle or about 2,000 wafers. The corrosion occurred on the surface of the quartz shadow ring is usually severe enough that it must be replaced during a preventive maintenance procedure. [0007]
  • Referring initially to FIG. 1, wherein a [0008] conventional etch chamber 10 equipped with a shadow ring 12 around an electrostatic chuck 16 is shown. The etch chamber 10 is equipped with a coil antenna 14 as a plasma source in a reaction chamber 20 formed by a silicon ceiling block 22, a dome-shaped sidewall 24, a chamber wall liner 26 and the electrostatic chuck 16. The dome-shaped sidewall 24 and the chamber wall liner 26 are normally fabricated of quartz. The chamber wall liner 26 may be equipped with an opening for the passage of a wafer paddle in loading and unloading wafers. It may be removed from the vacuum chamber 10 for cleaning.
  • The [0009] shadow ring 12 is positioned inside the plasma reaction chamber 20 which can be lifted up to a process position by positioning pins 32. The positioning pins 32 lift the shadow ring 12 away from the wafer when a wafer is being loaded or unloaded. A multiplicity of cooling gas channels 34 is provided inside the electrostatic chuck 16 at near its top surface 36. A high heat conductivity gas such as helium can be circulated through the cooling gas channels 34 to provide a suitable gas pressure on the bottom side of wafer 30 for transferring heat away from the wafer to the water-cooled E-chuck 16 during an etch process. The supply lines for the cooling gas to channel 34 are not shown. The electrostatic chuck 16 is aligned by an electrostatic chuck collar 38. The etching gas is fed into chamber 20 through gas inlet 28. A thermal coupler 42 is mounted in the silicon ceiling block 22 for controlling temperature.
  • The shadow ring, or [0010] focus ring 12, is frequently formed of a ceramic material such as quartz for its high temperature stability. However, when quartz is bombarded by plasma ions, oxygen atoms may evolve from the quartz and become a serious contaminating species in the plasma chamber. To avoid contamination by oxygen atoms, silicon is frequently used as the material for fabricating the focus ring 12. This is shown in FIGS. 2 and 2A.
  • In plasma chambers that are used for plasma etching processes, the etchant gas of a fluorine-containing plasma cause a physical interaction, i.e. an adsorption process of the fluorine ions or radicals onto the surface of silicon. This is shown in FIG. 2. The plasma ions or radicals at close to the edges of the [0011] wafer 30 are diverted and attracted to the silicon focus ring 12 for attaching themselves to the top surface of the silicon focus ring. As a result, shown in FIG. 2A, the plasma density at the edges of the wafer 30 is decreased, resulting in a decrease in the etch rates along the edges of a wafer.
  • The decreases in the etch rates of silicon oxide, i.e. where the bias voltage is the smallest, is shown in FIG. 4A at various levels of source voltages. It is seen that a significant drop in the oxide etch rates has occurred along the edges of the wafer. It is to be noted that the bias voltage is the voltage applied to the E-chuck [0012] 16 shown in FIG. 2.
  • Similarly, the deterioration in the oxide etch rate is plotted against the source voltage at five different levels of bias voltages in FIG. 4B. The largest source voltage occurs along the edges of the plasma zone, indicative of the edges of the [0013] wafer 30 shown in FIG. 2.
  • It is therefore an object of the present invention to provide a focus ring assembly for use in a plasma chamber that does not have the drawbacks or shortcomings of the conventional focus rings. [0014]
  • It is another object of the present invention to provide a focus ring assembly wherein the focus ring is fabricated of silicon for use in a fluorine-containing plasma. [0015]
  • It is a further object of the present invention to provide a focus ring assembly for use in a plasma chamber that is constructed of a focus ring and a heat transfer means. [0016]
  • It is another further object of the present invention to provide a focus ring assembly for use in a plasma chamber that does not effect the plasma density along the edges of the wafer during etching. [0017]
  • It is still another object of the present invention to provide a focus ring assembly for use in a plasma chamber which does not decrease the etch rates of oxide along the edges of a wafer. [0018]
  • It is yet another object of the present invention to provide a plasma chamber that is equipped with a temperature-controlled focus ring. [0019]
  • It is still another further object of the present invention to provide a plasma chamber that is equipped with a focus ring which is controlled in temperature by a heat transfer means. [0020]
  • SUMMARY OF THE INVENTION
  • In accordance with the present invention, a focus ring assembly for use in a plasma chamber that is temperature-controlled and a method for operating the chamber are provided. [0021]
  • In a preferred embodiment, a focus ring assembly for use in a plasma chamber is provided which includes a focus ring surrounding a wafer pedestal for confining plasma ions to a top surface of a wafer positioned on the wafer pedestal; a heat transfer means in intimate contact with the focus ring for decreasing or increasing a temperature of the focus ring; and a controller for controlling the temperature of the focus ring to a preset value. [0022]
  • In the focus ring assembly for use in a plasma chamber, the focus ring is fabricated of a material that adsorbs plasma ions on a top surface of the focus ring. The focus ring may be fabricated of silicon and the process chamber may generate a fluorine-containing plasma. The heat transfer means is in intimate contact with a bottom surface of the focus ring. The heat transfer means may be a cooling means, or a heating means. The heat transfer means may be formed in a ring shape that has a planar top surface area substantially the same as a bottom planar surface area of the focus ring. The focus ring surrounds an electrostatic wafer chucking device, and may be fabricated of silicon that is sufficiently cooled such that substantially no fluorine ions or radicals are adsorbed on a top surface of the focus ring. The focus ring may be controlled to a temperature within a range of 100° C.±30° C. [0023]
  • The present invention is further directed to a plasma chamber that is equipped with a temperature-controlled focus ring including a chamber enclosure defining a cavity therein capable of maintaining a pressure not higher than 1 Torr; a plasma generating means for producing a plasma in the cavity; a wafer pedestal for holding a wafer thereon; a focus ring surrounding the wafer pedestal for confining plasma ions to a top surface of the wafer held on the wafer pedestal; and a heat transfer means in intimate contact with the focus ring for controlling a temperature of the focus ring. [0024]
  • In the plasma chamber equipped with a temperature-controlled focus ring, the wafer pedestal may be an electro-static wafer chucking device. The plasma chamber may further include a controller for controlling a temperature of the focus ring to a predetermined value. The plasma produced in the chamber cavity may be a fluorine-containing plasma for etching oxide layers on a wafer. The focus ring may be fabricated of a material that adsorbs plasma ions or radicals on a top surface of the focus ring. The focus ring may be fabricated of silicon. The heat transfer means controls a temperature of the focus ring to within a range of 100° C.±30° C. [0025]
  • The present invention is still further directed to a method for operating a plasma chamber that is equipped with a temperature-controlled focus ring including the operating steps of first providing a plasma chamber that has a chamber enclosure defining a cavity therein; a plasma generating means; a wafer pedestal; a focus ring surrounding the wafer pedestal; and a heat transfer means in intimate contact with the focus ring; mounting a wafer to be processed on top of the wafer pedestal; controlling a temperature of the focus ring by the heat transfer means to a predetermined temperature; and igniting a plasma in the cavity and substantially confining the plasma to a top surface of the wafer by the temperature-controlled focus ring. [0026]
  • The method for operating a plasma chamber that is equipped with a temperature-controlled focus ring may further include the steps of providing a focus ring fabricated of silicon; and igniting a plasma including fluorine ions in the cavity. The method may further include the step of controlling a temperature of the focus ring by the heat transfer means to within a range of 100° C.±30° C.[0027]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and other objects, features and advantages of the present invention will become apparent from the following detailed description and the appended drawings in which: [0028]
  • FIG. 1 is a cross-sectional view of a conventional plasma etch chamber equipped with an electro-static chuck and a focus ring. [0029]
  • FIG. 2 is an illustration of a cross-sectional view of a plasma etch chamber equipped with a silicon focus ring and bombarded with fluorine-containing plasma ions. [0030]
  • FIG. 2A is a graph illustrating the dependency of the plasma density on the location on the wafer for the set-up of FIG. 2. [0031]
  • FIG. 3 is an illustration of a cross-sectional view of a present invention focus ring assembly equipped with a heat transfer means. [0032]
  • FIG. 3A is a graph illustrating the dependency of the plasma density on the location on the wafer for the present invention silicon focus ring of FIG. 3. [0033]
  • FIGS. 4A and 4B are graphs illustrating the dependency of the oxide etch rate on the bias voltage and source voltage, respectively. [0034]
  • FIGS. 5A and 5B are graphs illustrating the dependency of the oxide etch rate on the roof temperature at different levels of source voltage and bias voltage, respectively, in a plasma etch chamber equipped with the present invention focus ring.[0035]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The present invention discloses a focus ring assembly for use in a plasma chamber, and a plasma chamber equipped with a temperature-controlled focus ring. The present invention further discloses a method for operating a plasma chamber that is equipped with a temperature-controlled focus ring. [0036]
  • The focus ring assembly of the present invention for use in a plasma chamber includes a focus ring, a heat transfer means and a controller. The focus ring is used to surround a wafer pedestal for confining plasma ions to a top surface of a wafer positioned on the pedestal. A heat transfer means is mounted in intimate contact with the focus ring for decreasing or increasing a temperature of the focus ring. A controller for controlling the temperature of the focus ring to a predetermined value. [0037]
  • While the present invention focus ring assembly can be used in any plasma chambers, i.e. plasma etch chamber or plasma deposition chamber, it is particularly suitable for use in a plasma etch chamber wherein fluorine-containing plasma is utilized. [0038]
  • The present invention focus ring assembly is also suitable for use in a plasma etch chamber wherein a silicon focus ring surrounds an electro-static wafer chucking device. [0039]
  • The present invention further discloses a plasma chamber that is equipped with a temperature-controlled focus ring which includes a chamber enclosure that defines a cavity, a plasma generating means, a wafer pedestal of the electro-static chucking type, a focus ring surrounding the pedestal, and a heat transfer means for controlling the temperature of the focus ring. [0040]
  • Referring now to FIG. 3, wherein a present invention [0041] focus ring assembly 50 is shown. The focus ring assembly 50 is constructed of a focus ring 52 that surrounds an electro-static chuck 16 for confining plasma ions 48 to a top surface 46 of the wafer 30 that is positioned on the E-chuck 16. A heat transfer means 54 which may either be a cooling means or a heating means is mounted in intimate contact with the focus ring for decreasing or increasing the temperature of the focus ring. A temperature controller (not shown) is also used for controlling the temperature of the focus ring to a preset value.
  • As shown in FIG. 3A, when the present invention [0042] focus ring assembly 50 is utilized, the fluorine ions (or radicals) 48 are not attracted to the silicon focus ring and, as a result, the plasma density at the edge portion of the wafer 30 is not decreased.
  • The effectiveness of the present invention [0043] focus ring assembly 50 is further shown in FIGS. 5A and 5B. In the range of a roof temperature between about 240° C. and about 250° C., which is equivalent to a focus ring temperature between about 90° C. and about 110° C., the etch rate for silicon oxide is substantially not affected at the edges of the wafer at five different source voltage levels, and at five different bias voltage levels, respectively. The effectiveness of the present invention focus ring assembly 50 is shown in the figures.
  • The present invention further discloses a method for operating a plasma chamber that is equipped with a temperature-controlled focus ring. It should be noted that the [0044] focus ring assembly 50 can be either cooled or heated. For instance, when the etch rate at the edge portion of the wafer decreases, the focus ring 52 should be chilled by a cooling system (shown in FIG. 3) in order to decrease the temperature of the focus ring 52 and thus, decreasing the adsorption of fluorine ions on the focus ring surface.
  • To the contrary, when the etch rate along the wafer edge is too high, the temperature of the [0045] silicon focus ring 50 may be increased in order to draw more fluorine ions onto the silicon focus ring and thus, decreasing the plasma density along the edges of the wafer, resulting in a decrease in the etch rate along the wafer edge. It has been shown that for silicon focus rings operating in a plasma etch chamber utilizing fluorine chemistry, a suitable temperature of the focus ring is in the range of 100° C.±30° C.
  • The present invention method may be carried out by first providing a plasma chamber that is equipped with a chamber enclosure, a plasma generating means, a wafer pedestal, a focus ring and a heat transfer means in intimate contact with the focus ring; then mounting a wafer to be processed on top of the wafer pedestal; then controlling a temperature of the focus ring by the heat transfer means to a predetermined temperature; and igniting a plasma in the cavity and substantially confining the plasma to a top surface of the wafer by the temperature-controlled focus ring. [0046]
  • The present invention novel focus ring assembly, the plasma chamber equipped with a temperature-controlled focus ring assembly and a method for operating the plasma chamber have therefore been amply described in the above description and in the appended drawings of FIGS. 3, 3A, [0047] 5A and 5B.
  • While the present invention has been described in an illustrative manner, it should be understood that the terminology used is intended to be in a nature of words of description rather than of limitation. [0048]
  • Furthermore, while the present invention has been described in terms of a preferred embodiment, it is to be appreciated that those skilled in the art will readily apply these teachings to other possible variations of the inventions. [0049]
  • The embodiment of the invention in which an exclusive property or privilege is claimed are defined as follows. [0050]

Claims (20)

What is claimed is:
1. A focus ring assembly for use in a plasma chamber comprising:
a focus ring surrounding a wafer pedestal for confining plasma ions to a top surface of a wafer positioned on said wafer pedestal;
a heat transfer means in intimate contact with said focus ring for decreasing or increasing a temperature of the focus ring; and
a controller for controlling the temperature of the focus ring to a preset value.
2. A focus ring assembly for use in a plasma chamber according to claim 1, wherein said focus ring is fabricated of a material that adsorbs plasma ions on a top surface of the focus ring.
3. A focus ring assembly for use in a plasma chamber according to claim 1, wherein said focus ring is fabricated of silicon and said process chamber generates fluorine-containing plasma.
4. A focus ring assembly for use in a plasma chamber according to claim 1, wherein said heat transfer means is in intimate contact with a bottom surface of said focus ring.
5. A focus ring assembly for use in a plasma chamber according to claim 1, wherein said heat transfer means being a cooling means.
6. A focus ring assembly for use in a plasma chamber according to claim 1, wherein said heat transfer means being a heating means.
7. A focus ring assembly for use in a plasma chamber according to claim 1, wherein said heat transfer means being formed in a ring shape having a planar top surface area substantially the same as a bottom planar surface area of said focus ring.
8. A focus ring assembly for use in a plasma chamber according to claim 1, wherein said focus ring surrounds an electrostatic wafer chucking device.
9. A focus ring assembly for use in a plasma chamber according to claim 1, wherein said focus ring is fabricated of silicon and is sufficiently cooled such that substantially no fluorine ions or radicals are adsorbed on a top surface of said focus ring.
10. A focus ring assembly for use in a plasma chamber according to claim 1, wherein said focus ring is controlled to a temperature within a range of 100° C.±30° C.
11. A plasma chamber equipped with a temperature-controlled focus ring comprising:
a chamber enclosure defining a cavity therein capable of maintaining a pressure not higher than 1 Torr;
a plasma generating means for producing a plasma in said cavity;
a wafer pedestal for holding a wafer thereon;
a focus ring surrounding said wafer pedestal for confining plasma ions to a top surface of said wafer held on said wafer pedestal; and
a heat transfer means in intimate contact with said focus ring for controlling a temperature of said focus ring.
12. A plasma chamber equipped with a temperature-controlled focus ring according to claim 11, wherein said wafer pedestal is an electro-static wafer chucking device.
13. A plasma chamber equipped with a temperature-controlled focus ring according to claim 11 further comprising a controller for controlling a temperature of said focus ring to a predetermined value.
14. A plasma chamber equipped with a temperature-controlled focus ring according to claim 11, wherein said plasma produced in said chamber cavity is a fluorine-containing plasma for etching oxide layers on a wafer.
15. A plasma chamber equipped with a temperature-controlled focus ring according to claim 11, wherein said focus ring is fabricated of a material that adsorbs plasma ions or radicals on a top surface of the focus ring.
16. A plasma chamber equipped with a temperature-controlled focus ring according to claim 11, wherein said focus ring is fabricated of silicon.
17. A plasma chamber equipped with a temperature-controlled focus ring according to claim 11, wherein said heat transfer means controls a temperature of said focus ring within a range of 100° C.±30° C.
18. A method for operating a plasma chamber that is equipped with a temperature-controlled focus ring comprising the steps of:
providing a plasma chamber having a chamber enclosure defining a cavity therein, a plasma generating means, a wafer pedestal, a focus ring surrounding said wafer pedestal, and a heat transfer means in intimate contact with said focus ring;
mounting a wafer to be processed on top of said wafer pedestal;
controlling a temperature of said focus ring by said heat transfer means to a predetermined temperature; and
igniting a plasma in said cavity and substantially confining said plasma to a top surface of said wafer by said temperature-controlled focus ring.
19. A method for operating a plasma chamber that is equipped with a temperature-controlled focus ring according to claim 18 further comprising the steps of:
providing a focus ring fabricated of silicon; and
igniting a plasma comprising fluorine ions in said cavity.
20. A method for operating a plasma chamber that is equipped with a temperature-controlled focus ring according to claim 18 further comprising the step of controlling a temperature of said focus ring by said heat transfer means to within a range of 100° C.±30° C.
US10/190,412 2002-07-03 2002-07-03 Plasma chamber equipped with temperature-controlled focus ring and method of operating Expired - Fee Related US6767844B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/190,412 US6767844B2 (en) 2002-07-03 2002-07-03 Plasma chamber equipped with temperature-controlled focus ring and method of operating

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/190,412 US6767844B2 (en) 2002-07-03 2002-07-03 Plasma chamber equipped with temperature-controlled focus ring and method of operating

Publications (2)

Publication Number Publication Date
US20040005726A1 true US20040005726A1 (en) 2004-01-08
US6767844B2 US6767844B2 (en) 2004-07-27

Family

ID=29999878

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/190,412 Expired - Fee Related US6767844B2 (en) 2002-07-03 2002-07-03 Plasma chamber equipped with temperature-controlled focus ring and method of operating

Country Status (1)

Country Link
US (1) US6767844B2 (en)

Cited By (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040099635A1 (en) * 2001-02-15 2004-05-27 Tokyo Electron Limited Method and apparatus for processing workpiece
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
EP1568797A2 (en) * 2004-02-26 2005-08-31 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050274321A1 (en) * 2004-06-10 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and method
US20060016561A1 (en) * 2004-07-20 2006-01-26 Sung-Sok Choi Semiconductor etching apparatus
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20080160210A1 (en) * 2004-02-26 2008-07-03 Haichun Yang Passivation layer formation by plasma clean process to reduce native oxide growth
DE102005001260B4 (en) * 2004-06-28 2009-06-18 OpNext Japan, Inc., Yokohama Optical semiconductor devices and manufacturing methods for these
US20100093151A1 (en) * 2007-01-11 2010-04-15 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20100277706A1 (en) * 2004-08-16 2010-11-04 Asml Netherlands B.V. Method of Measurement, an Inspection Apparatus and a Lithographic Apparatus
US20110007314A1 (en) * 2004-08-16 2011-01-13 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
EP2405475A1 (en) * 2010-07-07 2012-01-11 Semi-Materials Co., Ltd Plasma texturing apparatus
WO2012045187A3 (en) * 2010-10-05 2012-06-28 Oc Oerlikon Balzers Ag In-situ conditioning for vacuum processing of polymer substrates
EP2511950A1 (en) * 2009-12-10 2012-10-17 Tokyo Electron Limited Electrostatic chuck apparatus
US20140034243A1 (en) * 2007-06-28 2014-02-06 Rajinder Dhindsa Apparatus for plasma processing system with tunable capacitance
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
CN112185787A (en) * 2019-07-04 2021-01-05 中微半导体设备(上海)股份有限公司 Radio frequency electrode assembly for plasma processing apparatus and plasma processing apparatus
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US20210043495A1 (en) * 2019-08-05 2021-02-11 Kioxia Corporation Plasma processing device and plasma processing method
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100674922B1 (en) 2004-12-02 2007-01-26 삼성전자주식회사 Wafer supporting apparatus having cooling path for cooling focus ring
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
CN101866823B (en) * 2009-04-16 2011-09-14 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma processing equipment and method for placing workpieces to be processed on static chuck thereof
EP3330797A1 (en) 2016-12-02 2018-06-06 ASML Netherlands B.V. A method to change an etch parameter
JP7057358B2 (en) 2016-12-02 2022-04-19 エーエスエムエル ネザーランズ ビー.ブイ. How to change etching parameters and computer program
EP3457212A1 (en) 2017-09-18 2019-03-20 ASML Netherlands B.V. Method of controlling a patterning process, device manufacturing method
KR102244438B1 (en) 2018-12-17 2021-04-27 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 Radio frequency electrode assembly for plasma processing apparatus, and plasma processing apparatus
CN111326390B (en) * 2018-12-17 2023-09-12 中微半导体设备(上海)股份有限公司 Radio frequency electrode assembly and plasma processing apparatus

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5001327A (en) * 1987-09-11 1991-03-19 Hitachi, Ltd. Apparatus and method for performing heat treatment on semiconductor wafers
US5474649A (en) * 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6541164B1 (en) * 1997-10-22 2003-04-01 Applied Materials, Inc. Method for etching an anti-reflective coating
US20030198749A1 (en) * 2002-04-17 2003-10-23 Applied Materials, Inc. Coated silicon carbide cermet used in a plasma reactor

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5001327A (en) * 1987-09-11 1991-03-19 Hitachi, Ltd. Apparatus and method for performing heat treatment on semiconductor wafers
US5474649A (en) * 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6541164B1 (en) * 1997-10-22 2003-04-01 Applied Materials, Inc. Method for etching an anti-reflective coating
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US20030198749A1 (en) * 2002-04-17 2003-10-23 Applied Materials, Inc. Coated silicon carbide cermet used in a plasma reactor

Cited By (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7615259B2 (en) 2001-02-15 2009-11-10 Tokyo Electron Limited Method and apparatus for processing workpiece
US8287967B2 (en) 2001-02-15 2012-10-16 Tokyo Electron Limited Method and apparatus for processing workpiece
US20040099635A1 (en) * 2001-02-15 2004-05-27 Tokyo Electron Limited Method and apparatus for processing workpiece
US20100015812A1 (en) * 2001-02-15 2010-01-21 Tokyo Electron Limited Method and apparatus for processing workpiece
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US20110000883A1 (en) * 2003-04-24 2011-01-06 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US8124539B2 (en) 2003-04-24 2012-02-28 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
US10593539B2 (en) 2004-02-26 2020-03-17 Applied Materials, Inc. Support assembly
US7767024B2 (en) 2004-02-26 2010-08-03 Appplied Materials, Inc. Method for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
EP1568797A3 (en) * 2004-02-26 2006-10-04 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20080160210A1 (en) * 2004-02-26 2008-07-03 Haichun Yang Passivation layer formation by plasma clean process to reduce native oxide growth
US7396480B2 (en) 2004-02-26 2008-07-08 Applied Materials, Inc. Method for front end of line fabrication
US20080268645A1 (en) * 2004-02-26 2008-10-30 Chien-Teh Kao Method for front end of line fabrication
US20090095621A1 (en) * 2004-02-26 2009-04-16 Chien-Teh Kao Support assembly
US20090095334A1 (en) * 2004-02-26 2009-04-16 Huston Joel M Showerhead assembly
US7520957B2 (en) 2004-02-26 2009-04-21 Applied Materials, Inc. Lid assembly for front end of line fabrication
US20090111280A1 (en) * 2004-02-26 2009-04-30 Applied Materials, Inc. Method for removing oxides
EP1568797A2 (en) * 2004-02-26 2005-08-31 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US8343307B2 (en) 2004-02-26 2013-01-01 Applied Materials, Inc. Showerhead assembly
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050218507A1 (en) * 2004-02-26 2005-10-06 Applied Materials, Inc. Lid assembly for front end of line fabrication
US8846163B2 (en) 2004-02-26 2014-09-30 Applied Materials, Inc. Method for removing oxides
US20050205110A1 (en) * 2004-02-26 2005-09-22 Applied Materials, Inc. Method for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050221552A1 (en) * 2004-02-26 2005-10-06 Applied Materials, Inc. Substrate support for in-situ dry clean chamber for front end of line fabrication
US7713431B2 (en) * 2004-06-10 2010-05-11 Tokyo Electron Limited Plasma processing method
US20050274321A1 (en) * 2004-06-10 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and method
DE102005001260B4 (en) * 2004-06-28 2009-06-18 OpNext Japan, Inc., Yokohama Optical semiconductor devices and manufacturing methods for these
US20060016561A1 (en) * 2004-07-20 2006-01-26 Sung-Sok Choi Semiconductor etching apparatus
US20100277706A1 (en) * 2004-08-16 2010-11-04 Asml Netherlands B.V. Method of Measurement, an Inspection Apparatus and a Lithographic Apparatus
US20110007314A1 (en) * 2004-08-16 2011-01-13 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7955510B2 (en) 2007-01-11 2011-06-07 Applied Materials, Inc. Oxide etch with NH4-NF3 chemistry
US20100093151A1 (en) * 2007-01-11 2010-04-15 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20140034243A1 (en) * 2007-06-28 2014-02-06 Rajinder Dhindsa Apparatus for plasma processing system with tunable capacitance
EP2511950A1 (en) * 2009-12-10 2012-10-17 Tokyo Electron Limited Electrostatic chuck apparatus
US8981263B2 (en) 2009-12-10 2015-03-17 Tokyo Electron Limited Electrostatic chuck apparatus
US9721822B2 (en) 2009-12-10 2017-08-01 Tokyo Electron Limited Electrostatic chuck apparatus
EP2511950A4 (en) * 2009-12-10 2014-07-02 Tokyo Electron Ltd Electrostatic chuck apparatus
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
EP2405475A1 (en) * 2010-07-07 2012-01-11 Semi-Materials Co., Ltd Plasma texturing apparatus
US20130248358A1 (en) * 2010-10-05 2013-09-26 Oc Oerlikon Balzers Ag In-situ conditioning for vacuum processing of polymer substrates
WO2012045187A3 (en) * 2010-10-05 2012-06-28 Oc Oerlikon Balzers Ag In-situ conditioning for vacuum processing of polymer substrates
US9719177B2 (en) * 2010-10-05 2017-08-01 Evatec Ag In-situ conditioning for vacuum processing of polymer substrates
KR101864132B1 (en) * 2010-10-05 2018-07-13 에바텍 아크티엔게젤샤프트 In-situ conditioning for vacuum processing of polymer substrates
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112185787A (en) * 2019-07-04 2021-01-05 中微半导体设备(上海)股份有限公司 Radio frequency electrode assembly for plasma processing apparatus and plasma processing apparatus
US20210043495A1 (en) * 2019-08-05 2021-02-11 Kioxia Corporation Plasma processing device and plasma processing method

Also Published As

Publication number Publication date
US6767844B2 (en) 2004-07-27

Similar Documents

Publication Publication Date Title
US6767844B2 (en) Plasma chamber equipped with temperature-controlled focus ring and method of operating
US6022809A (en) Composite shadow ring for an etch chamber and method of using
US5762714A (en) Plasma guard for chamber equipped with electrostatic chuck
US6117349A (en) Composite shadow ring equipped with a sacrificial inner ring
US6482331B2 (en) Method for preventing contamination in a plasma process chamber
EP1706898B1 (en) Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
EP3171393B1 (en) Sealed elastomer bonded si electrodes and the like for reduced particle contamination in dielectric etch and method of manufacturing such electrodes
US6549393B2 (en) Semiconductor wafer processing apparatus and method
KR102380271B1 (en) Substrate processing apparatus and substrate processing method
US11031262B2 (en) Loadlock integrated bevel etcher system
US20100012274A1 (en) Focus ring, substrate mounting table and plasma processing apparatus having same
JP7320874B2 (en) SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD
US20160307742A1 (en) Edge ring for bevel polymer reduction
KR20160029073A (en) Process kit for edge critical dimension uniformity control
JP2017028074A (en) Plasma processing apparatus
US6878898B2 (en) Method for improving ash rate uniformity in photoresist ashing process equipment
JP2007012724A (en) Plasma processing apparatus and processing method
US7396432B2 (en) Composite shadow ring assembled with dowel pins and method of using
US6635580B1 (en) Apparatus and method for controlling wafer temperature in a plasma etcher
JP2005353812A (en) Device and method for plasma processing
US20040000375A1 (en) Plasma etch chamber equipped with multi-layer insert ring
US20050026436A1 (en) Method for improving ash rate uniformity in photoresist ashing process equipment
US9633864B2 (en) Etching method
TWI787958B (en) Substrate processing apparatus and substrate processing method
KR20230032622A (en) Apparatus for treating substrate and method for aligning dielectric plate using the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO. LTD., TAIWA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:HUANG, CHUAN-CHIEH;REEL/FRAME:013087/0602

Effective date: 20020417

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20160727