US20030074789A1 - Modified pad for copper/low-k - Google Patents

Modified pad for copper/low-k Download PDF

Info

Publication number
US20030074789A1
US20030074789A1 US09/777,302 US77730201A US2003074789A1 US 20030074789 A1 US20030074789 A1 US 20030074789A1 US 77730201 A US77730201 A US 77730201A US 2003074789 A1 US2003074789 A1 US 2003074789A1
Authority
US
United States
Prior art keywords
layer
bonding pad
top metal
etch stop
metal layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/777,302
Other versions
US6560862B1 (en
Inventor
Sheng-Hsiung Chen
Shun Chen
Hungtse Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, SHENG-HSIUNG, CHEN, SHUN LONG, LIN, HUNGTSE
Publication of US20030074789A1 publication Critical patent/US20030074789A1/en
Application granted granted Critical
Publication of US6560862B1 publication Critical patent/US6560862B1/en
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3192Multilayer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05073Single internal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05085Plural internal layers being stacked with additional elements, e.g. vias arrays, interposed between the stacked layers
    • H01L2224/05089Disposition of the additional element
    • H01L2224/05093Disposition of the additional element of a plurality of vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2224/05187Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45144Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45147Copper (Cu) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48463Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48599Principal constituent of the connecting portion of the wire connector being Gold (Au)
    • H01L2224/486Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48617Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C
    • H01L2224/48624Aluminium (Al) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48799Principal constituent of the connecting portion of the wire connector being Copper (Cu)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48799Principal constituent of the connecting portion of the wire connector being Copper (Cu)
    • H01L2224/488Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48817Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C
    • H01L2224/48824Aluminium (Al) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L24/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01012Magnesium [Mg]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0105Tin [Sn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/050414th Group
    • H01L2924/05042Si3N4
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49147Assembling terminal to base
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49147Assembling terminal to base
    • Y10T29/49149Assembling terminal to base by metal fusion bonding

Definitions

  • the present invention relates generally to semiconductor devices and more specifically to methods of fabricating improved bonding pads.
  • Low-k materials have poor mechanical strength and cause serious concerns in conjunction with wire bonding to wire bonding pads. Also, the low-k material exhibits some problems of low adhesion and bad heat transport. These problems are most significant on the top, or upper wire bonding pad, which is susceptible to the highest stress during wire bonding compared to lower, or underlying layers.
  • U.S. Pat. No. 5,783,868 to Galloway describes the fabrication and use of a bonding pad extension for the purposes of burn-in testing.
  • the extension is used only for connections during such testing and is preferably formed to be easily removed after the burn-in testing.
  • U.S. Pat. No. 6,028,367 to Yu describes a bond pad structure equipped with a heat dissipating ring surrounding the pad and a method to fabricate the structure.
  • U.S. Pat. No. 5,942,800 to Yiu et al. describes a chess-board patterned bond pad structure with stress buffered characteristics and a method to fabricate the structure.
  • U.S. Pat. No. 5,874,356 to Chen et al. describes a method for forming a zig-zag bordered opening in a semiconductor structure such that the film stress in a barrier/glue layer of TiN is reduced to eliminate volcano defects that cause delamination or peeling-off of the TiN layer.
  • U.S. Pat. No. 6,025,277 to Chen et al. describes a bond pad structure where a hole is etched that is wider in an lower, first insulating layer than in an upper, second insulating layer.
  • Another object of the present invention is to provide a method to fabricate a bonding pad structure having a wire bond affixed to a planar dielectric area without causing dielectric cracking or peeling, and a method to fabricate same.
  • a substrate having a top metal layer and a passivation layer overlying the top metal layer is provided.
  • the top metal layer being electrically connected to a lower metal layer by at least one metal via within a metal via area.
  • the substrate includes a low-k dielectric layer at least between the lower metal layer and the top metal layer.
  • the passivation layer is etched within the metal via area to form a trench exposing at least a portion of the top metal layer.
  • a patterned, extended bonding pad is formed over the etched passivation layer and lining the trench. The extended bonding pad having a portion that extends over a peripheral planar area of the substrate adjacent the trench not within the metal via area.
  • a wire bond is bonded to the extended bonding pad at the peripheral planar area portion to form the bonding pad structure.
  • FIGS. 1 to 4 illustrate a preferred embodiment of the present invention.
  • FIG. 5A is a graph illustrating ball shear (mg/cm 2 ) for a conventional bonding pad structure.
  • FIG. 5B is a graph illustrating ball shear (mg/cm 2 ) for a bonding pad structure fabricated in accordance with a preferred embodiment of the present invention.
  • the inventors discovered that when a metal bonding pad comprised of, for example copper (Cu), was formed over an underlying low-k material layer, and a wire was bonded to the copper bonding pad, there was a relatively high probability that either the copper wire bonding pad, either peeled apart from the low-k material and/or the low-k material cracked. In either situation, the chip may fail and the failure site was found most often on the low-k material/metal bonding pad interface. Poor mechanical strength and poor adhesion of the low-k material to either SiN or Cu was determined to be the root cause.
  • Cu copper
  • the inventors studied wire bond failures on SiLK (low-k) packaging samples and identified the root cause as failure of the low-k/copper interface at the bottom of the metal vias.
  • the inventors have discovered that by extending the pad area (bonding pad) to the peripheral planar area that does not have the metal via underneath has increased mechanical strength, and affixing the wire bond to that peripheral planar area, low-k dielectric layer cracking and/or peeling of the bonding pad from the low-k dielectric layer is eliminated.
  • FIG. 1 is a simplified illustration of structure 10 including substrate or die 12 with a top metal layer 14 that is preferably comprised of copper (Cu). Top Cu layer 14 is electrically connected to lower metal layer 16 , for example, by metal vias 18 . Substrate/die 12 includes low-k dielectric, or inter-metal dielectric (IMD), layer 20 between lower metal layer 16 and top Cu layer 14 , and surrounding metal vias 18 .
  • IMD inter-metal dielectric
  • Etch stop layer 22 overlies substrate/die 12 and is preferably comprised of either SiON or Si 3 N 4 , (SiN).
  • SiO 2 (oxide) layer 24 overlies etch stop layer 22 and is preferably from about 3800 to 4200 ⁇ thick, and is more preferably about 4000 ⁇ thick.
  • Passivation layer 26 overlies oxide layer 24 , is preferably comprised of SiN and is preferably from about 6600 to 7400 521 thick, and is more preferably about 7000 ⁇ thick.
  • SiN passivation layer 26 , oxide layer 24 , and etch stop layer 22 are then etched to form bonding pad trench 28 .
  • barrier layer 30 is formed over the etched SiN passivation layer 26 , lining bonding pad trench 28 .
  • Barrier layer 30 is preferably comprised of titanium nitride (TiN).
  • Metal bonding pad layer 32 is then formed over TiN barrier layer 30 .
  • Metal bonding pad layer 32 is preferably formed of an aluminum copper alloy (AlCu).
  • patterned masking layer 34 is formed over AlCu bonding pad layer 34 (and TiN barrier layer 30 ) to additionally mask a portion of AlCu bonding pad layer 34 that overlies a peripheral planar area 36 over substrate/die 12 that does not have the metal vias 18 thereunder.
  • Masking layer 34 may be comprised of, for example, photoresist as shown in FIG. 3.
  • AlCu bonding pad layer 32 and TiN barrier layer 30 are etched, using patterned masking layer 34 as a mask, to form extended, permanent, AlCu bonding pad 32 ′ with underlying extended, permanent, TiN barrier layer 30 ′.
  • Extended AlCu bonding pad 32 ′, with underlying extended TiN barrier layer 30 ′, extend over peripheral planar area 36 of substrate/die 12 that does not have the metal vias 18 thereunder.
  • Patterned masking layer 34 is then removed and the structure 10 may be cleaned and tested, as necessary.
  • Wire bond 40 including wire 42 that is preferably comprised of gold (Au), is permanently attached/affixed to extended AlCu bonding pad 32 ′ at peripheral planar area 36 over substrate/die 12 that does not have the metal vias 18 thereunder.
  • the inventors have determined that such a wire bond 40 arrangement does not cause cracking of low-k dielectric layer 20 , or peeling of: (1) AlCu bonding pad 32 ′ with underlying extended TiN barrier layer 30 ′; and/or (2) SiON/SiN etch stop layer 22 from low-k dielectric layer 20 .
  • the wire bonding will fail at the via bottom ( 18 ) due to low mechanical properties and bad heat transport.
  • a conventional bonding pad structure would not include permanent extended AlCu bonding pad 32 ′ over peripheral planar area 36 , and would instead affix wire bond 40 to portion 50 of non-extended AlCu bonding pad over metal vias 18 .
  • the inventors have determined that such a conventional bonding pad structure is highly susceptible to cracking of the low-k dielectric layer, and/or peeling of: the bonding pad; and/or the etch stop layer from the low-k dielectric layer.
  • the ball shear for the bonding pad structure fabricated in accordance with the present invention (FIG. 5B) is improved from the ball shear for a conventional bonding pad structure (FIG. 5A). That is, the conventional bonding pad structure has a wire bond shear of about 22 mg/cm 2 while the wire bond 40 shear for the bonding pad structure of FIG. 4 made in accordance with the method of the present invention has a ball shear of greater than about 30 mg/cm 2 .

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Wire Bonding (AREA)

Abstract

A method to fabricate a bonding pad structure including the following steps. A substrate having a top metal layer and a passivation layer overlying the top metal layer is provided. The top metal layer being electrically connected to a lower metal layer by at least one metal via within a metal via area. The substrate includes a low-k dielectric layer at least between the lower metal layer and the top metal layer. The passivation layer is etched within the metal via area to form a trench exposing at least a portion of the top metal layer. A patterned, extended bonding pad is formed over the etched passivation layer and lining the trench. The extended bonding pad having a portion that extends over a peripheral planar area of the substrate adjacent the trench not within the metal via area. A wire bond is bonded to the extended bonding pad at the peripheral planar area portion to form the bonding pad structure.

Description

    FIELD OF THE INVENTION
  • The present invention relates generally to semiconductor devices and more specifically to methods of fabricating improved bonding pads. [0001]
  • BACKGROUND OF THE INVENTION
  • Low-k materials have poor mechanical strength and cause serious concerns in conjunction with wire bonding to wire bonding pads. Also, the low-k material exhibits some problems of low adhesion and bad heat transport. These problems are most significant on the top, or upper wire bonding pad, which is susceptible to the highest stress during wire bonding compared to lower, or underlying layers. [0002]
  • U.S. Pat. No. 5,783,868 to Galloway describes the fabrication and use of a bonding pad extension for the purposes of burn-in testing. The extension is used only for connections during such testing and is preferably formed to be easily removed after the burn-in testing. [0003]
  • U.S. Pat. No. 6,028,367 to Yu describes a bond pad structure equipped with a heat dissipating ring surrounding the pad and a method to fabricate the structure. [0004]
  • U.S. Pat. No. 5,942,800 to Yiu et al. describes a chess-board patterned bond pad structure with stress buffered characteristics and a method to fabricate the structure. [0005]
  • U.S. Pat. No. 5,923,088 to Shiue et al. describes a bond pad structure with plugs underneath to prevent peeling and a method to fabricate the structure. [0006]
  • U.S. Pat. No. 5,874,356 to Chen et al. describes a method for forming a zig-zag bordered opening in a semiconductor structure such that the film stress in a barrier/glue layer of TiN is reduced to eliminate volcano defects that cause delamination or peeling-off of the TiN layer. [0007]
  • U.S. Pat. No. 6,025,277 to Chen et al. describes a bond pad structure where a hole is etched that is wider in an lower, first insulating layer than in an upper, second insulating layer. [0008]
  • SUMMARY OF THE INVENTION
  • Accordingly, it is an object of the present invention to provide a bonding pad structure having a wire bond affixed to a planar dielectric area having a stronger mechanical strength, and a method to fabricate same. [0009]
  • Another object of the present invention is to provide a method to fabricate a bonding pad structure having a wire bond affixed to a planar dielectric area without causing dielectric cracking or peeling, and a method to fabricate same. [0010]
  • Other objects will appear hereinafter. [0011]
  • It has now been discovered that the above and other objects of the present invention may be accomplished in the following manner. Specifically, a substrate having a top metal layer and a passivation layer overlying the top metal layer is provided. The top metal layer being electrically connected to a lower metal layer by at least one metal via within a metal via area. The substrate includes a low-k dielectric layer at least between the lower metal layer and the top metal layer. The passivation layer is etched within the metal via area to form a trench exposing at least a portion of the top metal layer. A patterned, extended bonding pad is formed over the etched passivation layer and lining the trench. The extended bonding pad having a portion that extends over a peripheral planar area of the substrate adjacent the trench not within the metal via area. A wire bond is bonded to the extended bonding pad at the peripheral planar area portion to form the bonding pad structure. [0012]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will be more clearly understood from the following description taken in conjunction with the accompanying drawings in which like reference numerals designate similar or corresponding elements, regions and portions and in which: [0013]
  • FIGS. [0014] 1 to 4 illustrate a preferred embodiment of the present invention.
  • FIG. 5A is a graph illustrating ball shear (mg/cm[0015] 2) for a conventional bonding pad structure.
  • FIG. 5B is a graph illustrating ball shear (mg/cm[0016] 2) for a bonding pad structure fabricated in accordance with a preferred embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • Unless otherwise specified, all structures, layers, steps, methods, etc. may be formed or accomplished by conventional steps or methods known in the prior art. [0017]
  • Problem Discovered by the Inventors
  • The inventors discovered that when a metal bonding pad comprised of, for example copper (Cu), was formed over an underlying low-k material layer, and a wire was bonded to the copper bonding pad, there was a relatively high probability that either the copper wire bonding pad, either peeled apart from the low-k material and/or the low-k material cracked. In either situation, the chip may fail and the failure site was found most often on the low-k material/metal bonding pad interface. Poor mechanical strength and poor adhesion of the low-k material to either SiN or Cu was determined to be the root cause. [0018]
  • The inventors studied wire bond failures on SiLK (low-k) packaging samples and identified the root cause as failure of the low-k/copper interface at the bottom of the metal vias. [0019]
  • Preferred Embodiment of the Present Invention Summary of the Present Invention
  • The inventors have discovered that by extending the pad area (bonding pad) to the peripheral planar area that does not have the metal via underneath has increased mechanical strength, and affixing the wire bond to that peripheral planar area, low-k dielectric layer cracking and/or peeling of the bonding pad from the low-k dielectric layer is eliminated. [0020]
  • Initial Structure
  • FIG. 1 is a simplified illustration of [0021] structure 10 including substrate or die 12 with a top metal layer 14 that is preferably comprised of copper (Cu). Top Cu layer 14 is electrically connected to lower metal layer 16, for example, by metal vias 18. Substrate/die 12 includes low-k dielectric, or inter-metal dielectric (IMD), layer 20 between lower metal layer 16 and top Cu layer 14, and surrounding metal vias 18.
  • [0022] Etch stop layer 22 overlies substrate/die 12 and is preferably comprised of either SiON or Si3N4, (SiN). SiO2 (oxide) layer 24 overlies etch stop layer 22 and is preferably from about 3800 to 4200 Å thick, and is more preferably about 4000 Å thick. Passivation layer 26 overlies oxide layer 24, is preferably comprised of SiN and is preferably from about 6600 to 7400 521 thick, and is more preferably about 7000 Å thick.
  • Passivation Layer 26 Etch
  • [0023] SiN passivation layer 26, oxide layer 24, and etch stop layer 22 are then etched to form bonding pad trench 28.
  • Formation of Barrier Layer 30 and Bond Pad Metal Layer 32
  • As shown in FIG. 2, [0024] barrier layer 30 is formed over the etched SiN passivation layer 26, lining bonding pad trench 28. Barrier layer 30 is preferably comprised of titanium nitride (TiN).
  • Metal [0025] bonding pad layer 32 is then formed over TiN barrier layer 30. Metal bonding pad layer 32 is preferably formed of an aluminum copper alloy (AlCu).
  • Formation of Patterned Masking Layer 34 Over AlCu Bonding Pad Layer 32
  • As shown in FIG. 3, patterned [0026] masking layer 34 is formed over AlCu bonding pad layer 34 (and TiN barrier layer 30) to additionally mask a portion of AlCu bonding pad layer 34 that overlies a peripheral planar area 36 over substrate/die 12 that does not have the metal vias 18 thereunder.
  • [0027] Masking layer 34 may be comprised of, for example, photoresist as shown in FIG. 3.
  • Patterning of Metal Bonding Pad Layer 32 to Form Extended Bonding Pad 32
  • As shown in FIG. 4, in a key step of the invention, AlCu [0028] bonding pad layer 32 and TiN barrier layer 30 are etched, using patterned masking layer 34 as a mask, to form extended, permanent, AlCu bonding pad 32′ with underlying extended, permanent, TiN barrier layer 30′. Extended AlCu bonding pad 32′, with underlying extended TiN barrier layer 30′, extend over peripheral planar area 36 of substrate/die 12 that does not have the metal vias 18 thereunder.
  • Patterned masking [0029] layer 34 is then removed and the structure 10 may be cleaned and tested, as necessary.
  • Attachment of Wire Bond 40 to Extended AlCu Bonding Pad 32
  • [0030] Wire bond 40, including wire 42 that is preferably comprised of gold (Au), is permanently attached/affixed to extended AlCu bonding pad 32′ at peripheral planar area 36 over substrate/die 12 that does not have the metal vias 18 thereunder. The inventors have determined that such a wire bond 40 arrangement does not cause cracking of low-k dielectric layer 20, or peeling of: (1) AlCu bonding pad 32′ with underlying extended TiN barrier layer 30′; and/or (2) SiON/SiN etch stop layer 22 from low-k dielectric layer 20. In the conventional pad structure, the wire bonding will fail at the via bottom (18) due to low mechanical properties and bad heat transport.
  • It is noted that a conventional bonding pad structure would not include permanent extended [0031] AlCu bonding pad 32′ over peripheral planar area 36, and would instead affix wire bond 40 to portion 50 of non-extended AlCu bonding pad over metal vias 18. As noted above, the inventors have determined that such a conventional bonding pad structure is highly susceptible to cracking of the low-k dielectric layer, and/or peeling of: the bonding pad; and/or the etch stop layer from the low-k dielectric layer.
  • Ball Shear Improvement
  • As illustrated in FIGS. 5A and 5B (, the ball shear for the bonding pad structure fabricated in accordance with the present invention (FIG. 5B) is improved from the ball shear for a conventional bonding pad structure (FIG. 5A). That is, the conventional bonding pad structure has a wire bond shear of about 22 mg/cm[0032] 2 while the wire bond 40 shear for the bonding pad structure of FIG. 4 made in accordance with the method of the present invention has a ball shear of greater than about 30 mg/cm2.
  • Advantages of the Present Invention
  • The advantages of the present invention include: [0033]
  • 1) the wire bond ball shear is increased; [0034]
  • 2) cracking of the low-k dielectric layer is eliminated; [0035]
  • 3) peeling of the bonding pad from the low-k dielectric layer is eliminated; [0036]
  • 4) peeling of the SiON/SiN etch stop layer from the low-k dielectric layer is eliminated; and [0037]
  • 5) to improve the copper/low-k wire bond reliability characteristics. [0038]
  • While particular embodiments of the present invention have been illustrated and described, it is not intended to limit the invention, except as defined by the following claims. [0039]

Claims (16)

We claim:
1. A method to fabricate a bonding pad structure including the steps of:
providing a substrate having a top metal layer and a passivation layer overlying the top metal layer; the top metal layer being electrically connected to a lower metal layer by at least one metal via within a metal via area; substrate including a low-k dielectric layer at least between the lower metal layer and the top metal layer;
etching the passivation layer within the metal via area to form a trench exposing at least a portion of the top metal layer;
forming a patterned, extended bonding pad over the etched passivation layer and lining the trench; the extended bonding pad having a portion that extends over a peripheral planar area of the substrate adjacent the trench not within the metal via area;
bonding a wire bond to the extended bonding pad at the peripheral planar area portion to form the bonding pad structure.
2. The method of claim 1, wherein the top metal layer is comprised of copper; the passivation layer is comprised of SiN; the extended bonding pad is comprised of an AlCu alloy; and the wire bond includes a gold wire.
3. The method of claim 1, wherein the passivation layer is from about 6600 to 7400 Å thick.
4. The method of claim 1, wherein the top metal layer has an etch stop layer formed thereover, an oxide layer is formed over the etch stop layer, and the passivation layer is formed over the oxide layer.
5. The method of claim 1, wherein the top metal layer has an etch stop layer formed thereover, an oxide layer is formed over the etch stop layer, and the passivation layer is formed over the oxide layer; the top metal layer being comprised of copper, the etch stop layer being comprised of a material selected from the group consisting of SiON and Si3N4, and the passivation layer being comprised of Si3N4.
6. The method of claim 1, wherein the top metal layer has an etch stop layer formed thereover, an oxide layer having a thickness of from about 3800 to 4200 Å is formed over the etch stop layer, and the passivation layer having a thickness of from about 6600 to 7400 Å is formed over the oxide layer.
7. A method to fabricate a bonding pad structure including the steps of:
providing a substrate having a top metal layer; the top metal layer being electrically connected to a lower metal layer by at least one metal via within a metal via area; substrate including a low-k dielectric layer at least between the lower metal layer and the top metal layer;
forming an etch stop layer over the low-k dielectric layer and the top metal layer;
forming an oxide layer over the etch stop layer;
forming a passivation layer overlying the oxide layer;
etching the passivation layer, the oxide layer, and the etch stop layer within the metal via area to form a trench exposing at least a portion of the top metal layer;
forming a patterned, extended bonding pad with an underlying barrier layer, over the etched passivation layer and lining the trench; the extended bonding pad with underlying barrier layer each having a portion that extends over a peripheral planar area of the substrate adjacent the trench not within the metal via area;
bonding a wire bond to the extended bonding pad at the peripheral planar area portion to form the bonding pad structure.
8. The method of claim 7, wherein the top metal layer is comprised of copper; the etch stop layer is comprised of a material selected from the group consisting of SiON and Si3N4, the passivation layer is comprised of SiN; the extended bonding pad is comprised of an AlCu alloy; and the wire bond includes a gold wire.
9. The method of claim 7, wherein the oxide layer is from about 3800 to 4200 Å thick, and the passivation layer is from about 6600 to 7400 Å thick.
10. The method of claim 7, wherein the oxide layer is about 4000 Å thick, and the passivation layer is about 7000 Å thick.
11. In a bonding pad structure having a substrate with a top metal layer exposed through an etched, trenched passivation layer overlying the substrate; the top metal layer being electrically connected to a lower metal layer within the substrate by at least one metal via within a metal via area; and a bonding pad formed over the exposed top metal layer and at least a portion of the etched passivation layer; the improvement comprising:
extending the bonding pad over a peripheral planar area of the substrate adjacent the trench formed within the passivation layer that is not within the metal via area; and
bonding a wire bond to the extended bonding pad at the peripheral planar area portion.
12. The method of claim 11, wherein the top metal layer is comprised of copper; the passivation layer is comprised of SiN; the extended bonding pad is comprised of an AlCu alloy; and the wire bond includes a gold wire.
13. The method of claim 11, wherein the passivation layer is from about 6600 to 7400 Å thick.
14. The method of claim 11, wherein the top metal layer has an etch stop layer formed thereover, an oxide layer is formed over the etch stop layer, and the passivation layer is formed over the oxide layer.
15. The method of claim 11, wherein the top metal layer has an etch stop layer formed thereover, an oxide layer is formed over the etch stop layer, and the passivation layer is formed over the oxide layer; the top metal layer being comprised of copper, the etch stop layer being comprised of a material selected from the group consisting of SiON and Si3N4, and the passivation layer being comprised of Si3N4.
16. The method of claim 11, wherein the top metal, layer has an etch stop layer formed thereover, an oxide layer having a thickness of from about 3800 to 4200 Å is formed over the etch stop layer, and the passivation layer having a thickness of from about 6600 to 7400 Å is formed over the oxide layer.
US09/777,302 2001-02-06 2001-02-06 Modified pad for copper/low-k Expired - Lifetime US6560862B1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US77730201 2001-02-06

Publications (2)

Publication Number Publication Date
US20030074789A1 true US20030074789A1 (en) 2003-04-24
US6560862B1 US6560862B1 (en) 2003-05-13

Family

ID=27735002

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/777,302 Expired - Lifetime US6560862B1 (en) 2001-02-06 2001-02-06 Modified pad for copper/low-k

Country Status (1)

Country Link
US (1) US6560862B1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040110365A1 (en) * 2002-12-10 2004-06-10 Taiwan Semiconductor Manufacturing Company Method of forming a planarized bond pad structure
US20040222530A1 (en) * 2003-03-27 2004-11-11 Semiconductor Leading Edge Technologies, Inc. Semiconductor device having low-k dielectric film in pad region and method for manufacturing thereof
US20070080460A1 (en) * 2005-10-11 2007-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pads and methods for fabricating the same
US20080237854A1 (en) * 2007-03-26 2008-10-02 Ping-Chang Wu Method for forming contact pads
US20080316662A1 (en) * 2007-06-21 2008-12-25 Xiang Yin Zeng Reducing input capacitance for high speed integrated circuits
US20110227195A1 (en) * 2006-03-01 2011-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Flexible Processing Method for Metal-Insulator-Metal Capacitor Formation
CN104733434A (en) * 2013-12-18 2015-06-24 中芯国际集成电路制造(上海)有限公司 Bonding structure and forming method thereof

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW378345B (en) * 1997-01-22 2000-01-01 Hitachi Ltd Resin package type semiconductor device and manufacturing method thereof
US8021976B2 (en) * 2002-10-15 2011-09-20 Megica Corporation Method of wire bonding over active area of a semiconductor circuit
US7381642B2 (en) * 2004-09-23 2008-06-03 Megica Corporation Top layers of metal for integrated circuits
JP3651765B2 (en) * 2000-03-27 2005-05-25 株式会社東芝 Semiconductor device
US7372161B2 (en) * 2000-10-18 2008-05-13 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US7271489B2 (en) 2003-10-15 2007-09-18 Megica Corporation Post passivation interconnection schemes on top of the IC chips
US6678950B1 (en) * 2001-11-01 2004-01-20 Lsi Logic Corporation Method for forming a bonding pad on a substrate
JP3761461B2 (en) * 2001-12-13 2006-03-29 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6952053B2 (en) * 2002-10-31 2005-10-04 Broadcom Corporation Metal bond pad for integrated circuits allowing improved probing ability of small pads
KR20040061970A (en) * 2002-12-31 2004-07-07 동부전자 주식회사 Method for forming pad of semiconductor device
JP2004221098A (en) * 2003-01-09 2004-08-05 Renesas Technology Corp Semiconductor device and manufacturing method therefor
US7319277B2 (en) * 2003-05-08 2008-01-15 Megica Corporation Chip structure with redistribution traces
US7459790B2 (en) 2003-10-15 2008-12-02 Megica Corporation Post passivation interconnection schemes on top of the IC chips
JP4913329B2 (en) * 2004-02-09 2012-04-11 ルネサスエレクトロニクス株式会社 Semiconductor device
US7521805B2 (en) * 2004-10-12 2009-04-21 Megica Corp. Post passivation interconnection schemes on top of the IC chips
US7183656B2 (en) * 2005-01-25 2007-02-27 International Business Machines Corporation Bilayer aluminum last metal for interconnects and wirebond pads
US7157734B2 (en) * 2005-05-27 2007-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor bond pad structures and methods of manufacturing thereof
US7592710B2 (en) * 2006-03-03 2009-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad structure for wire bonding
US7253531B1 (en) * 2006-05-12 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor bonding pad structure
US8581423B2 (en) 2008-11-17 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Double solid metal pad with reduced area
JP5249080B2 (en) * 2009-02-19 2013-07-31 セイコーインスツル株式会社 Semiconductor device
KR101960686B1 (en) * 2012-08-10 2019-03-21 삼성전자주식회사 Semiconductor device and method of forming the same
US10707089B2 (en) * 2018-03-27 2020-07-07 Texas Instruments Incorporated Dry etch process landing on metal oxide etch stop layer over metal layer and structure formed thereby

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2596331B2 (en) * 1993-09-08 1997-04-02 日本電気株式会社 Semiconductor device and manufacturing method thereof
US5700735A (en) 1996-08-22 1997-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bond pad structure for the via plug process
US5783868A (en) 1996-09-20 1998-07-21 Integrated Device Technology, Inc. Extended bond pads with a plurality of perforations
US5874356A (en) 1997-02-28 1999-02-23 Taiwan Semiconductor Manufacturing Co. Ltd. Method for forming zig-zag bordered openings in semiconductor structures
US6025277A (en) 1997-05-07 2000-02-15 United Microelectronics Corp. Method and structure for preventing bonding pad peel back
US6016000A (en) * 1998-04-22 2000-01-18 Cvc, Inc. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US5942800A (en) 1998-06-22 1999-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Stress buffered bond pad and method of making
US6028367A (en) 1999-05-07 2000-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Bonds pads equipped with heat dissipating rings and method for forming

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040110365A1 (en) * 2002-12-10 2004-06-10 Taiwan Semiconductor Manufacturing Company Method of forming a planarized bond pad structure
US7410896B2 (en) 2003-03-27 2008-08-12 Samsung Electronics Co., Ltd. Semiconductor device having low-k dielectric film in pad region and method for manufacture thereof
US20040222530A1 (en) * 2003-03-27 2004-11-11 Semiconductor Leading Edge Technologies, Inc. Semiconductor device having low-k dielectric film in pad region and method for manufacturing thereof
US7015589B2 (en) * 2003-03-27 2006-03-21 Samsung Electronics Co., Ltd. Semiconductor device having low-k dielectric film in pad region
US20060110915A1 (en) * 2003-03-27 2006-05-25 Samsung Electronics Co., Ltd. Semiconductor device having low-k dielectric film in pad region and method for manufacture thereof
US7646097B2 (en) 2005-10-11 2010-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pads and methods for fabricating the same
US20070080460A1 (en) * 2005-10-11 2007-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pads and methods for fabricating the same
US8324731B2 (en) 2005-10-11 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device
US20110227195A1 (en) * 2006-03-01 2011-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Flexible Processing Method for Metal-Insulator-Metal Capacitor Formation
US9000562B2 (en) * 2006-03-01 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Flexible processing method for metal-insulator-metal capacitor formation
US9312325B2 (en) 2006-03-01 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor metal insulator metal capacitor device and method of manufacture
US20080237854A1 (en) * 2007-03-26 2008-10-02 Ping-Chang Wu Method for forming contact pads
US20080316662A1 (en) * 2007-06-21 2008-12-25 Xiang Yin Zeng Reducing input capacitance for high speed integrated circuits
US7535689B2 (en) * 2007-06-21 2009-05-19 Intel Corporation Reducing input capacitance of high speed integrated circuits
CN104733434A (en) * 2013-12-18 2015-06-24 中芯国际集成电路制造(上海)有限公司 Bonding structure and forming method thereof

Also Published As

Publication number Publication date
US6560862B1 (en) 2003-05-13

Similar Documents

Publication Publication Date Title
US6560862B1 (en) Modified pad for copper/low-k
US7521812B2 (en) Method of wire bonding over active area of a semiconductor circuit
US7115985B2 (en) Reinforced bond pad for a semiconductor device
US5707894A (en) Bonding pad structure and method thereof
US5703408A (en) Bonding pad structure and method thereof
US7276797B2 (en) Structure and method for fabricating a bond pad structure
US8187965B2 (en) Wirebond pad for semiconductor chip or wafer
US6803302B2 (en) Method for forming a semiconductor device having a mechanically robust pad interface
EP1737038B1 (en) Circuitry component
US6650002B1 (en) Semiconductor device having active element connected to an electrode metal pad via a barrier metal layer and interlayer insulating film
US6339257B1 (en) Semiconductor device
KR100580970B1 (en) Semiconducotor device
KR20000076908A (en) Semiconductor device and method of fabricating the same
US6455943B1 (en) Bonding pad structure of semiconductor device having improved bondability
US6960831B2 (en) Semiconductor device having a composite layer in addition to a barrier layer between copper wiring and aluminum bond pad
JP4959929B2 (en) Method and system for reinforcing bond pads
US20030218259A1 (en) Bond pad support structure for a semiconductor device
JP3365495B2 (en) Semiconductor device and manufacturing method thereof
US20020068385A1 (en) Method for forming anchored bond pads in semiconductor devices and devices formed
US20010054768A1 (en) Bonding pad structure of a semiconductor device and method of fabricating the same
JPH08124929A (en) Semiconductor integrated circuit and fabrication thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, SHENG-HSIUNG;CHEN, SHUN LONG;LIN, HUNGTSE;REEL/FRAME:011574/0257

Effective date: 20010110

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12