US20020156610A1 - Gate delay calculation apparatus and method thereof using parameter expressing RC model source resistance value - Google Patents

Gate delay calculation apparatus and method thereof using parameter expressing RC model source resistance value Download PDF

Info

Publication number
US20020156610A1
US20020156610A1 US10/133,672 US13367202A US2002156610A1 US 20020156610 A1 US20020156610 A1 US 20020156610A1 US 13367202 A US13367202 A US 13367202A US 2002156610 A1 US2002156610 A1 US 2002156610A1
Authority
US
United States
Prior art keywords
gate delay
gate
resistance value
calculation apparatus
source resistance
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/133,672
Inventor
Shigeru Kuriyama
Michio Komoda
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to US10/133,672 priority Critical patent/US20020156610A1/en
Publication of US20020156610A1 publication Critical patent/US20020156610A1/en
Assigned to RENESAS TECHNOLOGY CORP. reassignment RENESAS TECHNOLOGY CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MITSUBISHI DENKI KABUSHIKI KAISHA
Assigned to RENESAS TECHNOLOGY CORP. reassignment RENESAS TECHNOLOGY CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MITSUBISHI DENKI KABUSHIKI KAISHA
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking

Definitions

  • the present invention relates to an apparatus and a method for calculating gate delay used in logic simulation and timing analysis, and in particular, to an apparatus and a method for calculating gate delay using an RC model.
  • FIG. 1 is a block diagram showing a structure of a conventional delay calculation apparatus.
  • the delay calculation apparatus includes: an input waveform gradient calculation apparatus 51 for extracting the gradient of the input waveform applied to an input terminal of a gate; an output load model calculation apparatus 52 for RC modeling the load applied to an output terminal of the gate; a gate delay calculation apparatus 53 for calculating the delay experienced from the input terminal to the output terminal of the gate; and a wire delay calculation apparatus 54 for calculating the delay (due to wiring) between the output terminal of the gate and the input terminal of a gate in a next stage.
  • Gate delay data 55 calculated by gate delay calculation apparatus 53 and wire delay data 56 calculated by wire delay calculation apparatus 54 are used in logic simulation or timing analysis by a simulator or the like.
  • FIG. 2 is a schematic diagram showing an example of a circuit in which delay calculation apparatus calculates gate delay data 55 and wire delay data 56 .
  • the circuit includes gates (inverters) 41 - 44 and wires 45 - 50 between the gates. The processing procedure of delay calculation apparatus will now be described with reference to the circuit diagram shown in FIG. 2.
  • the delay in a logic circuit is generally calculated from the delay of gates per se (gate delay data 55 ) and the delay resulting from the wire capacitance between gates (wire delay data 56 ). Therefore, calculation of gate delay data 55 and wire delay data 56 (on the side of the output terminal of a gate) for every gate by delay calculation apparatus enables logic simulation and timing analysis by a simulator or the like. For example, assume that delay calculation apparatus calculates the delay for gate 42 shown in FIG. 2. First, input waveform gradient calculation apparatus 51 calculates the gradient amount of the voltage waveform applied to the input terminal of gate 42 based on the calculation result (gate delay data and wire delay data) of gate 41 in a preceding stage.
  • Wires 48 - 50 which carry the output voltage of gate 42 and the input of gates 43 and 44 are modeled by output load model calculation apparatus 52 . This modeling will be described later.
  • Gate delay calculation apparatus 53 receives the amount of input waveform gradient calculated by input waveform gradient calculation apparatus 51 and the output load model calculated by output load model calculation apparatus 52 , and calculates the gate delay between the input terminal and the output terminal of gate 42 to produce gate delay data 55 . Gate delay calculation apparatus 53 also calculates the gradient of the output voltage waveform in gate 42 and transmits it to wire delay calculation apparatus 54 .
  • Wire delay calculation apparatus 54 receives the output voltage waveform of gate 42 calculated by gate delay calculation apparatus 53 and the output load model calculated by output load model calculation apparatus 52 and calculates the wire delay between the output terminal of gate 42 and the input terminals of gates 43 and 44 to produce wire delay data 56 .
  • FIG. 3 is a block diagram showing in further detail a structure of gate delay calculation apparatus 53 in FIG. 1.
  • Gate delay calculation apparatus 53 includes: an R s , T o parameter storage file 57 for storing a resistance value R s of source resistance and a fixed delay time T o ; an R s , T o determination portion 58 for determining R s and T o which are required in gate delay calculation using parameters stored in R s , T o parameter storage file 57 ; a gate delay determination portion 59 for calculating gate delay using R s and T o ; and an input waveform determination portion 60 for calculating input waveform data 63 which is required for the calculation of wire delay by wire delay calculation apparatus 54 .
  • the amount of input waveform gradient 61 and an output load model 62 indicate the value calculated by input waveform gradient calculation apparatus 51 and that calculated by output load model calculation apparatus 52 , respectively.
  • FIG. 4 is a diagram showing a structure of a ⁇ type RC model generally used as output load model 62 .
  • the ⁇ type RC model includes a source resistance 71 of a gate, a switch 72 for connecting the output terminal of the gate to a ⁇ type load, and a ⁇ type load consisting of capacitance elements 74 and 75 and a resistance element 73 .
  • R s , T o determination portion 58 determines resistance value R s , of source resistance 71 and fixed time delay T o from the parameters stored in R s , T o parameter storage file 57 , the amount of input waveform gradient 61 and output load model 62 .
  • Fixed delay time T o represents the time at which switch 72 is turned from off to on and is significantly influenced by the amount of input waveform gradient 61 . Therefore, fixed delay time T o is set as a parameter so that it can be determined from the amount of input waveform gradient 61 and stored in R s , T o parameter storage file 57 .
  • resistance value R s may be defined as a constant value independent of input and output states, it can also be set as a parameter in consideration of the amount of input waveform gradient 61 and output load model 62 to achieve the higher calculation accuracy of the gate delay. In this case, output load model 62 is referenced. Thus, with reference to the amount of input waveform gradient 61 , output load model 62 and the parameters stored in R s , T o parameter storage file 57 , R s , T o determination portion 58 determines the resistance value R s of source resistance and the value of fixed delay time T o .
  • R s , T o parameter varies depending on a gate type and rising/falling of output, and therefore it is set as a parameter in accordance with the gate type and the change in direction of output.
  • rising of a gate means a state in which a power supply is connected to the upper terminal of source resistance 71 of ⁇ type RC model shown in FIG. 4, whereas falling means a state in which the upper terminal of source resistance 71 is grounded.
  • Gate delay is calculated by gate delay determination portion 59 using resistance value R s and fixed delay time T o determined by R s , T o determination portion 58 and output load model 62 . Gate delay is calculated by analyzing ⁇ type RC model shown in FIG. 4.
  • the resistance value R of resistance element 73 of ⁇ type RC model and the capacitance values C 1 and C 2 of capacitance elements 74 and 75 are determined from output load model 62 calculated by output load model calculation apparatus 52 .
  • capacitance values C 1 and C 2 and resistance value R are determined from the wire capacitance and impedance of wires 48 - 50 and the input capacitance of gates 43 and 44 .
  • the ⁇ type RC model is analyzed to calculate the output waveform v(t) of the gate in accordance with the following expression, where E represents a supply voltage.
  • a conventional gate delay calculation apparatus 53 calculates gate delay using a ⁇ type RC model.
  • resistance value R s is assumed to be infinite while switch 72 is off (up to fixed delay time T o )
  • resistance value R s is assumed to be a fixed value R s determined by R s , T o determination portion 58 while switch 72 is on (after fixed delay time T o ).
  • the actual resistance value R s of source resistance of a gate is, however, a value which changes with time.
  • FIG. 5 is a diagram showing a relation between resistance value R s of source resistance and time t.
  • a graph 82 shows a relation between resistance value R s of source resistance of an actual gate and time t. As is apparent from graph 82 , the source resistance of an actual gate is a prescribed value which is not infinite at time 0ns and which gradually decreases with time.
  • FIG. 6 is a diagram showing a relation between output voltage v(t) of a gate and time t.
  • a graph 84 also shows a relation between output voltage v(t) of an actual gate and time t. As is apparent from graph 84 , output voltage v(t) of an actual gate is a prescribed value at time 0ns and it gradually increases with time.
  • This problem may be solved, for example, by modeling R s , T o such that the time calculated by gate delay calculation apparatus 53 at which logic threshold voltage is attained corresponds to that in an actual gate.
  • a problem still remains in that the shape of the output waveform calculated by gate delay calculation apparatus 53 considerably differs from that in an actual gate, and therefore exact output waveform cannot be transmitted to wire delay calculation apparatus 54 .
  • a gate delay calculation apparatus includes a R s parameter storage file for storing in advance a parameters which express the source resistance value of an RC model as a continuous time function, an R s determination portion for selectively extracting the parameters stored in the R s parameter storage file from the amount of input waveform gradient and an output load model, and a gate delay determination portion for calculating gate delay based on the source resistance value expressed by the parameters extracted by the R s determination portion and the output load model.
  • the gate delay determination portion calculates gate delay using the source resistance value expressed as a continuous time function by the parameters, and therefore it becomes possible to obtain a value which approximates to the gate delay calculated using an actual source resistance value.
  • the gate delay calculation method includes the step of selectively determining the parameters which expresses the source resistance value of an RC model as a continues time function from the amount of input waveform gradient and an output load model, and the step of calculating gate delay based on the source resistance value expressed by the parameters and the output load model.
  • Gate delay is calculated using the source resistance value expressed as a continuous time function by the parameters, and therefore it becomes possible to obtain a value which approximates to the gate delay calculated using an actual source resistance value.
  • FIG. 1 is a block diagram showing a structure of a conventional delay calculation apparatus.
  • FIG. 2 is a schematic diagram showing an example of a circuit in which a delay calculation apparatus calculates gate delay data and wire delay data.
  • FIG. 3 is a block diagram showing in further detail a structure of a conventional gate delay calculation apparatus.
  • FIG. 4 is a diagram showing a structure of a ⁇ type RC model generally used as an output load model.
  • FIG. 5 is a diagram showing a relation between the resistance value R s of a source resistance and time t in a conventional gate delay calculation apparatus.
  • FIG. 6 is a diagram showing a relation between the output voltage v(t) of a gate and time t in a conventional gate delay calculation apparatus.
  • FIG. 7 is an illustration showing the appearance of a gate delay calculation apparatus of the present invention.
  • FIG. 8 is a block diagram showing a structure of a hardware of a gate delay calculation apparatus of the present invention.
  • FIG. 9 is a block diagram showing a schematic structure of a gate delay calculation apparatus in accordance with an embodiment of the present invention.
  • FIG. 10 is a flow chart showing the processing procedure of a gate delay calculation apparatus in accordance with the present embodiment.
  • FIG. 11 is a diagram showing a relation between the source resistance value R s (t) and time t calculated by a gate delay calculation apparatus in accordance with the present embodiment.
  • FIG. 12 is a diagram showing a relation between the output waveform v(t) and time t calculated by a gate delay calculation apparatus in accordance with the present embodiment.
  • a gate delay calculation apparatus includes a computer main frame 1 , a graphic display unit 2 , a magnetic tape unit 3 to which a magnetic tape 4 is attached, a keyboard 5 , a mouse 6 , a CD-ROM (Compact Disk-Read Only Memory) drive 7 to which a CD-ROM 8 is mounted, and a communication modem 9 .
  • a gate delay calculation program is provided by means of a storage medium such as a magnetic tape 4 or CD-ROM 8 .
  • the gate delay calculation program is executed by computer main frame 1 and an operator performs gate delay calculation by operating keyboard 5 or mouse 6 watching graphic display unit 2 .
  • the gate delay calculation program can also be provided to computer main frame 1 through communication modem 9 via the communication lines from other computers.
  • computer main frame 1 shown in FIG. 7 includes a CPU (Central Processing Unit) 10 , an ROM (Read Only Memory) 11 , an RAM (Random Access Memory) 12 and a hard disk 13 .
  • CPU 10 performs processing by inputting and outputting the data between graphic display unit 2 , magnetic tape unit 3 , keyboard 5 , mouse 6 , CD-ROM unit 7 , communication modem 9 , ROM 11 , RAM 12 or hard disk 13 .
  • the gate delay calculation program stored in magnetic tape 4 or CD-ROM 8 is temporarily stored in hard disk 13 via magnetic tape unit 3 or CD-ROM unit 7 by CPU 10 .
  • CPU 10 performs gate delay calculation by loading the gate delay calculation program from hard disk 13 to RAM 12 to execute as needed.
  • a gate delay calculation apparatus includes an R s parameter storage file 24 for prestoring the parameters which express a source resistance value R s as a function of time, an R s determination portion 21 for acquiring the parameters of source resistance value R s which are required in gate delay calculation from the amount of input waveform gradient 25 and an output load model 26 from R s parameter storage file 24 , a gate delay determination portion 22 for calculating gate delay from R s parameter and output load model 26 , and an input waveform determination portion 23 for calculating an input waveform data 28 which are required when a wire delay calculation apparatus calculates wire delay.
  • FIG. 10 the processing procedure of a gate delay calculation apparatus will be described with reference to a circuit diagram of a ⁇ type RC model shown in FIG. 4. It is noted that a gate delay calculation apparatus in accordance with the present embodiment will be described assuming that a switch 72 of a ⁇ type RC model is always on (fixed delay time T o is always 0).
  • R s determination portion 21 determines the parameters of source resistance value R s (a value corresponding to the constant portion of a function of R s ) from the amount of input waveform gradient 25 and output load model 26 , and reads from R s parameter storage file 24 (S 1 ).
  • the change in the source resistance value largely depends on the amount of input waveform gradient 25 and also differs according to the type of a gate and the rise/fall of the output, and therefore the constant portion of a function of R s is preset as a parameter and stored in R s parameter storage file 24 so that the change of the source resistance value can be determined from the amount of input waveform gradient 25 and output load model 26 .
  • Gate delay determination portion 22 then substitutes “0” for time t (S 2 ) and calculates R s (t) using the above expressions (2) or (3) (S 3 ). Then, it calculates input waveform v(t) using the following expression.
  • E represents power supply voltage.
  • v ⁇ ( t ) E ⁇ [ 1 - ⁇ r 1 - z 0 r 1 - r 2 ⁇ exp ⁇ ( - r 1 ⁇ t ) - r 2 - z 0 r 1 - r 2 ⁇ exp ⁇ ( - r 2 ⁇ t ) ] ( 4 )
  • Gate delay determination portion 22 determines whether the valve v(t) calculated by the expression (4) is equal to ⁇ E (0 ⁇ 1) (S 5 ). If it is not (S 5 , No), t is increased by a predetermined small amount of time (S 6 ) and the following process is repeated by returning to step S 3 . If, v(t) is equal to PE (S 5 , YES), the current time t is determined as gate delay data (S 7 ). 0.5 is commonly used for a value of ⁇ in calculating gate delay.
  • a graph 32 representing v(t) calculated by a gate delay calculation apparatus in accordance with the present embodiment significantly corresponds to a graph 84 representing the output waveform of an actual gate.
  • Input waveform determination portion 23 is capable of determining input waveform data 28 using the process shown in a flow chart of FIG. 10. That is, it determines gate delay data t 1 using 0.1 for a value of ⁇ in step S 5 . Then, after determining the gate delay data t 2 using 0.9 for a value of ⁇ in step S 5 , the difference between t 2 and t 1 is solved. This difference is defined as input waveform data.
  • the solved input waveform data 28 is transmitted to a wire delay calculation apparatus by input waveform determination portion 23 .
  • a source resistance value of an RC model can be calculated using parameters for expressing it as a time function, and therefore it well corresponds to the waveform of an actual source resistance value.
  • calculation accuracy for gate delay is improved and the output waveform which well corresponds to an actual gate can be transmitted to a wire delay calculation apparatus.

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

A gate delay calculation apparatus includes an Rs parameter storage file for prestoring a parameter for expressing a source resistance value of an RC model as a continues time function, an Rs determination portion for selectively extracting the parameter prestored in the Rs parameter storage file from the amount of input waveform gradient and output load model and a gate delay determination portion for calculating gate delay based on the source resistance value expressed by the parameter extracted by Rs determination portion and the output load model.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to an apparatus and a method for calculating gate delay used in logic simulation and timing analysis, and in particular, to an apparatus and a method for calculating gate delay using an RC model. [0002]
  • 2. Description of the Background Art [0003]
  • Recently, the higher integration and multifunction of a semiconductor integrated circuit are increasing its circuit scale, thereby making the time required for its development longer. One method of reducing the time required for the development is to minimize re-designing and re-development due to the malfunction of a produced semiconductor integrated circuit. To achieve this goal, the simulation result obtained in a stage of designing a semiconductor integrated circuit must approximate to the timing of a signal between the gates within an actually produced semiconductor integrated circuit, and therefore there is an increasing need for a delay calculation apparatus with higher accuracy. [0004]
  • FIG. 1 is a block diagram showing a structure of a conventional delay calculation apparatus. The delay calculation apparatus includes: an input waveform [0005] gradient calculation apparatus 51 for extracting the gradient of the input waveform applied to an input terminal of a gate; an output load model calculation apparatus 52 for RC modeling the load applied to an output terminal of the gate; a gate delay calculation apparatus 53 for calculating the delay experienced from the input terminal to the output terminal of the gate; and a wire delay calculation apparatus 54 for calculating the delay (due to wiring) between the output terminal of the gate and the input terminal of a gate in a next stage. Gate delay data 55 calculated by gate delay calculation apparatus 53 and wire delay data 56 calculated by wire delay calculation apparatus 54 are used in logic simulation or timing analysis by a simulator or the like.
  • FIG. 2 is a schematic diagram showing an example of a circuit in which delay calculation apparatus calculates [0006] gate delay data 55 and wire delay data 56. The circuit includes gates (inverters) 41-44 and wires 45-50 between the gates. The processing procedure of delay calculation apparatus will now be described with reference to the circuit diagram shown in FIG. 2.
  • The delay in a logic circuit is generally calculated from the delay of gates per se (gate delay data [0007] 55) and the delay resulting from the wire capacitance between gates (wire delay data 56). Therefore, calculation of gate delay data 55 and wire delay data 56 (on the side of the output terminal of a gate) for every gate by delay calculation apparatus enables logic simulation and timing analysis by a simulator or the like. For example, assume that delay calculation apparatus calculates the delay for gate 42 shown in FIG. 2. First, input waveform gradient calculation apparatus 51 calculates the gradient amount of the voltage waveform applied to the input terminal of gate 42 based on the calculation result (gate delay data and wire delay data) of gate 41 in a preceding stage.
  • Wires [0008] 48-50 which carry the output voltage of gate 42 and the input of gates 43 and 44 are modeled by output load model calculation apparatus 52. This modeling will be described later.
  • Gate [0009] delay calculation apparatus 53 receives the amount of input waveform gradient calculated by input waveform gradient calculation apparatus 51 and the output load model calculated by output load model calculation apparatus 52, and calculates the gate delay between the input terminal and the output terminal of gate 42 to produce gate delay data 55. Gate delay calculation apparatus 53 also calculates the gradient of the output voltage waveform in gate 42 and transmits it to wire delay calculation apparatus 54.
  • Wire [0010] delay calculation apparatus 54 receives the output voltage waveform of gate 42 calculated by gate delay calculation apparatus 53 and the output load model calculated by output load model calculation apparatus 52 and calculates the wire delay between the output terminal of gate 42 and the input terminals of gates 43 and 44 to produce wire delay data 56.
  • FIG. 3 is a block diagram showing in further detail a structure of gate [0011] delay calculation apparatus 53 in FIG. 1. Gate delay calculation apparatus 53 includes: an Rs, To parameter storage file 57 for storing a resistance value Rs of source resistance and a fixed delay time To; an Rs, To determination portion 58 for determining Rs and To which are required in gate delay calculation using parameters stored in Rs, To parameter storage file 57; a gate delay determination portion 59 for calculating gate delay using Rs and To; and an input waveform determination portion 60 for calculating input waveform data 63 which is required for the calculation of wire delay by wire delay calculation apparatus 54. The amount of input waveform gradient 61 and an output load model 62 indicate the value calculated by input waveform gradient calculation apparatus 51 and that calculated by output load model calculation apparatus 52, respectively.
  • FIG. 4 is a diagram showing a structure of a π type RC model generally used as [0012] output load model 62. The π type RC model includes a source resistance 71 of a gate, a switch 72 for connecting the output terminal of the gate to a π type load, and a π type load consisting of capacitance elements 74 and 75 and a resistance element 73.
  • The processing procedure of gate [0013] delay calculation apparatus 53 will now be described with reference to a circuit diagram of a π type RC model shown in FIG. 4.
  • R[0014] s, To determination portion 58 determines resistance value Rs, of source resistance 71 and fixed time delay To from the parameters stored in Rs, To parameter storage file 57, the amount of input waveform gradient 61 and output load model 62. Fixed delay time To represents the time at which switch 72 is turned from off to on and is significantly influenced by the amount of input waveform gradient 61. Therefore, fixed delay time To is set as a parameter so that it can be determined from the amount of input waveform gradient 61 and stored in Rs, To parameter storage file 57. It is noted that while resistance value Rs may be defined as a constant value independent of input and output states, it can also be set as a parameter in consideration of the amount of input waveform gradient 61 and output load model 62 to achieve the higher calculation accuracy of the gate delay. In this case, output load model 62 is referenced. Thus, with reference to the amount of input waveform gradient 61, output load model 62 and the parameters stored in Rs, To parameter storage file 57, Rs, To determination portion 58 determines the resistance value Rs of source resistance and the value of fixed delay time To .
  • It is noted that R[0015] s, To parameter varies depending on a gate type and rising/falling of output, and therefore it is set as a parameter in accordance with the gate type and the change in direction of output. In addition, rising of a gate means a state in which a power supply is connected to the upper terminal of source resistance 71 of π type RC model shown in FIG. 4, whereas falling means a state in which the upper terminal of source resistance 71 is grounded. Gate delay is calculated by gate delay determination portion 59 using resistance value Rs and fixed delay time To determined by Rs, To determination portion 58 and output load model 62. Gate delay is calculated by analyzing π type RC model shown in FIG. 4. The resistance value R of resistance element 73 of π type RC model and the capacitance values C1 and C2 of capacitance elements 74 and 75 are determined from output load model 62 calculated by output load model calculation apparatus 52. In modeling the output load of gate 42 in FIG. 2, for example, capacitance values C1 and C2 and resistance value R are determined from the wire capacitance and impedance of wires 48-50 and the input capacitance of gates 43 and 44. The π type RC model is analyzed to calculate the output waveform v(t) of the gate in accordance with the following expression, where E represents a supply voltage. v ( t ) = E [ 1 - { r 1 - z 0 r 1 - r 2 exp ( - r 1 ( t - T 0 ) ) - r 2 - z 0 r 1 - r 2 exp ( - r 2 ( t - T 0 ) ) } ] ( t > T 0 ) wherein r 1 = 1 2 { ( 1 R C 1 + 1 R C 2 + 1 R S C 2 ) - ( 1 R C 1 + 1 R C 2 + 1 R S C 2 ) 2 - 4 R S C 1 C 2 } r 2 = 1 2 { ( 1 R C 1 + 1 R C 2 + 1 R S C 2 ) - ( 1 R C 1 + 1 R C 2 + 1 R S C 2 ) 2 - 4 R S C 1 C 2 } z 0 = 1 R C 1 + 1 R C 2 ( 1 )
    Figure US20020156610A1-20021024-M00001
  • In the above expression (1), [0016] gate delay data 55 is obtained by finding the time at which output waveform v (t) equals the logic threshold voltage. That is, by solving v(t)=βE(0<β<1) for time t. 0.5 is commonly used for the value of β.
  • As described above, a conventional gate [0017] delay calculation apparatus 53 calculates gate delay using a π type RC model. In other words, resistance value Rs is assumed to be infinite while switch 72 is off (up to fixed delay time To), whereas resistance value Rs is assumed to be a fixed value Rs determined by Rs, To determination portion 58 while switch 72 is on (after fixed delay time To). The actual resistance value Rs of source resistance of a gate is, however, a value which changes with time.
  • FIG. 5 is a diagram showing a relation between resistance value R[0018] s of source resistance and time t. A graph 81 shows a relation between resistance value Rs of source resistance used by a conventional gate delay calculation apparatus 53 and time t. Resistance value Rs is infinite up to fixed delay time To=1.0 ns, after which point it becomes a constant value. Furthermore, a graph 82 shows a relation between resistance value Rs of source resistance of an actual gate and time t. As is apparent from graph 82, the source resistance of an actual gate is a prescribed value which is not infinite at time 0ns and which gradually decreases with time.
  • FIG. 6 is a diagram showing a relation between output voltage v(t) of a gate and time t. A [0019] graph 83 shows a relation between the output voltage v(t) calculated by a conventional gate delay calculation apparatus 53 and time t. Output voltage v(t) is 0V up to fixed delay time To=1.0 ns, after which point it becomes a curve in accordance with expression (1). A graph 84 also shows a relation between output voltage v(t) of an actual gate and time t. As is apparent from graph 84, output voltage v(t) of an actual gate is a prescribed value at time 0ns and it gradually increases with time.
  • The resulting difference between the change in the output voltage of an actual gate and that calculated by gate [0020] delay calculation apparatus 53 is caused from the following reasons.
  • (1) In gate [0021] delay calculation apparatus 53, capacitance elements C1 and C2 of an π type RC model do not start charging until fixed delay time To. In an actual gate, however, charging starts at time 0 ns.
  • (2) Fixed delay time T[0022] o is set at the time at which the source resistance of an actual gate cannot be considered a sufficiently high value, and therefore the time which is earlier than the time at which the source resistance approximate to a constant value is set. As a result, resistance value Rs after fixed delay time To will be set larger than a constant value to which the source resistance of an actual gate approximate, so that the output waveform calculated by gate delay calculation apparatus 53 will be offset downward from that of an actual gate as the time proceeds. As a result, the time calculated by gate delay calculation apparatus 53 at which logic threshold voltage is attained will differ from that in an actual gate.
  • This problem, may be solved, for example, by modeling R[0023] s, To such that the time calculated by gate delay calculation apparatus 53 at which logic threshold voltage is attained corresponds to that in an actual gate. However, a problem still remains in that the shape of the output waveform calculated by gate delay calculation apparatus 53 considerably differs from that in an actual gate, and therefore exact output waveform cannot be transmitted to wire delay calculation apparatus 54.
  • Another problem is that prior art approach cannot be used for a system which performs delay calculation using logic threshold as variable. [0024]
  • SUMMARY OF THE INVENTION
  • It is an object of the present invention to provide a gate delay calculation apparatus capable of enhancing calculation accuracy for gate delay data. [0025]
  • It is another object of the present invention to provide a gate delay calculation method capable of enhancing calculation accuracy for gate delay data. [0026]
  • In accordance with one aspect of the present invention, a gate delay calculation apparatus includes a R[0027] s parameter storage file for storing in advance a parameters which express the source resistance value of an RC model as a continuous time function, an Rs determination portion for selectively extracting the parameters stored in the Rs parameter storage file from the amount of input waveform gradient and an output load model, and a gate delay determination portion for calculating gate delay based on the source resistance value expressed by the parameters extracted by the Rs determination portion and the output load model.
  • The gate delay determination portion calculates gate delay using the source resistance value expressed as a continuous time function by the parameters, and therefore it becomes possible to obtain a value which approximates to the gate delay calculated using an actual source resistance value. [0028]
  • In accordance with another aspect of the present invention, the gate delay calculation method includes the step of selectively determining the parameters which expresses the source resistance value of an RC model as a continues time function from the amount of input waveform gradient and an output load model, and the step of calculating gate delay based on the source resistance value expressed by the parameters and the output load model. [0029]
  • Gate delay is calculated using the source resistance value expressed as a continuous time function by the parameters, and therefore it becomes possible to obtain a value which approximates to the gate delay calculated using an actual source resistance value. [0030]
  • The foregoing and other objects, features, aspects and advantages of the present invention will become more apparent from the following detailed description of the present invention when taken in conjunction with the accompanying drawings.[0031]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a block diagram showing a structure of a conventional delay calculation apparatus. [0032]
  • FIG. 2 is a schematic diagram showing an example of a circuit in which a delay calculation apparatus calculates gate delay data and wire delay data. [0033]
  • FIG. 3 is a block diagram showing in further detail a structure of a conventional gate delay calculation apparatus. [0034]
  • FIG. 4 is a diagram showing a structure of a π type RC model generally used as an output load model. [0035]
  • FIG. 5 is a diagram showing a relation between the resistance value R[0036] s of a source resistance and time t in a conventional gate delay calculation apparatus.
  • FIG. 6 is a diagram showing a relation between the output voltage v(t) of a gate and time t in a conventional gate delay calculation apparatus. [0037]
  • FIG. 7 is an illustration showing the appearance of a gate delay calculation apparatus of the present invention. [0038]
  • FIG. 8 is a block diagram showing a structure of a hardware of a gate delay calculation apparatus of the present invention. [0039]
  • FIG. 9 is a block diagram showing a schematic structure of a gate delay calculation apparatus in accordance with an embodiment of the present invention. [0040]
  • FIG. 10 is a flow chart showing the processing procedure of a gate delay calculation apparatus in accordance with the present embodiment. [0041]
  • FIG. 11 is a diagram showing a relation between the source resistance value R[0042] s (t) and time t calculated by a gate delay calculation apparatus in accordance with the present embodiment.
  • FIG. 12 is a diagram showing a relation between the output waveform v(t) and time t calculated by a gate delay calculation apparatus in accordance with the present embodiment.[0043]
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • With reference to FIG. 7, a gate delay calculation apparatus includes a computer [0044] main frame 1, a graphic display unit 2, a magnetic tape unit 3 to which a magnetic tape 4 is attached, a keyboard 5, a mouse 6, a CD-ROM (Compact Disk-Read Only Memory) drive 7 to which a CD-ROM 8 is mounted, and a communication modem 9. As will be described later, a gate delay calculation program is provided by means of a storage medium such as a magnetic tape 4 or CD-ROM 8. The gate delay calculation program is executed by computer main frame 1 and an operator performs gate delay calculation by operating keyboard 5 or mouse 6 watching graphic display unit 2. The gate delay calculation program can also be provided to computer main frame 1 through communication modem 9 via the communication lines from other computers.
  • With reference to FIG. 8, computer [0045] main frame 1 shown in FIG. 7 includes a CPU (Central Processing Unit) 10, an ROM (Read Only Memory) 11, an RAM (Random Access Memory) 12 and a hard disk 13. CPU 10 performs processing by inputting and outputting the data between graphic display unit 2, magnetic tape unit 3, keyboard 5, mouse 6, CD-ROM unit 7, communication modem 9, ROM 11, RAM 12 or hard disk 13. The gate delay calculation program stored in magnetic tape 4 or CD-ROM 8 is temporarily stored in hard disk 13 via magnetic tape unit 3 or CD-ROM unit 7 by CPU 10. CPU 10 performs gate delay calculation by loading the gate delay calculation program from hard disk 13 to RAM 12 to execute as needed.
  • With reference to FIG. 9, a gate delay calculation apparatus includes an R[0046] s parameter storage file 24 for prestoring the parameters which express a source resistance value Rs as a function of time, an Rs determination portion 21 for acquiring the parameters of source resistance value Rs which are required in gate delay calculation from the amount of input waveform gradient 25 and an output load model 26 from Rs parameter storage file 24, a gate delay determination portion 22 for calculating gate delay from Rs parameter and output load model 26, and an input waveform determination portion 23 for calculating an input waveform data 28 which are required when a wire delay calculation apparatus calculates wire delay.
  • With reference to FIG. 10, the processing procedure of a gate delay calculation apparatus will be described with reference to a circuit diagram of a π type RC model shown in FIG. 4. It is noted that a gate delay calculation apparatus in accordance with the present embodiment will be described assuming that a [0047] switch 72 of a π type RC model is always on (fixed delay time To is always 0).
  • First, R[0048] s determination portion 21 determines the parameters of source resistance value Rs (a value corresponding to the constant portion of a function of Rs ) from the amount of input waveform gradient 25 and output load model 26, and reads from Rs parameter storage file 24 (S1). The change in the source resistance value largely depends on the amount of input waveform gradient 25 and also differs according to the type of a gate and the rise/fall of the output, and therefore the constant portion of a function of Rs is preset as a parameter and stored in Rs parameter storage file 24 so that the change of the source resistance value can be determined from the amount of input waveform gradient 25 and output load model 26.
  • For example, the following relation of source resistance value R[0049] s can be obtained from the Rs parameter.
  • R s(t)=3.378×10−9 ×t −1.311(0≦t≦2.5 ns)  (2)
  • R s(t)=−2.008×1011 ×t+1.569×103(2.5 ns ≦t)  (3)
  • In this case, the five values of “3.378×10[0050] −9”, “−1.311”, “2.5 ns”, “−2.008×1011” and “1.569×103” in the above expressions (2) and (3) have been prestored as Rs parameters in Rs parameter storage file 24.
  • Gate [0051] delay determination portion 22 then substitutes “0” for time t (S2) and calculates Rs (t) using the above expressions (2) or (3) (S3). Then, it calculates input waveform v(t) using the following expression. E represents power supply voltage. v ( t ) = E [ 1 - { r 1 - z 0 r 1 - r 2 exp ( - r 1 t ) - r 2 - z 0 r 1 - r 2 exp ( - r 2 t ) } ] ( 4 )
    Figure US20020156610A1-20021024-M00002
  • where r[0052] 1, r2 and z0 are the same as those shown in the expression (1).
  • Gate [0053] delay determination portion 22 determines whether the valve v(t) calculated by the expression (4) is equal to βE (0<β<1) (S5). If it is not (S5, No), t is increased by a predetermined small amount of time (S6) and the following process is repeated by returning to step S3. If, v(t) is equal to PE (S5, YES), the current time t is determined as gate delay data (S7). 0.5 is commonly used for a value of β in calculating gate delay.
  • With reference to FIG. 11, it is apparent that a [0054] graph 31 representing Rs (t) calculated by a gate delay calculation apparatus in accordance with the present embodiment significantly corresponds to a graph 82 representing an actual source resistance.
  • With reference to FIG. 12, it is apparent that a [0055] graph 32 representing v(t) calculated by a gate delay calculation apparatus in accordance with the present embodiment significantly corresponds to a graph 84 representing the output waveform of an actual gate.
  • Input [0056] waveform determination portion 23 is capable of determining input waveform data 28 using the process shown in a flow chart of FIG. 10. That is, it determines gate delay data t1 using 0.1 for a value of β in step S5. Then, after determining the gate delay data t2 using 0.9 for a value of β in step S5, the difference between t2 and t1 is solved. This difference is defined as input waveform data. The solved input waveform data 28 is transmitted to a wire delay calculation apparatus by input waveform determination portion 23.
  • As described above, according to a gate delay calculation apparatus in accordance with the present embodiment, a source resistance value of an RC model can be calculated using parameters for expressing it as a time function, and therefore it well corresponds to the waveform of an actual source resistance value. Thus, calculation accuracy for gate delay is improved and the output waveform which well corresponds to an actual gate can be transmitted to a wire delay calculation apparatus. [0057]
  • Although the present invention has been described and illustrated in detail, it is clearly understood that the same is by way of illustration and example only and is not to be taken by way of limitation, the spirit and scope of the present invention being limited only by the terms of the appended claims. [0058]

Claims (8)

What is claimed is:
1. A gate delay calculation apparatus comprising:
storage means for prestoring in advance a parameter expressing a source resistance value of an RC model as a continuous time function;
extract means for selectively extracting the parameter prestored in said storage means from an amount of input waveform gradient and an output load model; and
gate delay determination means for calculating gate delay based on a source resistance value expressed by the parameter extracted by said extract means and said output load model.
2. The gate delay calculation apparatus according to claim 1, wherein said gate delay determination means calculates an output voltage based on the source resistance value expressed by the parameter extracted by said extract means and said output load model, and determines a time at which said output voltage attains a prescribed value as gate delay.
3. The gate delay calculation apparatus according to claim 1, further comprising input waveform determination means for determining an input waveform for a wire delay calculation apparatus based on the source resistance value expressed by the parameter extracted by said extract means and said output load model.
4. The gate delay calculation apparatus according to claim 3, wherein said input waveform determination means calculates an output voltage based on the source resistance value expressed by the parameter extracted by said extract means and said output load model, and determines a difference between a time at which said output voltage attain a first prescribed value and the time at which said output voltage attains a second prescribed value as an input waveform.
5. A gate delay calculation method comprising:
the step of selectively determining a parameter expressing a source resistance value of an RC model as a continuous time function from an amount of input waveform gradient and an output load model; and
the step of calculating gate delay based on said source resistance value expressed by the parameter and said output load model.
6. The gate delay calculation method according to claim 5, wherein said step of calculating gate delay includes the step of calculating an output voltage based on said source resistance value expressed by the parameter and said output load model, and the step of determining a time at which said output voltage attains a prescribed value as gate delay.
7. The gate delay calculation method according to claim 5 further comprising the step of determining an input waveform for a wire delay calculation apparatus based on said source resistance value expressed by the parameter and said output load model.
8. The gate delay calculation method according to claim 7 wherein said step of determining input waveform includes:
the step of calculating an output voltage based on said source resistance value expressed by the parameter and said output load model;
the step of calculating a first time at which said output voltage attains a first prescribed value;
the step of calculating a second time at which said output voltage attains a second prescribed value; and
the step of determining a difference between said first and second times as an input waveform.
US10/133,672 1997-03-04 2002-04-29 Gate delay calculation apparatus and method thereof using parameter expressing RC model source resistance value Abandoned US20020156610A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/133,672 US20020156610A1 (en) 1997-03-04 2002-04-29 Gate delay calculation apparatus and method thereof using parameter expressing RC model source resistance value

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP9-049086(P) 1997-03-04
JP04908697A JP3925980B2 (en) 1997-03-04 1997-03-04 Gate delay calculation apparatus and gate delay calculation method
US08/915,079 US6510404B1 (en) 1997-03-04 1997-08-20 Gate delay calculation apparatus and method thereof using parameter expressing RC model source resistance value
US10/133,672 US20020156610A1 (en) 1997-03-04 2002-04-29 Gate delay calculation apparatus and method thereof using parameter expressing RC model source resistance value

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US08/915,079 Continuation US6510404B1 (en) 1997-03-04 1997-08-20 Gate delay calculation apparatus and method thereof using parameter expressing RC model source resistance value

Publications (1)

Publication Number Publication Date
US20020156610A1 true US20020156610A1 (en) 2002-10-24

Family

ID=12821295

Family Applications (2)

Application Number Title Priority Date Filing Date
US08/915,079 Expired - Fee Related US6510404B1 (en) 1997-03-04 1997-08-20 Gate delay calculation apparatus and method thereof using parameter expressing RC model source resistance value
US10/133,672 Abandoned US20020156610A1 (en) 1997-03-04 2002-04-29 Gate delay calculation apparatus and method thereof using parameter expressing RC model source resistance value

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US08/915,079 Expired - Fee Related US6510404B1 (en) 1997-03-04 1997-08-20 Gate delay calculation apparatus and method thereof using parameter expressing RC model source resistance value

Country Status (2)

Country Link
US (2) US6510404B1 (en)
JP (1) JP3925980B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050039151A1 (en) * 2003-08-11 2005-02-17 Levy Harold J. Method and apparatus for determining gate-level delays in an integrated circuit
CN108802262A (en) * 2018-06-19 2018-11-13 南开大学 Based on target elements conversion-PMF coupling algorithms associated with multicomponent online monitoring data and Factor Analysis Model

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001101258A (en) * 1999-10-04 2001-04-13 Hitachi Ltd Method for calculating effective load capacity in wiring part and method for calculating delay time of electronic circuit
JP3628973B2 (en) * 2001-03-21 2005-03-16 株式会社日立製作所 Semiconductor device design method
US20040073418A1 (en) * 2002-10-10 2004-04-15 International Business Machines Corporation Method and system for modeling of effective capacitance in logic circuits
US7254788B2 (en) * 2004-10-29 2007-08-07 Synopsys, Inc. Nonlinear driver model for multi-driver systems
JP5262985B2 (en) * 2009-05-19 2013-08-14 富士通株式会社 Delay fault inspection program, delay fault inspection apparatus, and delay fault inspection method

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5379231A (en) * 1992-05-29 1995-01-03 University Of Texas System Method and apparatus for simulating a microelectric interconnect circuit
US5475605A (en) * 1994-05-26 1995-12-12 Cadence Design Systems, Inc. Timing analysis for logic optimization using target library delay values
US5515291A (en) * 1991-08-23 1996-05-07 Mitsubishi Denki Kabushiki Kaisha Apparatus for calculating delay time in logic functional blocks
US5548526A (en) * 1992-03-11 1996-08-20 Vlsi Technology, Inc. Timing model and characterization system for logic simulation of integrated circuits
US5559715A (en) * 1992-03-11 1996-09-24 Vlsi Technology, Inc. Timing model and characterization system for logic simulation of integrated circuits which takes into account process, temperature and power supply variations
US5617325A (en) * 1990-06-22 1997-04-01 Vlsi Technology, Inc. Method for estimating interconnect delays in integrated circuits
US5819205A (en) * 1996-08-07 1998-10-06 Mitsubishi Denki Kabushiki Kaisha Signal delay computing method
US5841672A (en) * 1996-02-13 1998-11-24 Vlsi Technology, Inc. Method and apparatus for verifying signal timing of electrical circuits

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05108753A (en) 1991-10-21 1993-04-30 Oki Electric Ind Co Ltd Logical simulation system

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5617325A (en) * 1990-06-22 1997-04-01 Vlsi Technology, Inc. Method for estimating interconnect delays in integrated circuits
US5515291A (en) * 1991-08-23 1996-05-07 Mitsubishi Denki Kabushiki Kaisha Apparatus for calculating delay time in logic functional blocks
US5548526A (en) * 1992-03-11 1996-08-20 Vlsi Technology, Inc. Timing model and characterization system for logic simulation of integrated circuits
US5559715A (en) * 1992-03-11 1996-09-24 Vlsi Technology, Inc. Timing model and characterization system for logic simulation of integrated circuits which takes into account process, temperature and power supply variations
US5379231A (en) * 1992-05-29 1995-01-03 University Of Texas System Method and apparatus for simulating a microelectric interconnect circuit
US5475605A (en) * 1994-05-26 1995-12-12 Cadence Design Systems, Inc. Timing analysis for logic optimization using target library delay values
US5841672A (en) * 1996-02-13 1998-11-24 Vlsi Technology, Inc. Method and apparatus for verifying signal timing of electrical circuits
US5819205A (en) * 1996-08-07 1998-10-06 Mitsubishi Denki Kabushiki Kaisha Signal delay computing method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050039151A1 (en) * 2003-08-11 2005-02-17 Levy Harold J. Method and apparatus for determining gate-level delays in an integrated circuit
US7043709B2 (en) * 2003-08-11 2006-05-09 Synopsys, Inc. Method and apparatus for determining gate-level delays in an integrated circuit
CN108802262A (en) * 2018-06-19 2018-11-13 南开大学 Based on target elements conversion-PMF coupling algorithms associated with multicomponent online monitoring data and Factor Analysis Model

Also Published As

Publication number Publication date
US6510404B1 (en) 2003-01-21
JPH10247205A (en) 1998-09-14
JP3925980B2 (en) 2007-06-06

Similar Documents

Publication Publication Date Title
JP2948437B2 (en) How to create data for logic simulation
US6209122B1 (en) Minimization of circuit delay and power through transistor sizing
US7835890B2 (en) Hot carrier circuit reliability simulation
US6453446B1 (en) Timing closure methodology
US7567891B1 (en) Hot-carrier device degradation modeling and extraction methodologies
KR100510035B1 (en) Method of estimating deterioration due to hot carries
US5692160A (en) Temperature, process and voltage variant slew rate based power usage simulation and method
US6604066B1 (en) Method and apparatus for calculating delay for logic circuit and method of calculating delay data for delay library
US20090193373A1 (en) Multiple voltage threshold timing analysis for a digital integrated circuit
US8302046B1 (en) Compact modeling of circuit stages for static timing analysis of integrated circuit designs
US20040167756A1 (en) Apparatus and method for statistical LSI delay simulation
US6634015B2 (en) Computer-readable storage media stored with a delay library for designing a semiconductor integrated circuit device
JP3153403B2 (en) Device for calculating delay time of semiconductor integrated circuit
US6550050B2 (en) Method of designing semiconductor integrated circuit device, and apparatus for designing the same
US8185368B2 (en) Mixed-domain analog/RF simulation
Deng et al. Generic linear RC delay modeling for digital CMOS circuits
US6510404B1 (en) Gate delay calculation apparatus and method thereof using parameter expressing RC model source resistance value
US20050278671A1 (en) Method and system for modeling variation of circuit parameters in delay calculation for timing analysis
US5883818A (en) Method for generating an improved model for evaluating the operation of an integrated circuit design
US6230302B1 (en) Method and system for performing timing analysis on an integrated circuit design
US7024337B2 (en) System and method for analyzing noise
US6704916B1 (en) Method and apparatus for optimizing placement and routing and recording medium for recording program for optimizing placement and routing
US10268788B2 (en) Method and system for frequency-aware input/output signal integrity analysis
JP3948536B2 (en) Gate delay calculation device
US7707524B2 (en) Osculating models for predicting the operation of a circuit structure

Legal Events

Date Code Title Description
AS Assignment

Owner name: RENESAS TECHNOLOGY CORP., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MITSUBISHI DENKI KABUSHIKI KAISHA;REEL/FRAME:014502/0289

Effective date: 20030908

AS Assignment

Owner name: RENESAS TECHNOLOGY CORP., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:MITSUBISHI DENKI KABUSHIKI KAISHA;REEL/FRAME:015185/0122

Effective date: 20030908

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION