US20020044855A1 - Automated semiconductor processing system - Google Patents

Automated semiconductor processing system Download PDF

Info

Publication number
US20020044855A1
US20020044855A1 US09/932,719 US93271901A US2002044855A1 US 20020044855 A1 US20020044855 A1 US 20020044855A1 US 93271901 A US93271901 A US 93271901A US 2002044855 A1 US2002044855 A1 US 2002044855A1
Authority
US
United States
Prior art keywords
lift
elbow
robot
forearm
process robot
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/932,719
Inventor
Jeffry Davis
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/994,737 external-priority patent/US6447232B1/en
Application filed by Individual filed Critical Individual
Priority to US09/932,719 priority Critical patent/US20020044855A1/en
Publication of US20020044855A1 publication Critical patent/US20020044855A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Definitions

  • the field of the invention is automated semiconductor wafer processing systems, used for processing semiconductor wafers, hard disk media, semiconductor substrates, and similar materials requiring very low levels of contamination.
  • Clean rooms are enclosed areas or rooms within a semiconductor manufacturing facility, designed to keep out contaminants. All air provided to a clean room is typically highly filtered to prevent airborne contaminants from entering into or circulating within the clean room. Special materials and equipment are needed to maintain contaminants within the clean room at adequately low levels. Consequently, construction and maintenance of clean rooms can be time consuming and costly.
  • the semiconductor processing equipment installed within a clean room should preferably be compact, so that large numbers of semiconductor wafers can be processed within a smaller space, thereby reducing space requirements and costs. Accordingly, there is a need for smaller semiconductor processing equipment, to reduce clean room space requirements.
  • a process robot within an automated semiconductor processing system has a robot arm vertically moveable along a lift rail.
  • the robot arm has a forearm segment extending between an elbow joint and a wrist joint.
  • the robot arm is compact yet has an extended range of travel. The processing system therefore requires less space, allowing for lower cost manufacturing.
  • the process robot has a wafer holder on the robot arm laterally offset from the elbow and wrist joints.
  • the process robot can perform both overhand and underhand movements.
  • the elbow and wrist joints, and the forearm segment are constantly positioned to one side of the wafer holder. Risk of contamination of the wafers due to particles from the arm segment or joints is greatly reduced.
  • the overhand and underhand movement capability of the process robot provides for versatile performance.
  • FIG. 1 is a top, rear and left side perspective view of the present automated semiconductor processing system
  • FIGS. 2 and 3 are front, top, and left side perspective views thereof
  • FIG. 4 is a front elevation view thereof
  • FIG. 5 is a left side view thereof
  • FIG. 6 is a front perspective view of the input/output robot shown in FIG. 3;
  • FIG. 7 is a rear perspective view thereof
  • FIG. 8 is a perspective view of the indexer shown in FIGS. 1 - 3 , with various components removed for clarity of illustration;
  • FIG. 9 is a perspective view thereof with additional components removed for clarity of illustration;
  • FIG. 10 is yet another perspective view thereof showing additional details
  • FIG. 11 is a leftside view of the indexer shown in FIGS. 1 - 3 ;
  • FIG. 12 is a plan view thereof, with the pallets on the indexer removed, for clarity of illustration;
  • FIG. 13 is a front view of the indexer shown in FIGS. 1 - 3 ;
  • FIG. 14 is a plan view of the indexer shown in FIGS. 1 - 3 , and illustrating sequences of movement;
  • FIG. 15 is a perspective view of the elevator shown in FIG. 5, in the lowered position
  • FIG. 16 is a perspective view thereof showing the elevator in the raised position
  • FIG. 17 is a front perspective view of the process robot shown in FIGS. 2 and 5;
  • FIG. 18 is a plan view thereof
  • FIG. 19 is an enlarged plan view thereof
  • FIG. 20 is a rear perspective view of the process robot, with the arm fully withdrawn;
  • FIG. 21 is a plan view thereof
  • FIG. 22 is a side elevation view, in part section, of the process robot
  • FIG. 23 is a front perspective view of the process robot
  • FIGS. 24 A- 24 E are schematic illustrations showing various positions of the arm of the process robot
  • FIG. 25 is a perspective view of a process module, as shown in FIGS. 3 - 5 ;
  • FIG. 26 is a perspective view of the semiconductor process module illustrated in FIGS. 4, 5 and 25 , and having a novel door actuation and sealing mechanism;
  • FIG. 27 is a perspective view of the process module door actuation assembly
  • FIG. 28 is a cross-sectional side view of the process module door in an open position
  • FIG. 29 is a cross-sectional side view of the process module door in a closed position
  • FIG. 30 is a perspective view of a second indexer embodiment
  • FIG. 31 is a reversed perspective view of the indexer shown in FIG. 30, with various components removed, for purposes of illustration.
  • an automated semiconductor material processing system 50 is installed within a clean room 52 .
  • the system 50 has a clean air enclosure or housing 54 having a left side wall 56 with a fixed transparent window 57 to allow viewing of operations within the enclosure 54 .
  • the enclosure 54 has a front wall 58 , as shown in FIG. 2, having a fixed transparent window 59 .
  • a loading/unloading opening 60 in the front wall 58 is closed off during operation of the system 50 by a transparent loading window or panel 62 , as illustrated in FIG. 2.
  • a transparent loading window or panel 62 as illustrated in FIG. 2.
  • down draft fans or blowers 80 are provided on top of the enclosure 54 , to continuously move clean air room downwardly through the enclosure.
  • a utilities compartment 82 provides space for power supplies, reagent tanks, pumps, and other components well known for semiconductor processing.
  • the system 50 includes an indexer bay or space 75 extending rearwardly along the left side wall 56 .
  • a process bay or space 94 extends along the front wall 58 , perpendicularly to the indexer bay 75 .
  • the indexer bay or space 75 , and a process bay or space 95 are continuous with each other, and are designated and illustrated schematically in FIG. 3, as separate spaces only for purposes of description.
  • the loading/unloading window 60 opens through the front wall 58 of the enclosure 54 into the indexer bay 75 .
  • An I/O robot 86 in the indexer bay 75 is located largely below the opening 60 .
  • An indexer 72 is provided in the indexer bay 75 , generally in alignment with the opening 60 .
  • An input plate 132 on the indexer 72 extends over the I/O robot 86 toward the window 60 .
  • the indexer 72 preferably holds up to eight cassettes 88 containing flat media, e.g., silicon wafers 90 .
  • the cassettes 88 rest on pallets 136 on the indexer 72 .
  • the pallets 136 and the I/O plate 132 are vertically positioned at about the same elevation as the bottom of the opening 60 .
  • a moving buffer shelf 76 is supported above the cassettes 88 on the indexer 72 via a vertical buffer plate 130 extending up from a center beam in the indexer 72 .
  • the process bay 95 includes two or more process chambers.
  • the process chambers are a chemical process chamber 68 , and a spin/rinser dryer 70 .
  • a process robot 66 moves through the process bay 95 to the indexer 72 , to carry wafers 90 to or from the chambers 68 or 70 .
  • the I/O robot 86 has a mounting plate 110 attached to the left side wall 56 or adjacent enclosure structure.
  • a Y-axis rail 112 is supported on the mounting plate 110 .
  • a linear actuator 114 on the rail 112 moves an armature 105 in the Y direction, as shown in FIGS. 3 and 6.
  • an X-axis rail 106 on the back of the armature 105 supports a Z-axis or vertical fork rail 102 .
  • a vertical fork actuator 104 moves the rail 102 vertically on the armature 105 .
  • An x-axis actuator 108 moves the vertical rail 102 , along with the vertical actuator 104 , in the X or lateral direction.
  • a cassette fork 100 near the top of the vertical rail 102 is adapted to lift a cassette 88 by engaging the cassette side flanges 89 .
  • the indexer 72 has a rectangular frame 118 including a bottom plate 120 , a front plate 122 , a back plate 124 , and left and right-end plates 126 and 128 .
  • the I/O plate 132 is attached to the right end plate 122 , and braced by gussets 134 .
  • a center beam 160 divides the indexer into an input row or side 135 and an output row 137 .
  • the vertical buffer support plate 130 is positioned and moves back and forth within a centrally located buffer plate slot 144 in the center beam 160 .
  • the indexer 72 includes 10 pallet positions: A, B, C, D, E, F, G, H, I, and J.
  • the indexer 72 has eight pallets 136 , so that two positions, at diagonally opposite corners, are always vacant.
  • cutouts 162 in the bottom plate 120 of the indexer 72 at positions C and H, allow air to flow downwardly through the indexer 72 .
  • Elevator clearance holes 164 through the bottom plate 120 at positions I and J, provide clearance for the elevator 78 .
  • the indexer 72 includes a X-axis or lateral shift system or assembly generally designated 140 , and a longitudinal or a Y-axis shift system or assembly, generally designated 170 . These shift systems move the pallets 136 carrying the cassettes 88 around on the indexer 72 , as shown in FIG. 14.
  • the lateral shift system 140 includes a lateral guide block 150 , fixed to the indexer frame 118 .
  • a lateral shift end fork 146 A is supported on the lateral guide block 150 , and is driven by a lateral drive motor 154 to step or sequence between positions E and F, as shown in FIG. 14.
  • An end fork air cylinder 152 raises and lowers the end fork 146 A between fixed up and down positions which are fixed by mechanical stops.
  • FIGS. 9 and 10 show components of the lateral shift system 140 at the left or inside end of the indexer 72 . Similar or duplicate components (the lateral guide block 150 ; an end fork 146 B and a lateral air cylinder 152 ) are mounted at the right end as well.
  • the longitudinal or Y-axis shift assembly 170 of the indexer 72 includes longitudinal guide rails 172 extending parallel to the front and back plates 122 and 124 , on either side of the center rail 160 .
  • Eight side forks 180 B- 180 J are located at positions B, C, D, E, G, H, I, and J, as best shown in FIG. 12.
  • a side fork actuator or air cylinder 174 is attached to each of the eight side forks 180 .
  • the air cylinders 174 are longitudinally displaceable with the side forks 180 , as they move back and forth on the longitudinal guide rails 172 .
  • the eight side forks 180 are joined together by a longitudinal drive belt 178 .
  • the longitudinal drive belt 178 extends in a loop around the perimeter of the indexer frame 118 , supported on idlers.
  • the longitudinal drive belt 178 is positioned within the indexer frame 118 vertically above the lateral drive belt 156 .
  • a longitudinal or Y-axis drive motor 176 is engaged to the drive belt 178 , such that with actuation of the motor 176 , all eight side forks 180 move simultaneously. Referring to FIG. 12, when the motor drives side forks 180 B- 180 E in the input row 135 in direction I, the side forks 180 G- 180 J on the opposite side of the center beam 160 B, in the output row 137 , move in direction O.
  • the indexer 72 also includes a buffer shelf shift system or assembly, generally designated 190 .
  • the buffer shift system 190 shifts the vertical buffer plate 130 , which supports the buffer shelf 76 from the front position shown in FIG. 9 to the rear position shown in FIG. 8.
  • the buffer shelf 76 shown in phantom in FIG. 8 is omitted from the other figures, for clarity of illustration.
  • the buffer shift system 190 includes a buffer drive motor 198 linked to a buffer drive belt 200 through a flex coupling 196 , and a buffer capstan 192 .
  • the buffer drive belt 200 extends around the capstan 192 and a buffer belt idler 194 , positioned at opposite ends of the buffer plate slot 144 .
  • the vertical buffer plate 130 is secured to the buffer drive belt 200 .
  • the bottom end of the vertical buffer plate 130 is slidably attached to a buffer plate guide rail 202 underneath the buffer plate slot 144 .
  • the indexer 72 has three sets of sensors 138 at each location A-J.
  • the three sensors at each location may be separate individual sensors, or a single combination sensor.
  • the sensors, at each position sense whether a pallet is present; whether a cassette is present on a pallet; and whether wafers are present in a cassette.
  • the sensors are linked to a controller or computer and provide status information for each location in the indexer 72 .
  • optical sensors are used.
  • a wrist drive A/C servo motor 265 is contained within a wrist housing 264 pivotably attached to the outer end of the forearm 260 via a wrist joint 262 .
  • a wafer holder 268 formed by opposing end effectors 270 is joined to the lower front area of the wrist housing 264 . Grooves 274 in the end effectors 270 facilitate engaging, lifting and carrying the wafers 90 .
  • a remote camera head 266 positioned on top of the wrist housing 264 , and linked to the computer/controller 85 , views the positions of the rotor rotainers within the process chambers, as described in U.S. Pat. No. 5,784,797 incorporated herein by reference. The computer/controller can then determine whether the process robot can properly insert the wafers into the process chamber.
  • the camera head 266 is also used to verify that the rotor rotainers are fully locked before processing begins within the process chamber.
  • a process module 300 in the process bay 95 includes, for example, the spin rinser dryer 70 and the chemical process chamber 68 , 20 although other modules, or additional modules may be used.
  • End effector rinser dryers 302 are provided in the front floor 305 of the process module 300 .
  • the process module 300 includes a process vessel 310 which partially encloses a process bowl 314 .
  • the process vessel 310 mates with a movable door 512 which can be moved between the closed position shown in solid lines in FIG. 26, and an open position shown in phantom outline.
  • the door assembly 500 is aligned in a fixed position parallel to a front wall 502 of the process vessel 310 .
  • the door assembly 500 includes a door plate 510 supporting a door 512 and a door actuator 514 generally designated 514 .
  • the door 512 includes a stiffening plate 504 having a viewing window 508 that permits visual inspection of the processing bowl or chamber 314 .
  • the door actuator 514 includes a stationary outer cylinder 516 coupled to the door support plate 510 , and an extension ring 518 .
  • the extension ring 518 is concentrically and slidably positioned inside of the outer cylinder ring 516 .
  • the door support plate 510 includes a viewing aperture 520 , which aligns with the window 508 , when closed, for providing visibility into the processing chamber.
  • the door support plate 510 is attached on each side to slideable guide brackets 522 .
  • Each guide bracket 522 is slidably mounted to a pneumatic cylinder 524 .
  • the cylinders 524 are connected to the front wall 502 of the processing vessel via mounting plates 528 .
  • the combination of the guide brackets 522 , the cylinders 524 , and the mounting plates 528 provides a rigid door mounting construction that needs no additional guides or support blocks.
  • the guide brackets 522 are mounted for substantially vertical movement so that the door assembly can be moved between an open position to allow access into the bowl of the processor, and a closed position wherein the door assembly is in substantially concentric alignment with the bowl 314 . In the closed position, the door can be extended and sealed against the bowl 314 of the processor.
  • annular inner hub 530 has an annular flange 532 and a cylinder 534 .
  • the annular flange 532 is attached to the door support plate 510 .
  • a plurality of fasteners secure the outer cylinder ring 516 and the annular flange 532 concentrically to the mounting plate 510 .
  • the extension ring 518 is concentrically positioned between the hub 530 and the outer cylinder ring 516 , and includes a U-shaped portion 519 that defines an annular guide receptacle 520 .
  • the cylinder 534 fits within the annular guide receptacle 520 .
  • the extension ring 518 also includes an annular end face 540 , as shown in FIG. 28.
  • the extension ring 518 is displaceable with an annular chamber 542 defined by the cylinder 534 and the other cylinder ring 516 , to seal and unseal the bowl 314 .
  • the extension ring 518 bifurcates the chamber 542 into two operative compartments: a retraction chamber 543 and an extension chamber 544 .
  • Each chamber is adapted to hold pneumatic or hydraulic fluid and act as pneumatic or hydraulic cylinder.
  • Multiple annular seals 550 are positioned on or against the extension ring 518 to seal the chambers 543 and 544 .
  • Separate fluid supply conduits are preferably provided to the retraction chamber 543 and the extension chamber 544 to increase or decrease fluid pressure within the respective chambers and effectuate movement of the extension ring 518 .
  • the extension ring 518 moves away from the door support plate 510 . Movement of the extension ring 518 into the extended position shown in FIG. 28 moves the door 512 into sealing engagement with the access opening 506 of the processor bowl, thereby sealing the process module 300 .
  • An annular door seal 551 is mounted on the periphery of the door 512 .
  • the door seal includes a lip 552 and a tongue 554 .
  • the lip 552 of the door seal lies in a plane that is within the front wall of the processor, and the tongue presses in sealing engagement against the outside rim of the process bowl 314 thereby making a seal between the door 512 and the process bowl 314 .
  • the door seal also preferably includes a flange 555 which acts as a stop for the door seal.
  • the combination of the extension ring 518 and the door seal 550 provides a highly reliable and effective door closing and sealing mechanism. Piston-like movement of the ring 518 allows it to move the door 512 straight outwardly from the support plate without bowing or bending, and without the need for peripheral adjustments to ensure smooth movement. By seating against the outside rim of the process bowl, the tongue provides an effective fluid tight seal and automatically compensates for any misalignment between the door and the processor.
  • the inner hub 530 and the outer cylinder ring 516 are rigidly attached to the door plate 510 .
  • the door plate in turn, is fixed relative to the process bowl 514 , via the connection of the door plate 510 , to the cylinders 524 , to the front wall 502 . Consequently, as the extension ring 518 moves outwardly away from the door plate 510 , it can press tightly against and seal the bowl 514 .
  • the operator of the system 50 initiates a loading sequence by entering commands via the user interface 64 .
  • the window panel 62 drops down, thereby opening the loading window 60 .
  • the operator places a cassette 88 filled with wafers 90 onto the I/O plate 132 .
  • the cassette 88 may be initially placed on the I/O plate 132 by a human operator or by another robot.
  • the cutout 133 in the I/O plate positions the cassette 88 , so that it may be lifted by the I/O robot, and also allows air to flow downwardly over the wafers 90 in the cassette 88 .
  • the fork 100 of the I/O robot 86 is initially in the same X-Y position as the I/O plate 132 .
  • the vertical fork motor or actuator 14 raises the fork 100 , until the fork has engaged the side flanges 89 of the cassette 88 .
  • the I/O robot 86 then lifts the cassette 88 vertically off of the I/O plate 132 , shifts laterally (in the X direction) towards the left side wall 56 , via actuation of the lateral motor 108 . This movement aligns the now lifted cassette with the input row of the indexer.
  • the I/O robot 86 then moves the lifted cassette longitudinally (in the Y-direction) toward the indexer, until the cassette is aligned above a pallet in position A, via the Y-axis motor 114 .
  • the I/O robot then sets the cassette 88 down on the pallet 136 at position A on the indexer 72 . If there is no pallet at position A, the indexer 72 must first be sequenced, as described below, to bring a pallet into position A.
  • the I/O robot then returns the fork 100 to its initial position.
  • the longitudinal shift system 170 moves the side forks 180 B-j (in the direction of arrow O in FIG. 12) until the side fork 180 B is underneath the pallet 136 and cassette 88 in position A.
  • the end forks 146 A and 146 B have down or at-rest positions below the down or at-rest positions of the side forks 180 B-J, so that the side forks 180 B, 180 E, 180 G, and 180 J can move into the end positions A, E, F and J, without interfering with the end forks 146 A and 146 B.
  • the side forks 180 B-J are attached to the longitudinal drive belt 178 , they all necessarily move together in the Y direction.
  • the longitudinal drive motor 176 is again energized to move side fork 180 B back towards position A, and thereby move side fork 180 J from position J back to position 1 .
  • the side fork air cylinders 174 are down, so that there is no pallet movement. Rather, the side forks are merely repositioned below the pallets.
  • the side forks are moved, in this step, enough to avoid interfering with the end forks, and not necessarily one complete position.
  • the lateral drive motor 154 is energized to move the end fork 146 B from position A to position J, and to simultaneously move the end fork 146 A from position F to position E.
  • the lateral air cylinders 152 are extended, lifting end fork 146 B, and the pallet at position J, and simultaneously lifting end fork 146 A to lift the pallet at position E.
  • the lateral drive motor 154 is then energized in the reverse direction (direction L in FIG. 12) and via the lateral belt 156 , the end fork 146 B carries the pallet from position J to position A, and simultaneously, the end fork 146 A carries a pallet from position E to position F.
  • the lateral air cylinders 152 are then retracted, to lower the pallets into positions A and F on the indexer deck 139 .
  • the indexer 72 With a second pallet in position A, the indexer 72 is ready to receive a second cassette 88 . After a second cassette is positioned on the I/O plate 132 , the I/O robot 86 repeats the indexer loading sequence of cassette movements, so that the second cassette is placed on the indexer at position A.
  • the elevator 78 is energized, lifting the wafer platforms 216 on the lift columns 214 up through the open bottom of the cassettes 88 .
  • the wafers 90 in the cassettes are lifted to an elevated access position, as shown in FIG. 16, where they are now ready to be picked up by the process robot 66 .
  • the window panel 62 moves up to close off the loading window 60 , to prevent an operator from inadvertently coming into contact with moving components within the enclosure 54 .
  • the process robot 66 moves to lift the wafers 90 off of the elevator 78 .
  • the lateral drive flux motor 251 moves the lift unit 252 laterally until the wafer holder 268 is properly aligned with the wafers 90 on the elevator 78 .
  • the wafer holder 268 is moved in until the end effectors 270 are positioned and aligned on either side of the wafers 90 , with the grooves 274 in the end effectors 270 each aligned to receive a wafer. As shown in FIG.
  • this wafer engagement movement is an underhanded movement of the robot arm 255 .
  • the wafer holder 268 is moved up to lift the wafers 90 off of the elevator 78 .
  • the robot arm 255 then withdraws to the position shown in FIG. 24C.
  • the robot arm can be fully backed away from the indexer, with only minimal clearance space required, as shown in FIGS. 20 and 21.
  • the wafers are maintained in a vertical or near vertical position.
  • the lateral drive motor 251 is energized to move the lift unit 252 so that the wafers in the wafer holder 268 are brought into alignment with the selected process chamber.
  • the robot arm 255 is raised up on the lift unit by the lift motor 257 .
  • the forearm 260 is pivoted upwardly via the elbow drive motor 259 .
  • the wrist drive motor 265 is driven in an opposite direction to bring or maintain the wafer holder in an approximately 10° down incline orientation, as shown in FIG. 22.
  • the forearm is pivoted downwardly to extend the wafer holder carrying the wafers into the process chamber.
  • the robot arm 255 then withdraws from the process chamber.
  • the wrist drive motor 265 is controlled to orient the end effectors vertically, as shown in FIG. 24D. With the end effectors aligned with the end effector rinser/dryer 302 , the lift motor 257 lowers the entire robot arm 255 , to extend the end effectors into the end effector rinser/dryer 302 . After the end effectors 270 are cleaned and dried, they are withdrawn from the end effector rinser/dryer 302 and positioned to remove wafers from either process chamber, or to pick up additional batches of wafers from the indexer for delivery to a process chamber. As the end effectors are cleaned at the process chamber rather than at another location, processing time can be reduced, because this cleaning step is accomplished without the need to move the process robot.
  • the wafer holder 268 is offset to one side of the wrist joint 262 and elbow joint 256 , as well as the other components of the process robot 66 . No part of the process robot 66 is ever positioned directly above the wafers. As air is blown downwardly in the enclosure 54 , any particles generated or released by the process robot 66 will not come into contact with the wafers. As a result, the potential for contamination of the wafers during processing is reduced.
  • the process robot 66 has an elbow joint 256 and a wrist joint 262 , joined by a single segment or forearm 260 . Consequently, in contrast to earlier known systems having shoulder, elbow and wrist joints, joined by two arm segments, the process robot 66 achieves a range of vertical reach via movement of the robot arm 255 on the lift rail 254 , rather than by articulation of arm segments. This allows the process robot 66 to be very compact, while still achieving sufficient ranges of movement. Correspondingly, the entire enclosure 54 can be made more compact.
  • the process robot 66 can perform both underhanded and overhanded movements, the vertical travel necessary on the lift rail 254 is limited. In addition, the ability to perform both underhanded and overhanded movements allows the forearm 260 to be relatively short, which also contributes to a compact enclosure 54 .
  • the buffer shelf 76 moves forward (in direction O in FIG. 17) when the elevator 78 is in the down position, to receive up to 50 wafers.
  • the buffer shelf 76 holds the wafers until the appropriate empty cassette 88 is moved into the I and J positions, so that the process robot 66 can move the disks from the buffer shelf 76 into the cassettes at positions I and J.
  • the buffer shelf 76 is not being loaded or unloaded with wafers, it remains in the back position (moved in direction I), so as not to interfere with operation of the elevator 78 .
  • the buffer shelf 76 temporarily holds already processed wafers, so that the process robot 66 can access and move the next batch of wafers for placement into the process chambers, before off loading already processed wafers back into the indexer. This ensures that the process chambers are constantly supplied with wafers for processing
  • a second embodiment indexer 600 includes a box frame 602 formed by side walls 604 and 606 , a front end wall 608 , and a back end wall 610 , joined to each other, and to a base plate 612 .
  • An input plate 614 extends outwardly from the front end wall 608 .
  • a center wall 616 and lateral ribs 666 divide the indexer 600 into first and second rows R 1 and R 2 , with each row having 5 pallet positions or stations, i.e., A-E and F-J, as shown in FIG. 12.
  • the center wall 616 is supported in the box frame 602 via support bars 615 extending from the center wall 616 to the side walls 604 and 606 .
  • a buffer assembly 618 includes a buffer side plate 620 attached to the inner side wall 606 .
  • a buffer tray 622 has combs 624 on comb arms 626 .
  • the buffer tray 622 is supported on a buffer tray support 630 .
  • the tray support 630 in turn is slidably mounted on upper and lower buffer rails 632 and 634 , on the buffer side plate 620 .
  • Linear bearings 636 on the tray support 630 allow for low friction movement of the tray support 630 along the rails 632 and 634 .
  • a buffer drive belt 642 extends around a buffer drive motor 638 and an end pulley 640 .
  • the buffer drive belt 642 is attached to the buffer tray support, so that rotational movement of the motor 638 causes translational (y-axis) movement of the buffer tray support 630 along the rails 632 and 634 . Locating the buffer assembly 618 on the side of the indexer 600 , as shown in FIG. 30, allows for a more compact design, in comparison to the centrally located buffer assembly of the first indexer embodiment 72 shown in FIG. 8.
  • the indexer 600 includes a longitudinal or y-axis shift assembly, generally designated 650 , and a lateral or x-axis shift assembly, generally designated as 652 .
  • the y-axis shift assembly 650 includes 2 side by side and parallel y-axis frames 660 .
  • Each y-axis frame 660 includes an inner frame plate 662 , adjacent and attached to the center wall 616 , and an outer frame plate 664 , supported on the side walls 604 and 606 .
  • the lateral ribs 666 are attached to and extend between the inner frame plate 662 and outer frame plate 664 , in both of the y-axis frames 660 .
  • End rollers 674 are rotatably mounted at the ends of each of the inner and outer frame plates 662 and 664 (for a total of 8 end rollers 674 ).
  • Idler rollers 676 are spaced apart and rotatably mounted on the frame plates 662 and 664 , between the end rollers 674 , on each frame plate 662 and 664 .
  • An endless toothed belt 670 is mounted over the end rollers 674 and idler rollers 676 on each frame plate 662 and 664 (for a total of 4 endless toothed belts 670 ).
  • the teeth 672 on the belts 670 face outwardly, so that the smooth inside or back surface of the belts 670 contact the end rollers 674 and idler rollers 676 .
  • teeth 672 are shown only at sections of the belts 670 , the belts 670 actually have continuous teeth 672 all around.
  • the rollers and belt in the foreground of FIG. 31 have been omitted from the drawing.
  • a y-axis drive motor 680 supported on the center wall 616 is linked or engaged to a gear unit 682 , which turns drive shafts 684 A and 684 B (in the side by side parallel y-axis frames 660 ) at equal speeds, but in opposite directions.
  • the drive shafts 684 A and 684 B turn drive sprockets 686 , which in turn drive the belts 670 .
  • the outside toothed surface of the belts 670 wraps around the drive sprockets 686 , and around an idler sprockets 688 , as shown in dotted line in FIG. 31.
  • an x-axis sensor pair 690 and a y-axis sensor pair 696 , is provided at each of the ten pallet stations or locations A-J, delineated by the lateral ribs 666 .
  • the x-axis sensor pair includes an infrared transmitter 692 and an infrared detector 694 , laterally aligned with each other (on a line perpendicular to the frame plates 662 and 664 ).
  • the y-axis sensor pair 696 includes a y-axis infrared transmitter 698 and a y-axis infrared detector 700 , located generally centrally on the lateral ribs 666 , and aligned with each other (on a line parallel to the frame plates 662 and 664 ).
  • a reflective optical sensor 702 is provided in the side walls 604 and 606 , at each of the pallet positions A-J.
  • the sensor pairs 690 and 696 and optical sensor 702 are linked to the controller 85 , which monitors and controls operations of the indexer 600 .
  • each row R 1 and R 2 of the indexer 600 8 rectangular pallets 710 are provided in each row R 1 and R 2 of the indexer 600 , so that four of the pallet positions in each row are always occupied by a pallet 710 , and one end position adjacent to end wall 608 or 610 is always open.
  • Each pallet 710 has a rectangular pallet cutout or opening 712 .
  • Pallet tooth racks 715 shown in dotted line in FIG. 30, are provided on the bottom surface of the pallets 710 .
  • the tooth rack 715 extends along both of the longer sides of the pallet 710 .
  • the toot rack 715 has teeth matching the size and pitch of the teeth 672 on the belts 70 .
  • Each pallet 710 has a pair of x-axis and y-axis prisms. Specifically, an x-axis transmitter prism 714 is longitudinally aligned with an x-axis detector prism 716 , on each pallet 710 , as shown in FIG. 30. Similarly, a y-axis transmitter prism 718 is laterally aligned with a y-axis detector prism 720 , on each pallet 710 .
  • the x-axis transmitter prism 714 and x-axis detector prism 716 are vertically directly above or aligned with the x-axis infrared transmitter 692 and x-axis infrared detector 694 , respectively, in that pallet position.
  • the y-axis transmitter prism 718 and y-axis detector prism 720 are vertically directly above and aligned with the y-axis infrared transmitter 698 and the y-axis infrared detector 700 , in that pallet position.
  • the idler rollers 676 have roller flanges 678 which protrude vertically above the belts 670 .
  • the roller flanges 678 fit into roller grooves 675 (shown in dotted line in FIG. 30) on the bottom surface of each pallet 710 .
  • the engagement of the roller flanges 678 into the grooves 675 prevents any x-axis movement of the pallets 710 (unless the pallet 710 is lifted vertically.) Accordingly, the pallets 710 are vertically supported on both the belts 670 and roller flanges 678 .
  • the indexer 600 has an x-axis shift assembly 652 substantially the same as the x-axis shift assembly or shift system 140 shown in FIGS. 9 and 10 and described above, and is therefore not further described or illustrated here. However, rather than the end fork air cylinder 152 used in the x-axis shift system 140 , the x-axis shift assembly 652 in the indexer 600 has a pallet lift electric motor 654 , for better control of pallet lift movement.
  • the operation and sequencing of the indexer 600 is similar to that of the indexer 72 described above with reference to FIGS. 8 - 12 .
  • pallet movement along the y-axis is achieved via the computer controller 85 controlling the y-axis drive motor 680 to incrementally move, or index, the belts 670 .
  • the pallets 710 remain on the belts 670 and rollers 676 .
  • there is no vertical movement of pallets 710 as the pallets move in the y-axis direction, between pallet stations.
  • X-axis movement of the pallets 710 at the ends of the indexer 600 is similar to the movement described above for the indexer 72 and is therefore not further described here.
  • the optical sensor 72 detects the presence or absence of a pallet 710 via detecting the presence or absence of reflected light.
  • the x-axis sensor pair 690 detects the presence or absence of a cassette 88 .
  • the infrared transmitter 692 projects a light beam vertically upwardly. The light beam passes through the x-axis transmitter prism 714 , on each pallet 710 , which bends the light beam 90°, so that the light beam is then projected horizontally inwardly towards the x-axis detector prism 716 .
  • the y-axis sensor pair 696 works in a similar way, to detect the presence or absence of wafers in the cassette 88 .
  • infrared light from the y-axis transmitter 698 is projected vertically upwardly, and is turned 90° by the y-axis transmitter prism 718 , so that the light projects through a slot or tunnel 725 at the bottom of the cassette 88 .
  • the light travels entirely through the tunnel 75 , is redirected downwardly by the y-axis detector prism 720 , and is detected by the y-axis detector 700 , indicating absence of any wafers in the cassette 88 . If a wafer is in the cassette 88 , the bottom edge of the wafer projects downwardly through the tunnel 725 , preventing light from passing through the tunnel. Accordingly, the presence of any wafer in the cassette 88 will block the light from the y-axis transmitter 698 , so that the y-axis detector 700 detects no light, indicating presence of at least one wafer in the cassette 88 .
  • Operation of the buffer assembly 618 in the indexer 600 is similar to operation of the buffer assembly 76 , described above, and shown in FIG. 8. However, locating the buffer assembly 618 at the side of the indexer 600 allows for a more compact design.
  • Use of the belts 670 provides for faster and more reliable pallet movement, in contrast to the indexer 72 shown in FIGS. 8 - 16 .
  • Use of the prisms 714 - 720 allows for detection of cassettes and wafers, without requiring lifting of the pallets.

Abstract

An automated semiconductor processing system has an indexer bay perpendicularly aligned with a process bay within a clean air enclosure. An indexer in the indexer bay provides stocking or storage for work in progress semiconductor wafers. Process chambers are located in the process bay. A process robot moves between the indexer bay and process bay to carry semi-conductor wafers to and from the process chambers. The process robot has a robot arm vertically moveable along a lift rail. Semiconductor wafers are carried offset from the robot arm, to better avoid contamination. The automated system is compact and requires less clean room floor space.

Description

  • This application is a Continuation of U.S. patent application Ser. No. 09/274,511, filed Mar. 23, 1999, now U.S. Pat. No. 6,279,724 incorporated herein by reference, which is a Continuation-in-Part of U.S. patent application Ser. No. 09/112,259, filed Jul. 8, 1998, now U.S. Pat. No. 6,273,110, which is a Continuation-in-Part of U.S. patent application Ser. No. 08/994,737, filed Dec. 19, 1997 and now pending, and incorporated herein by reference.[0001]
  • The field of the invention is automated semiconductor wafer processing systems, used for processing semiconductor wafers, hard disk media, semiconductor substrates, and similar materials requiring very low levels of contamination. [0002]
  • BACKGROUND OF THE INVENTION
  • Computers, televisions, telephones and other electronic products contain large numbers of essential electronic semiconductor devices. To produce electronic products, hundreds or thousands of semiconductor devices are manufactured in a very small space, using lithography techniques on semiconductor substrates, such as on silicon wafers. Due to the extremely small dimensions involved in manufacturing semiconductor devices, contaminants on the semiconductor substrate material, such as particles of dust, dirt, paint, metal, etc. lead to defects in the end products. [0003]
  • To exclude contaminants, semiconductor substrates are processed within clean rooms. Clean rooms are enclosed areas or rooms within a semiconductor manufacturing facility, designed to keep out contaminants. All air provided to a clean room is typically highly filtered to prevent airborne contaminants from entering into or circulating within the clean room. Special materials and equipment are needed to maintain contaminants within the clean room at adequately low levels. Consequently, construction and maintenance of clean rooms can be time consuming and costly. As a result, the semiconductor processing equipment installed within a clean room should preferably be compact, so that large numbers of semiconductor wafers can be processed within a smaller space, thereby reducing space requirements and costs. Accordingly, there is a need for smaller semiconductor processing equipment, to reduce clean room space requirements. [0004]
  • Existing automated semiconductor processing systems use robots to carry the semiconductor materials. These robots are designed to avoid creating particles which could contaminate the semiconductors. However, even with careful design, material selection, and robot operation, particles may still be created by these robots, via their moving parts. Accordingly, there is a need for improved techniques for processing semiconductor substrate materials with very low levels of contamination to maintain the level of defects at acceptable levels. [0005]
  • SUMMARY OF THE INVENTION
  • In a first aspect of the invention, a process robot within an automated semiconductor processing system has a robot arm vertically moveable along a lift rail. The robot arm has a forearm segment extending between an elbow joint and a wrist joint. The robot arm is compact yet has an extended range of travel. The processing system therefore requires less space, allowing for lower cost manufacturing. [0006]
  • In a second aspect, the process robot has a wafer holder on the robot arm laterally offset from the elbow and wrist joints. The process robot can perform both overhand and underhand movements. The elbow and wrist joints, and the forearm segment are constantly positioned to one side of the wafer holder. Risk of contamination of the wafers due to particles from the arm segment or joints is greatly reduced. In addition, the overhand and underhand movement capability of the process robot provides for versatile performance. [0007]
  • It is an object of the invention to provide an automated semiconductor processing system, better designed to keep semiconductor wafers free of contaminants. It is a further object of the invention to provide an automated semiconductor processing system that is versatile, yet compact, to reduce clean room space requirements. [0008]
  • Other objects, features and advantages will appear hereinafter.[0009]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • In the drawings, wherein the same reference number denotes the same element throughout the several views: [0010]
  • FIG. 1 is a top, rear and left side perspective view of the present automated semiconductor processing system; [0011]
  • FIGS. 2 and 3 are front, top, and left side perspective views thereof; [0012]
  • FIG. 4 is a front elevation view thereof; [0013]
  • FIG. 5 is a left side view thereof; [0014]
  • FIG. 6 is a front perspective view of the input/output robot shown in FIG. 3; [0015]
  • FIG. 7 is a rear perspective view thereof; [0016]
  • FIG. 8 is a perspective view of the indexer shown in FIGS. [0017] 1-3, with various components removed for clarity of illustration;
  • FIG. 9 is a perspective view thereof with additional components removed for clarity of illustration; [0018]
  • FIG. 10 is yet another perspective view thereof showing additional details; [0019]
  • FIG. 11 is a leftside view of the indexer shown in FIGS. [0020] 1-3;
  • FIG. 12 is a plan view thereof, with the pallets on the indexer removed, for clarity of illustration; [0021]
  • FIG. 13 is a front view of the indexer shown in FIGS. [0022] 1-3;
  • FIG. 14 is a plan view of the indexer shown in FIGS. [0023] 1-3, and illustrating sequences of movement;
  • FIG. 15 is a perspective view of the elevator shown in FIG. 5, in the lowered position; [0024]
  • FIG. 16 is a perspective view thereof showing the elevator in the raised position; [0025]
  • FIG. 17 is a front perspective view of the process robot shown in FIGS. 2 and 5; [0026]
  • FIG. 18 is a plan view thereof; [0027]
  • FIG. 19 is an enlarged plan view thereof; [0028]
  • FIG. 20 is a rear perspective view of the process robot, with the arm fully withdrawn; [0029]
  • FIG. 21 is a plan view thereof; [0030]
  • FIG. 22 is a side elevation view, in part section, of the process robot; [0031]
  • FIG. 23 is a front perspective view of the process robot; [0032]
  • FIGS. [0033] 24A-24E are schematic illustrations showing various positions of the arm of the process robot;
  • FIG. 25 is a perspective view of a process module, as shown in FIGS. [0034] 3-5;
  • FIG. 26 is a perspective view of the semiconductor process module illustrated in FIGS. 4, 5 and [0035] 25, and having a novel door actuation and sealing mechanism;
  • FIG. 27 is a perspective view of the process module door actuation assembly; [0036]
  • FIG. 28 is a cross-sectional side view of the process module door in an open position; [0037]
  • FIG. 29 is a cross-sectional side view of the process module door in a closed position; [0038]
  • FIG. 30 is a perspective view of a second indexer embodiment; and [0039]
  • FIG. 31 is a reversed perspective view of the indexer shown in FIG. 30, with various components removed, for purposes of illustration.[0040]
  • DETAILED OF DESCRIPTION OF THE DRAWINGS Overview
  • Turning now in detail to the drawings, as shown in FIGS. [0041] 1-5, an automated semiconductor material processing system 50 is installed within a clean room 52. The system 50 has a clean air enclosure or housing 54 having a left side wall 56 with a fixed transparent window 57 to allow viewing of operations within the enclosure 54. Similarly, the enclosure 54 has a front wall 58, as shown in FIG. 2, having a fixed transparent window 59.
  • A loading/[0042] unloading opening 60 in the front wall 58 is closed off during operation of the system 50 by a transparent loading window or panel 62, as illustrated in FIG. 2. Referring to FIGS. 1-5, down draft fans or blowers 80 are provided on top of the enclosure 54, to continuously move clean air room downwardly through the enclosure. A utilities compartment 82 provides space for power supplies, reagent tanks, pumps, and other components well known for semiconductor processing.
  • A [0043] user interface 64, on the front wall 58 provides information and inputs control instructions from the system operator. The user interface is linked to a computer/controller 85, in the utilities compartment 82, or at a remote location. The computer/controller 85 is linked to the various motors and sensors described below, as well as to a facility control computer, to control operation of the system 50.
  • Referring to FIGS. [0044] 1-4, and especially to FIG. 3, the system 50 includes an indexer bay or space 75 extending rearwardly along the left side wall 56. A process bay or space 94 extends along the front wall 58, perpendicularly to the indexer bay 75. The indexer bay or space 75, and a process bay or space 95 are continuous with each other, and are designated and illustrated schematically in FIG. 3, as separate spaces only for purposes of description. Referring to FIGS. 1-5, the loading/unloading window 60 opens through the front wall 58 of the enclosure 54 into the indexer bay 75. An I/O robot 86 in the indexer bay 75 is located largely below the opening 60.
  • An [0045] indexer 72 is provided in the indexer bay 75, generally in alignment with the opening 60. An input plate 132 on the indexer 72 extends over the I/O robot 86 toward the window 60. The indexer 72 preferably holds up to eight cassettes 88 containing flat media, e.g., silicon wafers 90. The cassettes 88 rest on pallets 136 on the indexer 72. The pallets 136 and the I/O plate 132 are vertically positioned at about the same elevation as the bottom of the opening 60. A moving buffer shelf 76 is supported above the cassettes 88 on the indexer 72 via a vertical buffer plate 130 extending up from a center beam in the indexer 72.
  • Referring to FIGS. 2, 3 and [0046] 4, the process bay 95 includes two or more process chambers. In the embodiment shown, the process chambers are a chemical process chamber 68, and a spin/rinser dryer 70. A process robot 66 moves through the process bay 95 to the indexer 72, to carry wafers 90 to or from the chambers 68 or 70.
  • Referring to FIGS. 3 and 5, and momentarily to FIGS. 15 and 16, an [0047] elevator 78 under the indexer 72 lifts the wafers 90 out of the cassettes 88 (2 cassette loads at a time) so that they can be picked up and carried by the process robot 66. As shown in FIG. 16, each cassette 88 preferably holds 25 wafers, with the eight cassette capacity of the indexer 72 holding 200 wafers. The wafers are handled in batches of 50, as the elevator 78 and process robot 66 carry the combined contents of two cassettes simultaneously.
  • The I/O Robot
  • Referring to FIGS. 6 and 7, the I/[0048] O robot 86 has a mounting plate 110 attached to the left side wall 56 or adjacent enclosure structure. A Y-axis rail 112 is supported on the mounting plate 110. A linear actuator 114 on the rail 112 moves an armature 105 in the Y direction, as shown in FIGS. 3 and 6.
  • Referring to FIG. 7, an [0049] X-axis rail 106 on the back of the armature 105 supports a Z-axis or vertical fork rail 102. A vertical fork actuator 104 moves the rail 102 vertically on the armature 105. An x-axis actuator 108 moves the vertical rail 102, along with the vertical actuator 104, in the X or lateral direction. A cassette fork 100 near the top of the vertical rail 102 is adapted to lift a cassette 88 by engaging the cassette side flanges 89.
  • The Indexer
  • Turning now to FIG. 8, the [0050] indexer 72 has a rectangular frame 118 including a bottom plate 120, a front plate 122, a back plate 124, and left and right- end plates 126 and 128. The I/O plate 132 is attached to the right end plate 122, and braced by gussets 134. A center beam 160 divides the indexer into an input row or side 135 and an output row 137. The vertical buffer support plate 130 is positioned and moves back and forth within a centrally located buffer plate slot 144 in the center beam 160. Two pairs of opposing pallet rails 142 extend substantially from the left end plate 126, to the right end plate 128, and provide resting or supporting surfaces for the pallets 136 at the pallet deck or surface 139. Referring momentarily to FIG. 14, the indexer 72 includes 10 pallet positions: A, B, C, D, E, F, G, H, I, and J. The indexer 72 has eight pallets 136, so that two positions, at diagonally opposite corners, are always vacant. Turning to FIG. 12, cutouts 162 in the bottom plate 120 of the indexer 72, at positions C and H, allow air to flow downwardly through the indexer 72. Elevator clearance holes 164 through the bottom plate 120, at positions I and J, provide clearance for the elevator 78.
  • Referring still to FIGS. [0051] 8-14, the indexer 72 includes a X-axis or lateral shift system or assembly generally designated 140, and a longitudinal or a Y-axis shift system or assembly, generally designated 170. These shift systems move the pallets 136 carrying the cassettes 88 around on the indexer 72, as shown in FIG. 14.
  • As best shown in FIG. 9, the [0052] lateral shift system 140 includes a lateral guide block 150, fixed to the indexer frame 118. A lateral shift end fork 146A is supported on the lateral guide block 150, and is driven by a lateral drive motor 154 to step or sequence between positions E and F, as shown in FIG. 14. An end fork air cylinder 152 raises and lowers the end fork 146A between fixed up and down positions which are fixed by mechanical stops. FIGS. 9 and 10 show components of the lateral shift system 140 at the left or inside end of the indexer 72. Similar or duplicate components (the lateral guide block 150; an end fork 146B and a lateral air cylinder 152) are mounted at the right end as well. A lateral shift system linking belt 156 extends around the perimeter of the indexer frame 118, supported by idlers 157, and is attached to the diagonally opposite end forks 146A and 146B. When the lateral drive motor 154 is energized, end fork 146A moves from position F to position E, while end fork 146B simultaneously moves from position A to Position J, and vice versa.
  • Referring still to FIGS. [0053] 8-14, the longitudinal or Y-axis shift assembly 170 of the indexer 72 includes longitudinal guide rails 172 extending parallel to the front and back plates 122 and 124, on either side of the center rail 160. Eight side forks 180B-180J are located at positions B, C, D, E, G, H, I, and J, as best shown in FIG. 12. A side fork actuator or air cylinder 174 is attached to each of the eight side forks 180. The air cylinders 174 are longitudinally displaceable with the side forks 180, as they move back and forth on the longitudinal guide rails 172. The eight side forks 180 are joined together by a longitudinal drive belt 178. The longitudinal drive belt 178 extends in a loop around the perimeter of the indexer frame 118, supported on idlers. The longitudinal drive belt 178 is positioned within the indexer frame 118 vertically above the lateral drive belt 156. A longitudinal or Y-axis drive motor 176 is engaged to the drive belt 178, such that with actuation of the motor 176, all eight side forks 180 move simultaneously. Referring to FIG. 12, when the motor drives side forks 180B-180E in the input row 135 in direction I, the side forks 180G-180J on the opposite side of the center beam 160B, in the output row 137, move in direction O.
  • Referring to FIGS. [0054] 8-11, the indexer 72 also includes a buffer shelf shift system or assembly, generally designated 190. The buffer shift system 190 shifts the vertical buffer plate 130, which supports the buffer shelf 76 from the front position shown in FIG. 9 to the rear position shown in FIG. 8. The buffer shelf 76, shown in phantom in FIG. 8 is omitted from the other figures, for clarity of illustration.
  • Referring primarily to FIG. 10, the [0055] buffer shift system 190 includes a buffer drive motor 198 linked to a buffer drive belt 200 through a flex coupling 196, and a buffer capstan 192. The buffer drive belt 200 extends around the capstan 192 and a buffer belt idler 194, positioned at opposite ends of the buffer plate slot 144. The vertical buffer plate 130 is secured to the buffer drive belt 200. The bottom end of the vertical buffer plate 130 is slidably attached to a buffer plate guide rail 202 underneath the buffer plate slot 144.
  • The [0056] indexer 72 has three sets of sensors 138 at each location A-J. The three sensors at each location may be separate individual sensors, or a single combination sensor. The sensors, at each position, sense whether a pallet is present; whether a cassette is present on a pallet; and whether wafers are present in a cassette. The sensors are linked to a controller or computer and provide status information for each location in the indexer 72. Preferably, optical sensors are used.
  • Turning now to FIGS. 15 and 16, the [0057] elevator 78 has a motor 210 linked to an armature 212 through a lead screw or other rotation to linear drive. Wafer platforms 216 are supported on lift columns 214. Actuation of the motor 210 lifts the armature 212 up along a elevator rail 215, to vertically move the wafers 90 into and out of the cassettes 88. With the wafers 90 lifted out of the cassettes 88 as shown in FIG. 16, they can be picked up by the process robot 66.
  • The Process Robot
  • Turning now to FIGS. [0058] 17-23, the process robot 66 includes a lateral or X-axis rail 250 extending through the process bay 95 and partially into the indexer bay 75. A lift unit 252 is moveable along the lateral rail 250, driven by a magnetic flux linear drive motor 251. A robot arm, 255, is attached to a vertical lift rail 254 on the lift unit 252. An A/C lift motor 257 moves the robot arm 255 vertically along the lift rail 254. As shown in FIG. 23, the cylinder 280 of a gas spring counter balance 278 is attached to the robot arm 255. A piston 282 extending out of the cylinder 280 is attached to the lift unit 252. The gas spring counterbalance 278 exerts a constant upward force on the robot arm 255, to reduce the lifting or braking force that the lift motor 257 must exert to move or position the robot arm 255.
  • Referring still to FIGS. [0059] 17-23, the robot arm 255 has an elbow drive A/C motor 259 within an elbow housing 258. The elbow housing 258 is attached to the slide of the lift rail 254, on the lift unit 252. A forearm 260 is attached to the elbow housing 258 via an elbow joint 256. The forearm 260 is mechanically coupled to the elbow drive motor 259 via a gear reduction 261.
  • A wrist drive A/[0060] C servo motor 265 is contained within a wrist housing 264 pivotably attached to the outer end of the forearm 260 via a wrist joint 262. A wafer holder 268 formed by opposing end effectors 270 is joined to the lower front area of the wrist housing 264. Grooves 274 in the end effectors 270 facilitate engaging, lifting and carrying the wafers 90. A remote camera head 266 positioned on top of the wrist housing 264, and linked to the computer/controller 85, views the positions of the rotor rotainers within the process chambers, as described in U.S. Pat. No. 5,784,797 incorporated herein by reference. The computer/controller can then determine whether the process robot can properly insert the wafers into the process chamber. The camera head 266 is also used to verify that the rotor rotainers are fully locked before processing begins within the process chamber.
  • [0061] Motor amplifiers 275, for driving the wrist drive motor 265, elbow drive motor 259, lift motor 257, and lateral drive motor 251, are contained in and move with the lift unit 252. Locating the motor amplifiers in the lift unit 252 reduces space requirements and cabling requirements.
  • The Process Module
  • Turning now to FIG. 25, a [0062] process module 300 in the process bay 95 includes, for example, the spin rinser dryer 70 and the chemical process chamber 68, 20 although other modules, or additional modules may be used. End effector rinser dryers 302 are provided in the front floor 305 of the process module 300.
  • Referring to FIGS. [0063] 26-29, the process module 300 includes a process vessel 310 which partially encloses a process bowl 314. The process vessel 310 mates with a movable door 512 which can be moved between the closed position shown in solid lines in FIG. 26, and an open position shown in phantom outline.
  • Referring to FIGS. 26 and 27, the [0064] door assembly 500 is aligned in a fixed position parallel to a front wall 502 of the process vessel 310.
  • The [0065] door assembly 500 includes a door plate 510 supporting a door 512 and a door actuator 514 generally designated 514. The door 512 includes a stiffening plate 504 having a viewing window 508 that permits visual inspection of the processing bowl or chamber 314. The door actuator 514 includes a stationary outer cylinder 516 coupled to the door support plate 510, and an extension ring 518. The extension ring 518 is concentrically and slidably positioned inside of the outer cylinder ring 516. The door support plate 510 includes a viewing aperture 520, which aligns with the window 508, when closed, for providing visibility into the processing chamber.
  • Referring to FIGS. 26 and 27, the [0066] door support plate 510 is attached on each side to slideable guide brackets 522. Each guide bracket 522 is slidably mounted to a pneumatic cylinder 524. The cylinders 524 are connected to the front wall 502 of the processing vessel via mounting plates 528. The combination of the guide brackets 522, the cylinders 524, and the mounting plates 528 provides a rigid door mounting construction that needs no additional guides or support blocks. The guide brackets 522 are mounted for substantially vertical movement so that the door assembly can be moved between an open position to allow access into the bowl of the processor, and a closed position wherein the door assembly is in substantially concentric alignment with the bowl 314. In the closed position, the door can be extended and sealed against the bowl 314 of the processor.
  • Referring to FIGS. 28 and 29, an annular [0067] inner hub 530 has an annular flange 532 and a cylinder 534. The annular flange 532 is attached to the door support plate 510. A plurality of fasteners secure the outer cylinder ring 516 and the annular flange 532 concentrically to the mounting plate 510.
  • The [0068] extension ring 518 is concentrically positioned between the hub 530 and the outer cylinder ring 516, and includes a U-shaped portion 519 that defines an annular guide receptacle 520. The cylinder 534 fits within the annular guide receptacle 520. The extension ring 518 also includes an annular end face 540, as shown in FIG. 28. The extension ring 518 is displaceable with an annular chamber 542 defined by the cylinder 534 and the other cylinder ring 516, to seal and unseal the bowl 314.
  • The [0069] extension ring 518 bifurcates the chamber 542 into two operative compartments: a retraction chamber 543 and an extension chamber 544. Each chamber is adapted to hold pneumatic or hydraulic fluid and act as pneumatic or hydraulic cylinder. Multiple annular seals 550 are positioned on or against the extension ring 518 to seal the chambers 543 and 544.
  • Separate fluid supply conduits are preferably provided to the [0070] retraction chamber 543 and the extension chamber 544 to increase or decrease fluid pressure within the respective chambers and effectuate movement of the extension ring 518. As shown in FIG. 28, when hydraulic fluid is supplied to the extension chamber 544, the extension ring 518 moves away from the door support plate 510. Movement of the extension ring 518 into the extended position shown in FIG. 28 moves the door 512 into sealing engagement with the access opening 506 of the processor bowl, thereby sealing the process module 300.
  • An [0071] annular door seal 551 is mounted on the periphery of the door 512. The door seal includes a lip 552 and a tongue 554. When the door is in the closed position shown in FIG. 28, the lip 552 of the door seal lies in a plane that is within the front wall of the processor, and the tongue presses in sealing engagement against the outside rim of the process bowl 314 thereby making a seal between the door 512 and the process bowl 314. The door seal also preferably includes a flange 555 which acts as a stop for the door seal.
  • The combination of the [0072] extension ring 518 and the door seal 550 provides a highly reliable and effective door closing and sealing mechanism. Piston-like movement of the ring 518 allows it to move the door 512 straight outwardly from the support plate without bowing or bending, and without the need for peripheral adjustments to ensure smooth movement. By seating against the outside rim of the process bowl, the tongue provides an effective fluid tight seal and automatically compensates for any misalignment between the door and the processor.
  • The [0073] inner hub 530 and the outer cylinder ring 516, are rigidly attached to the door plate 510. The door plate, in turn, is fixed relative to the process bowl 514, via the connection of the door plate 510, to the cylinders 524, to the front wall 502. Consequently, as the extension ring 518 moves outwardly away from the door plate 510, it can press tightly against and seal the bowl 514.
  • Operation
  • In use, the operator of the [0074] system 50 initiates a loading sequence by entering commands via the user interface 64. The window panel 62 drops down, thereby opening the loading window 60. The operator places a cassette 88 filled with wafers 90 onto the I/O plate 132. The cassette 88 may be initially placed on the I/O plate 132 by a human operator or by another robot. The cutout 133 in the I/O plate positions the cassette 88, so that it may be lifted by the I/O robot, and also allows air to flow downwardly over the wafers 90 in the cassette 88.
  • The [0075] fork 100 of the I/O robot 86 is initially in the same X-Y position as the I/O plate 132. The vertical fork motor or actuator 14 raises the fork 100, until the fork has engaged the side flanges 89 of the cassette 88. The I/O robot 86 then lifts the cassette 88 vertically off of the I/O plate 132, shifts laterally (in the X direction) towards the left side wall 56, via actuation of the lateral motor 108. This movement aligns the now lifted cassette with the input row of the indexer. The I/O robot 86 then moves the lifted cassette longitudinally (in the Y-direction) toward the indexer, until the cassette is aligned above a pallet in position A, via the Y-axis motor 114. The I/O robot then sets the cassette 88 down on the pallet 136 at position A on the indexer 72. If there is no pallet at position A, the indexer 72 must first be sequenced, as described below, to bring a pallet into position A. The I/O robot then returns the fork 100 to its initial position.
  • With a [0076] first cassette 88 resting on a pallet 136 at position A, which is the cassette loading position, the longitudinal shift system 170 moves the side forks 180B-j (in the direction of arrow O in FIG. 12) until the side fork 180B is underneath the pallet 136 and cassette 88 in position A. The end forks 146A and 146B have down or at-rest positions below the down or at-rest positions of the side forks 180B-J, so that the side forks 180B, 180E, 180G, and 180J can move into the end positions A, E, F and J, without interfering with the end forks 146A and 146B. As all of the side forks 180B-J are attached to the longitudinal drive belt 178, they all necessarily move together in the Y direction.
  • With the [0077] side fork 180B underneath the first cassette 88 in position A, the eight side fork air actuators or cylinders 174 are extended, causing the side forks 180 to lift the pallets above them up and off of the pallet deck 139. With the pallets in the up position, the longitudinal drive motor 176 turns in the opposite direction, moving side fork 180B, now carrying the first cassette 88 on a pallet, from position A to position B. The air cylinders 174 are then retracted to lower the pallet 136 and cassette 88 down into position B. After this movement is completed, there is no pallet at position A. As all of the side fork actuators 174 are controlled to move simultaneously, all of the side forks 180B-J necessarily move together in the vertical Z-axis direction.
  • To continue loading or sequencing the [0078] indexer 72, the longitudinal drive motor 176 is again energized to move side fork 180B back towards position A, and thereby move side fork 180J from position J back to position 1. During this movement, the side fork air cylinders 174 are down, so that there is no pallet movement. Rather, the side forks are merely repositioned below the pallets. The side forks are moved, in this step, enough to avoid interfering with the end forks, and not necessarily one complete position. With the side fork 180J now clear of position J, the lateral drive motor 154 is energized to move the end fork 146B from position A to position J, and to simultaneously move the end fork 146A from position F to position E. Once under position J, the lateral air cylinders 152 are extended, lifting end fork 146B, and the pallet at position J, and simultaneously lifting end fork 146A to lift the pallet at position E. The lateral drive motor 154 is then energized in the reverse direction (direction L in FIG. 12) and via the lateral belt 156, the end fork 146B carries the pallet from position J to position A, and simultaneously, the end fork 146A carries a pallet from position E to position F. The lateral air cylinders 152 are then retracted, to lower the pallets into positions A and F on the indexer deck 139.
  • With a second pallet in position A, the [0079] indexer 72 is ready to receive a second cassette 88. After a second cassette is positioned on the I/O plate 132, the I/O robot 86 repeats the indexer loading sequence of cassette movements, so that the second cassette is placed on the indexer at position A.
  • The foregoing sequence of steps is repeated until a cassette is loaded onto each of the eight pallets in the indexer. As the indexer has ten positions A-J, and eight pallets, two diagonally opposite corner positions, either positions A and F, or positions E and J, will, at any given time, not have a pallet. [0080]
  • After the first and [0081] second cassettes 88 loaded into the indexer 72 arrive at positions I and J, the elevator 78 is energized, lifting the wafer platforms 216 on the lift columns 214 up through the open bottom of the cassettes 88. The wafers 90 in the cassettes are lifted to an elevated access position, as shown in FIG. 16, where they are now ready to be picked up by the process robot 66.
  • The [0082] window panel 62 moves up to close off the loading window 60, to prevent an operator from inadvertently coming into contact with moving components within the enclosure 54.
  • Referring now to FIGS. 20, 21 and [0083] 24B, the process robot 66 moves to lift the wafers 90 off of the elevator 78. Specifically, the lateral drive flux motor 251 moves the lift unit 252 laterally until the wafer holder 268 is properly aligned with the wafers 90 on the elevator 78. With appropriate control of the lift motor 257, the elbow drive motor 258, and the wrist drive motor 265, the wafer holder 268 is moved in until the end effectors 270 are positioned and aligned on either side of the wafers 90, with the grooves 274 in the end effectors 270 each aligned to receive a wafer. As shown in FIG. 24B, this wafer engagement movement is an underhanded movement of the robot arm 255. The wafer holder 268 is moved up to lift the wafers 90 off of the elevator 78. The robot arm 255 then withdraws to the position shown in FIG. 24C. As the forearm has a 370° range of movement, and robot arm 255 is offset from the lift unit, the robot arm can be fully backed away from the indexer, with only minimal clearance space required, as shown in FIGS. 20 and 21. By appropriate control of the motors in the robot arm, the wafers are maintained in a vertical or near vertical position.
  • To deliver the [0084] wafers 90 to a process chamber, the lateral drive motor 251 is energized to move the lift unit 252 so that the wafers in the wafer holder 268 are brought into alignment with the selected process chamber. The robot arm 255 is raised up on the lift unit by the lift motor 257. In addition, the forearm 260 is pivoted upwardly via the elbow drive motor 259. Simultaneously, the wrist drive motor 265 is driven in an opposite direction to bring or maintain the wafer holder in an approximately 10° down incline orientation, as shown in FIG. 22. Using an overhand movement, as shown in FIG. 24A, the forearm is pivoted downwardly to extend the wafer holder carrying the wafers into the process chamber. The robot arm 255 then withdraws from the process chamber.
  • To clean the [0085] end effectors 270, the wrist drive motor 265 is controlled to orient the end effectors vertically, as shown in FIG. 24D. With the end effectors aligned with the end effector rinser/dryer 302, the lift motor 257 lowers the entire robot arm 255, to extend the end effectors into the end effector rinser/dryer 302. After the end effectors 270 are cleaned and dried, they are withdrawn from the end effector rinser/dryer 302 and positioned to remove wafers from either process chamber, or to pick up additional batches of wafers from the indexer for delivery to a process chamber. As the end effectors are cleaned at the process chamber rather than at another location, processing time can be reduced, because this cleaning step is accomplished without the need to move the process robot.
  • As is apparent from e.g., FIG. 23, the [0086] wafer holder 268 is offset to one side of the wrist joint 262 and elbow joint 256, as well as the other components of the process robot 66. No part of the process robot 66 is ever positioned directly above the wafers. As air is blown downwardly in the enclosure 54, any particles generated or released by the process robot 66 will not come into contact with the wafers. As a result, the potential for contamination of the wafers during processing is reduced.
  • Referring to FIGS. [0087] 24A-24E, the process robot 66 has an elbow joint 256 and a wrist joint 262, joined by a single segment or forearm 260. Consequently, in contrast to earlier known systems having shoulder, elbow and wrist joints, joined by two arm segments, the process robot 66 achieves a range of vertical reach via movement of the robot arm 255 on the lift rail 254, rather than by articulation of arm segments. This allows the process robot 66 to be very compact, while still achieving sufficient ranges of movement. Correspondingly, the entire enclosure 54 can be made more compact.
  • As the [0088] process robot 66 can perform both underhanded and overhanded movements, the vertical travel necessary on the lift rail 254 is limited. In addition, the ability to perform both underhanded and overhanded movements allows the forearm 260 to be relatively short, which also contributes to a compact enclosure 54.
  • Referring to FIGS. 1 and 16, the [0089] buffer shelf 76 moves forward (in direction O in FIG. 17) when the elevator 78 is in the down position, to receive up to 50 wafers. The buffer shelf 76 holds the wafers until the appropriate empty cassette 88 is moved into the I and J positions, so that the process robot 66 can move the disks from the buffer shelf 76 into the cassettes at positions I and J. When the buffer shelf 76 is not being loaded or unloaded with wafers, it remains in the back position (moved in direction I), so as not to interfere with operation of the elevator 78. The buffer shelf 76 temporarily holds already processed wafers, so that the process robot 66 can access and move the next batch of wafers for placement into the process chambers, before off loading already processed wafers back into the indexer. This ensures that the process chambers are constantly supplied with wafers for processing
  • Second Indexer Embodiment
  • As shown in FIGS. 30 and 31, a [0090] second embodiment indexer 600 includes a box frame 602 formed by side walls 604 and 606, a front end wall 608, and a back end wall 610, joined to each other, and to a base plate 612. An input plate 614 extends outwardly from the front end wall 608. A center wall 616 and lateral ribs 666, divide the indexer 600 into first and second rows R1 and R2, with each row having 5 pallet positions or stations, i.e., A-E and F-J, as shown in FIG. 12. The center wall 616 is supported in the box frame 602 via support bars 615 extending from the center wall 616 to the side walls 604 and 606.
  • Referring to FIG. 30, a [0091] buffer assembly 618 includes a buffer side plate 620 attached to the inner side wall 606. A buffer tray 622 has combs 624 on comb arms 626. The buffer tray 622 is supported on a buffer tray support 630. The tray support 630 in turn is slidably mounted on upper and lower buffer rails 632 and 634, on the buffer side plate 620. Linear bearings 636 on the tray support 630 allow for low friction movement of the tray support 630 along the rails 632 and 634.
  • A [0092] buffer drive belt 642 extends around a buffer drive motor 638 and an end pulley 640. The buffer drive belt 642 is attached to the buffer tray support, so that rotational movement of the motor 638 causes translational (y-axis) movement of the buffer tray support 630 along the rails 632 and 634. Locating the buffer assembly 618 on the side of the indexer 600, as shown in FIG. 30, allows for a more compact design, in comparison to the centrally located buffer assembly of the first indexer embodiment 72 shown in FIG. 8.
  • Referring to FIGS. 30 and 31, the [0093] indexer 600 includes a longitudinal or y-axis shift assembly, generally designated 650, and a lateral or x-axis shift assembly, generally designated as 652.
  • Referring to FIG. 31, the y-[0094] axis shift assembly 650 includes 2 side by side and parallel y-axis frames 660. Each y-axis frame 660 includes an inner frame plate 662, adjacent and attached to the center wall 616, and an outer frame plate 664, supported on the side walls 604 and 606. The lateral ribs 666 are attached to and extend between the inner frame plate 662 and outer frame plate 664, in both of the y-axis frames 660.
  • [0095] End rollers 674 are rotatably mounted at the ends of each of the inner and outer frame plates 662 and 664 (for a total of 8 end rollers 674). Idler rollers 676 are spaced apart and rotatably mounted on the frame plates 662 and 664, between the end rollers 674, on each frame plate 662 and 664. An endless toothed belt 670 is mounted over the end rollers 674 and idler rollers 676 on each frame plate 662 and 664 (for a total of 4 endless toothed belts 670). The teeth 672 on the belts 670 face outwardly, so that the smooth inside or back surface of the belts 670 contact the end rollers 674 and idler rollers 676. While for illustration purposes, the teeth 672 are shown only at sections of the belts 670, the belts 670 actually have continuous teeth 672 all around. In addition, for illustration purposes, the rollers and belt in the foreground of FIG. 31 have been omitted from the drawing.
  • Referring still to FIG. 31, a y-[0096] axis drive motor 680 supported on the center wall 616 is linked or engaged to a gear unit 682, which turns drive shafts 684A and 684B (in the side by side parallel y-axis frames 660) at equal speeds, but in opposite directions. The drive shafts 684A and 684B turn drive sprockets 686, which in turn drive the belts 670. The outside toothed surface of the belts 670 wraps around the drive sprockets 686, and around an idler sprockets 688, as shown in dotted line in FIG. 31.
  • As shown in FIG. 31, an [0097] x-axis sensor pair 690, and a y-axis sensor pair 696, is provided at each of the ten pallet stations or locations A-J, delineated by the lateral ribs 666. The x-axis sensor pair includes an infrared transmitter 692 and an infrared detector 694, laterally aligned with each other (on a line perpendicular to the frame plates 662 and 664). Similarly, the y-axis sensor pair 696 includes a y-axis infrared transmitter 698 and a y-axis infrared detector 700, located generally centrally on the lateral ribs 666, and aligned with each other (on a line parallel to the frame plates 662 and 664).
  • A reflective [0098] optical sensor 702 is provided in the side walls 604 and 606, at each of the pallet positions A-J. The sensor pairs 690 and 696 and optical sensor 702 are linked to the controller 85, which monitors and controls operations of the indexer 600.
  • Referring back to FIG. 30, 8 [0099] rectangular pallets 710 are provided in each row R1 and R2 of the indexer 600, so that four of the pallet positions in each row are always occupied by a pallet 710, and one end position adjacent to end wall 608 or 610 is always open. Each pallet 710 has a rectangular pallet cutout or opening 712. Pallet tooth racks 715, shown in dotted line in FIG. 30, are provided on the bottom surface of the pallets 710. The tooth rack 715 extends along both of the longer sides of the pallet 710. The toot rack 715 has teeth matching the size and pitch of the teeth 672 on the belts 70. Consequently, when a pallet 710 is placed on a y-axis frame 660, the teeth on the pallet tooth rack 715 positively engage the teeth 672 on the belts 670, so that the pallet is substantially locked against y-axis movement relative to the belts 670.
  • Each [0100] pallet 710 has a pair of x-axis and y-axis prisms. Specifically, an x-axis transmitter prism 714 is longitudinally aligned with an x-axis detector prism 716, on each pallet 710, as shown in FIG. 30. Similarly, a y-axis transmitter prism 718 is laterally aligned with a y-axis detector prism 720, on each pallet 710. With the pallet 710 in any one of the ten pallet positions A-J in the indexer 600, the x-axis transmitter prism 714 and x-axis detector prism 716 are vertically directly above or aligned with the x-axis infrared transmitter 692 and x-axis infrared detector 694, respectively, in that pallet position. Similarly, the y-axis transmitter prism 718 and y-axis detector prism 720 are vertically directly above and aligned with the y-axis infrared transmitter 698 and the y-axis infrared detector 700, in that pallet position.
  • Referring to FIG. 31, the [0101] idler rollers 676 have roller flanges 678 which protrude vertically above the belts 670. The roller flanges 678 fit into roller grooves 675 (shown in dotted line in FIG. 30) on the bottom surface of each pallet 710. The engagement of the roller flanges 678 into the grooves 675 prevents any x-axis movement of the pallets 710 (unless the pallet 710 is lifted vertically.) Accordingly, the pallets 710 are vertically supported on both the belts 670 and roller flanges 678.
  • The [0102] indexer 600 has an x-axis shift assembly 652 substantially the same as the x-axis shift assembly or shift system 140 shown in FIGS. 9 and 10 and described above, and is therefore not further described or illustrated here. However, rather than the end fork air cylinder 152 used in the x-axis shift system 140, the x-axis shift assembly 652 in the indexer 600 has a pallet lift electric motor 654, for better control of pallet lift movement.
  • The operation and sequencing of the [0103] indexer 600 is similar to that of the indexer 72 described above with reference to FIGS. 8-12. However, pallet movement along the y-axis is achieved via the computer controller 85 controlling the y-axis drive motor 680 to incrementally move, or index, the belts 670. During movement in the y-axis, the pallets 710 remain on the belts 670 and rollers 676. As a result, unlike the indexer 72, in the indexer 600, shown in FIGS. 30 and 31, there is no vertical movement of pallets 710, as the pallets move in the y-axis direction, between pallet stations.
  • X-axis movement of the [0104] pallets 710 at the ends of the indexer 600, is similar to the movement described above for the indexer 72 and is therefore not further described here.
  • At each pallet position, the [0105] optical sensor 72 detects the presence or absence of a pallet 710 via detecting the presence or absence of reflected light. In addition, at each pallet position A-J, the x-axis sensor pair 690 detects the presence or absence of a cassette 88. Specifically, the infrared transmitter 692 projects a light beam vertically upwardly. The light beam passes through the x-axis transmitter prism 714, on each pallet 710, which bends the light beam 90°, so that the light beam is then projected horizontally inwardly towards the x-axis detector prism 716. If a cassette 88 is present on the pallet 710, the light beam will be blocked by the cassette 88, and the x-axis detector 694 will not detect any infrared light, indicating presence of a cassette 88. On the other hand, if the pallet 710 has no cassette 88 on it, infrared light from the transmitter 692 passes through the x-axis transmitter prism 714, passes over the pallet 710, and is redirected downwardly by the x-axis detector prism 716, so that the infrared light is directed to and detected by the x-axis infrared detector 694, indicating the absence of a cassette 88.
  • The y-[0106] axis sensor pair 696 works in a similar way, to detect the presence or absence of wafers in the cassette 88. With a cassette 88 on a pallet 710, infrared light from the y-axis transmitter 698 is projected vertically upwardly, and is turned 90° by the y-axis transmitter prism 718, so that the light projects through a slot or tunnel 725 at the bottom of the cassette 88. If no wafers or other flat media are present in the cassette 88, the light travels entirely through the tunnel 75, is redirected downwardly by the y-axis detector prism 720, and is detected by the y-axis detector 700, indicating absence of any wafers in the cassette 88. If a wafer is in the cassette 88, the bottom edge of the wafer projects downwardly through the tunnel 725, preventing light from passing through the tunnel. Accordingly, the presence of any wafer in the cassette 88 will block the light from the y-axis transmitter 698, so that the y-axis detector 700 detects no light, indicating presence of at least one wafer in the cassette 88.
  • Operation of the [0107] buffer assembly 618 in the indexer 600 is similar to operation of the buffer assembly 76, described above, and shown in FIG. 8. However, locating the buffer assembly 618 at the side of the indexer 600 allows for a more compact design. Use of the belts 670 provides for faster and more reliable pallet movement, in contrast to the indexer 72 shown in FIGS. 8-16. Use of the prisms 714-720 allows for detection of cassettes and wafers, without requiring lifting of the pallets.
  • Thus, a novel automated semiconductor processing system has been shown and described. Various changes can of course be made without departing from the sprit and scope of the invention. The invention, therefore, should not be limited, except by the following claims and their equivalents. [0108]

Claims (21)

1. A process robot for moving articles in an automated processing system, comprising:
a lift unit;
an elbow joint on the lift unit;
a forearm attached to the lift unit at the elbow joint;
a wrist joint attached to the forearm;
an end effector for holding articles to one side of the forearm and attached to the forearm at the wrist joint, with the process robot moveable into an overhand position wherein the wrist joint is above the elbow joint, and moveable into an underhand position wherein the wrist joint is below the elbow joint.
2. The process robot of claim 1 further comprising a vertical lift rail on the lift unit, with the elbow joint moveable along the lift rail via a lift motor.
3. The process robot of claim 1 wherein the end effector is displaced to one side of the wrist joint and the elbow joint, so that neither the wrist joint or the elbow joint is positionable vertically above the end effector, regardless of the orientation of the process robot.
4. The process robot of claim 1 further comprising an elbow drive motor in the elbow joint and linked to the forearm, for pivoting the forearm relative to the lift unit.
5. The process robot of claim 1 further comprising a wrist joint motor in the wrist joint, for pivoting the end effector relative to the forearm.
6. The process robot of claim 5 further comprising a motor amplifier in the lift unit and electrically connected to the wrist joint motor.
7. The process robot of claim 1 further comprising a lateral rail, and a linear drive motor for moving the lift unit along the lateral rail.
8. The process robot of claim 1 further comprising a pair of spaced apart wafer holders on the end effector.
9. The process robot of claim 1 further comprising a counterbalance exerting an upward force on the elbow.
10. The process robot of claim 9 where the counterbalance comprises a gas spring.
11. A process robot for moving articles in an automated processing system, comprising:
a lateral rail;
a lift unit moveable horizontally along the lateral rail;
an arm assembly supported and moveable vertically on the lift unit, with the arm assembly including an elbow joint, a forearm attached to the elbow joint, a wrist joint on the forearm, and an end effector attached to the wrist joint, for holding articles to one side of the wrist joint, the forearm and the elbow joint.
12. The process robot of claim 11 further comprising a vertical lift rail on the lift unit, with the arm assembly moveable along the lift rail via a lift motor.
13. The process robot of claim 11 further comprising an elbow drive motor in the elbow joint and linked to the forearm, for pivoting the forearm relative to the lift unit.
14. The process robot of claim 11 further comprising a wrist joint motor in the wrist joint, for pivoting the end effector relative to the forearm.
15. The process robot of claim 11 further comprising counterbalance means on the lift unit for constantly exerting a counterbalancing upward force on the arm assembly.
16. A process robot for moving articles in an automated processing system, comprising:
a lateral rail;
a lift unit supported on the lateral rail;
a liner drive motor for moving the lift unit horizontally along the lateral rail;
an arm assembly supported on the lift unit;
a lift motor in the lift unit for moving the arm assembly vertically on the lift unit;
with the arm assembly including an elbow drive motor within an elbow housing, a forearm having a first end attached to the elbow drive motor, a wrist drive motor within a wrist housing on a second end of the forearm, and an end effector attached to the wrist drive motor, for holding articles to one side of the wrist housing, the forearm and the elbow housing; and
a counterbalance attached to the lift unit and the arm assembly.
17. The process robot of claim 16 further comprising a vertical lift rail on the lift unit, with the arm assembly moveable along the lift rail via a lift motor in the lift unit.
18. The process robot of claim 16 further comprising a gear reduction between the elbow drive motor and the forearm.
19. The process robot of claim 16 wherein the elbow housing can move only linearly up and down on the lift unit.
20. The process robot of claim 16 wherein all movements of the process robot are performed only via one or more of the linear drive motor, the lift motor, the elbow drive motor, and the wrist drive motor.
21. A robot unit for use in an automated processing system, comprising:
a robot arm assembly having an article support for holding articles, first rotary drive means connecting the article support to an arm segment, and second rotary drive means connected with the arm segment;
lifting means for moving the entire robot arm assembly vertically; and
lateral drive means for moving the entire robot arm assembly and the lifting means horizontally.
US09/932,719 1997-12-19 2001-08-17 Automated semiconductor processing system Abandoned US20020044855A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/932,719 US20020044855A1 (en) 1997-12-19 2001-08-17 Automated semiconductor processing system

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US08/994,737 US6447232B1 (en) 1994-04-28 1997-12-19 Semiconductor wafer processing apparatus having improved wafer input/output handling system
US09/112,259 US6273110B1 (en) 1997-12-19 1998-07-08 Automated semiconductor processing system
US09/274,511 US6279724B1 (en) 1997-12-19 1999-03-23 Automated semiconductor processing system
US09/932,719 US20020044855A1 (en) 1997-12-19 2001-08-17 Automated semiconductor processing system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/274,511 Continuation US6279724B1 (en) 1996-03-26 1999-03-23 Automated semiconductor processing system

Publications (1)

Publication Number Publication Date
US20020044855A1 true US20020044855A1 (en) 2002-04-18

Family

ID=26809757

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/274,511 Expired - Lifetime US6279724B1 (en) 1996-03-26 1999-03-23 Automated semiconductor processing system
US09/932,719 Abandoned US20020044855A1 (en) 1997-12-19 2001-08-17 Automated semiconductor processing system

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/274,511 Expired - Lifetime US6279724B1 (en) 1996-03-26 1999-03-23 Automated semiconductor processing system

Country Status (6)

Country Link
US (2) US6279724B1 (en)
EP (1) EP1109632A4 (en)
JP (1) JP2002520140A (en)
CN (1) CN1126610C (en)
TW (1) TW507265B (en)
WO (1) WO2000002675A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050211169A1 (en) * 2004-03-24 2005-09-29 Jae-Wook Choi Apparatus for manufacturing substrate
US20120251967A1 (en) * 2011-03-29 2012-10-04 Tokyo Electron Limited Loading unit and processing system

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6471460B1 (en) * 1996-07-15 2002-10-29 Semitool, Inc. Apparatus for processing a microelectronic workpiece including a workpiece cassette inventory assembly
US20030051972A1 (en) * 1997-05-05 2003-03-20 Semitool, Inc. Automated immersion processing system
US20030051974A1 (en) * 1997-05-05 2003-03-20 Semitool, Inc. Automated semiconductor processing system
US6572320B2 (en) * 1997-05-05 2003-06-03 Semitool, Inc. Robot for handling workpieces in an automated processing system
US7067018B2 (en) 1997-05-05 2006-06-27 Semitool, Inc. Automated system for handling and processing wafers within a carrier
US6439824B1 (en) 2000-07-07 2002-08-27 Semitool, Inc. Automated semiconductor immersion processing system
US6736148B2 (en) * 1997-05-05 2004-05-18 Semitool, Inc. Automated semiconductor processing system
US6454514B2 (en) 1998-07-08 2002-09-24 Semitool, Inc. Microelectronic workpiece support and apparatus using the support
JP3513437B2 (en) * 1999-09-01 2004-03-31 キヤノン株式会社 Substrate management method and semiconductor exposure apparatus
US6629053B1 (en) * 1999-11-22 2003-09-30 Lam Research Corporation Method and apparatus for determining substrate offset using optimization techniques
US6502054B1 (en) 1999-11-22 2002-12-31 Lam Research Corporation Method of and apparatus for dynamic alignment of substrates
JP2001291698A (en) * 2000-04-10 2001-10-19 Nec Corp Apparatus for treatment and method therefor
CH714282B1 (en) * 2000-07-06 2019-04-30 Murata Machinery Ltd Storage system with conveyor elements.
AU2001268656A1 (en) * 2000-07-07 2002-01-21 Semitool, Inc. Automated processing system
US20040025901A1 (en) * 2001-07-16 2004-02-12 Semitool, Inc. Stationary wafer spin/spray processor
WO2003008114A1 (en) * 2001-07-16 2003-01-30 Semitool, Inc. Systems and methods for processing workpieces
US6668844B2 (en) 2001-07-16 2003-12-30 Semitool, Inc. Systems and methods for processing workpieces
WO2003043060A2 (en) 2001-11-13 2003-05-22 Fsi International, Inc. Reduced footprint tool for automated processing of substrates
EP1460676A3 (en) * 2001-11-13 2005-10-26 FSI International, Inc. Reduced footprint tool for automated processing of microelectronic substrates
GB0204882D0 (en) * 2002-03-01 2002-04-17 Trikon Technologies Ltd Pedestal
JP4033689B2 (en) * 2002-03-01 2008-01-16 東京エレクトロン株式会社 Liquid processing apparatus and liquid processing method
US6893505B2 (en) 2002-05-08 2005-05-17 Semitool, Inc. Apparatus and method for regulating fluid flows, such as flows of electrochemical processing fluids
US6830057B2 (en) * 2002-11-01 2004-12-14 Semitool, Inc. Wafer container cleaning system
US7289734B2 (en) * 2002-12-24 2007-10-30 Tropic Networks Inc. Method and system for multi-level power management in an optical network
JP4606195B2 (en) 2004-03-08 2011-01-05 富士フイルム株式会社 Liquid crystal compound, liquid crystal composition, polymer, retardation plate, and elliptically polarizing plate
JP2008525125A (en) * 2004-12-22 2008-07-17 インテリジェント ホスピタル システムズ リミテッド Automatic dispensing system (APAS)
US7783383B2 (en) * 2004-12-22 2010-08-24 Intelligent Hospital Systems Ltd. Automated pharmacy admixture system (APAS)
JP4613079B2 (en) 2005-03-04 2011-01-12 富士フイルム株式会社 Liquid crystal composition, retardation plate and elliptically polarizing plate
DE102005017945A1 (en) * 2005-04-18 2006-10-19 Paul Hartmann Ag First aid kit to be stored in vehicle, comprising plastic cover with vacuum created inside for size reduction
US8821099B2 (en) * 2005-07-11 2014-09-02 Brooks Automation, Inc. Load port module
US7931859B2 (en) 2005-12-22 2011-04-26 Intelligent Hospital Systems Ltd. Ultraviolet sanitization in pharmacy environments
ITBO20060559A1 (en) * 2006-07-26 2008-01-27 Tissue Logistics Solutions S P A MACHINE FOR THE PRODUCTION OF GROUPS OF ROLLED PRODUCTS.
US9117859B2 (en) 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus
US7602562B2 (en) 2007-05-21 2009-10-13 Electro Scientific Industries, Inc. Fluid counterbalance for a laser lens used to scribe an electronic component substrate
US8271138B2 (en) 2007-09-12 2012-09-18 Intelligent Hospital Systems Ltd. Gripper device
US8225824B2 (en) 2007-11-16 2012-07-24 Intelligent Hospital Systems, Ltd. Method and apparatus for automated fluid transfer operations
JP4515507B2 (en) * 2008-01-31 2010-08-04 東京エレクトロン株式会社 Plasma processing system
DE102008027861A1 (en) * 2008-06-11 2009-12-17 Vistec Semiconductor Systems Jena Gmbh Device for holding disc-shaped objects
SG172325A1 (en) 2008-12-23 2011-07-28 Xoma Technology Ltd Flexible manufacturing system
US8386070B2 (en) 2009-03-18 2013-02-26 Intelligent Hospital Systems, Ltd Automated pharmacy admixture system
US8626329B2 (en) * 2009-11-20 2014-01-07 Agr Automation Ltd. Product assembly system and control software
CN104245885B (en) 2012-04-20 2016-09-07 Lg化学株式会社 Polymerisable liquid crystal compound, polymerizable liquid crystal composition and optically anisotropic body
CN102921677B (en) * 2012-10-24 2015-06-03 深圳创维-Rgb电子有限公司 Automatic brushing and decontaminating integrated machine for power supply boards
SG11201503659QA (en) 2012-11-28 2015-06-29 Acm Res Shanghai Inc Method and apparatus for cleaning semiconductor wafer
AT515531B1 (en) * 2014-09-19 2015-10-15 Siconnex Customized Solutions Gmbh Mounting system and feeding method for disc-shaped objects
KR101734241B1 (en) * 2015-12-10 2017-05-11 현대자동차 주식회사 Trunk lid hinge intellectual loader unit
TWI674930B (en) * 2017-04-18 2019-10-21 韓商Sti股份有限公司 Apparatus for cleaning container

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4096766A (en) * 1975-06-13 1978-06-27 Sofermo Self-contained modular joint, notably for robots
US4667081A (en) * 1985-02-04 1987-05-19 Spectra-Physics, Inc. Apparatus for changing the direction of a light beam passing through an articulated joint
US4806057A (en) * 1986-04-22 1989-02-21 Motion Manufacturing, Inc. Automatic wafer loading method and apparatus
US5520501A (en) * 1991-01-25 1996-05-28 Kabushiki Kaisha Toshiba Wafer holding apparatus
US6092971A (en) * 1996-10-09 2000-07-25 Staeubli Ag Wafer gripping device adapted to swivel wafers taken from a horizontal position in a storage container

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL176841C (en) * 1975-03-04 1985-06-17 Philips Nv TRANSPORTATION DEVICE FOR TEST SAMPLE CARRERS, AND THESE CARRIERS.
US4506777A (en) * 1978-12-04 1985-03-26 Beckman Instruments, Inc. Sample handling apparatus
EP0047132B1 (en) 1980-09-02 1985-07-03 Heraeus Quarzschmelze Gmbh Method of and apparatus for transferring semiconductor wafers between carrier members
DE3114032C2 (en) * 1981-04-07 1983-04-14 Gerd 8151 Osterwarngau Stückler Parts feed for an assembly table
JPS59114206A (en) * 1982-12-21 1984-07-02 Toshiba Corp Pallet conveyor device
US4568234A (en) 1983-05-23 1986-02-04 Asq Boats, Inc. Wafer transfer apparatus
JPS63219134A (en) 1987-03-09 1988-09-12 Mitsubishi Electric Corp Wafer handling device of diffusion furnace
US5030057A (en) * 1987-11-06 1991-07-09 Tel Sagami Limited Semiconductor wafer transferring method and apparatus and boat for thermal treatment of a semiconductor wafer
US5431421A (en) 1988-05-25 1995-07-11 Semitool, Inc. Semiconductor processor wafer holder
US5064337A (en) 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
JPH02286510A (en) * 1989-04-28 1990-11-26 Tsubakimoto Chain Co Bogie connection type conveyor
US5203445A (en) * 1990-03-17 1993-04-20 Tokyo Electron Sagami Limited Carrier conveying apparatus
US5186594A (en) 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5232328A (en) 1991-03-05 1993-08-03 Semitool, Inc. Robot loadable centrifugal semiconductor processor with extendible rotor
JPH081923B2 (en) 1991-06-24 1996-01-10 ティーディーケイ株式会社 Clean transfer method and device
JPH05146984A (en) 1991-07-08 1993-06-15 Murata Mach Ltd Robot for handling wafer cassette
US5215420A (en) 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
NL9200446A (en) 1992-03-10 1993-10-01 Tempress B V Apparatus for handling microcircuit disks (wafers).
US5378145A (en) 1992-07-15 1995-01-03 Tokyo Electron Kabushiki Kaisha Treatment system and treatment apparatus
DE4404902C2 (en) * 1994-02-16 2002-04-11 Hauni Maschinenbau Ag Method and device for handling containers holding cigarette trays
US5784797A (en) 1994-04-28 1998-07-28 Semitool, Inc. Carrierless centrifugal semiconductor processing system
US5664337A (en) 1996-03-26 1997-09-09 Semitool, Inc. Automated semiconductor processing systems
US5544421A (en) 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
ES2229247T3 (en) 1995-03-28 2005-04-16 Brooks Automation Gmbh CHARGING AND DISCHARGE STATION FOR SEMICONDUCTOR TREATMENT FACILITIES.
US5613821A (en) 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US5674039A (en) 1996-07-12 1997-10-07 Fusion Systems Corporation System for transferring articles between controlled environments
US5731678A (en) 1996-07-15 1998-03-24 Semitool, Inc. Processing head for semiconductor processing machines
US5885045A (en) * 1997-03-17 1999-03-23 Fortrend Engineering Corporation Integrated wafer pod-load/unload and mass-transfer system

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4096766A (en) * 1975-06-13 1978-06-27 Sofermo Self-contained modular joint, notably for robots
US4667081A (en) * 1985-02-04 1987-05-19 Spectra-Physics, Inc. Apparatus for changing the direction of a light beam passing through an articulated joint
US4806057A (en) * 1986-04-22 1989-02-21 Motion Manufacturing, Inc. Automatic wafer loading method and apparatus
US5520501A (en) * 1991-01-25 1996-05-28 Kabushiki Kaisha Toshiba Wafer holding apparatus
US6092971A (en) * 1996-10-09 2000-07-25 Staeubli Ag Wafer gripping device adapted to swivel wafers taken from a horizontal position in a storage container

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050211169A1 (en) * 2004-03-24 2005-09-29 Jae-Wook Choi Apparatus for manufacturing substrate
US7905960B2 (en) * 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
US20110150608A1 (en) * 2004-03-24 2011-06-23 Jusung Engineering Co., Ltd. Apparatus for transferring a substrate
US8328940B2 (en) 2004-03-24 2012-12-11 Jusung Engineering Co., Ltd. Apparatus for transferring a substrate
US20120251967A1 (en) * 2011-03-29 2012-10-04 Tokyo Electron Limited Loading unit and processing system

Also Published As

Publication number Publication date
CN1126610C (en) 2003-11-05
CN1308565A (en) 2001-08-15
WO2000002675A9 (en) 2000-07-06
EP1109632A4 (en) 2006-11-22
US6279724B1 (en) 2001-08-28
WO2000002675A1 (en) 2000-01-20
TW507265B (en) 2002-10-21
JP2002520140A (en) 2002-07-09
EP1109632A1 (en) 2001-06-27

Similar Documents

Publication Publication Date Title
US6279724B1 (en) Automated semiconductor processing system
US6273110B1 (en) Automated semiconductor processing system
US6723174B2 (en) Automated semiconductor processing system
US6942738B1 (en) Automated semiconductor processing system
US7278813B2 (en) Automated processing system
US6283692B1 (en) Apparatus for storing and moving a cassette
EP1159214B1 (en) In/out load port transfer mechanism
US6506009B1 (en) Apparatus for storing and moving a cassette
KR100688436B1 (en) Universal tool interface and/or workpiece transfer apparatus for smif and open pod applications
US20100290872A1 (en) Substrate container storage system
US10403528B2 (en) Substrate-processing apparatus and method of manufacturing semiconductor device
US6799932B2 (en) Semiconductor wafer processing apparatus
US6736148B2 (en) Automated semiconductor processing system
KR20010074681A (en) Automated semiconductor processing system
WO2023200746A1 (en) Method and/or system for processing a substrate and robot apparatus therefor

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION