US20020031906A1 - Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics - Google Patents

Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics Download PDF

Info

Publication number
US20020031906A1
US20020031906A1 US09/947,966 US94796601A US2002031906A1 US 20020031906 A1 US20020031906 A1 US 20020031906A1 US 94796601 A US94796601 A US 94796601A US 2002031906 A1 US2002031906 A1 US 2002031906A1
Authority
US
United States
Prior art keywords
etch
forming
layer
trench
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/947,966
Other versions
US6455411B1 (en
Inventor
Ping Jiang
Francis Celii
Kenneth Newton
Hiromi Sakima
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US09/947,966 priority Critical patent/US6455411B1/en
Assigned to TEXAS INSTRUMENTS INCORPORATED reassignment TEXAS INSTRUMENTS INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CELII, FRANCIS G., JIANG, PING, NEWTON, KENNETH J., SAKIMA, HIROMI
Publication of US20020031906A1 publication Critical patent/US20020031906A1/en
Application granted granted Critical
Publication of US6455411B1 publication Critical patent/US6455411B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Definitions

  • the invention is generally related to the field of forming interconnect layers in integrated circuits and more specifically to dual damascene interconnect processes with Cu and low-k dielectrics.
  • the aluminum (and any barrier metals) are deposited, patterned, and etched to form the interconnect lines.
  • an interlevel dielectric (ILD) is deposited and planarized.
  • the ILD is formed first.
  • the ILD is then patterned and etched.
  • the metal is then deposited over the structure and then chemically-mechanically polished to remove the metal from over the ILD, leaving metal interconnect lines. A metal etch is thereby avoided.
  • FIGS. 1 A-E One prior art damascene process, a dual damascene process, is described with reference to FIGS. 1 A-E.
  • a silicon nitride layer 12 is deposited over a semiconductor body 10 .
  • Semiconductor body 10 will have been processed through a first metal interconnect layer.
  • a via level dielectric 14 is deposited over silicon nitride layer 12 .
  • Via dielectric layer 14 comprises FSG (fluorine-doped silicate glass).
  • Another silicon nitride layer 18 is deposited over via level dielectric 14 and a second, trench level dielectric 20 is deposited over silicon nitride layer 18 .
  • a via 22 is then patterned and etched through the trench level dielectric 20 , silicon nitride layer 18 and via level dielectric 14 .
  • Silicon nitride layer 12 is used as an etch-stop.
  • a spin-on organic barc (bottom anti-reflection coating) 24 is deposited to fill a portion of via 22 .
  • the result is approximately 600 ⁇ of barc over dielectric 20 and a thickness of ⁇ 2000-2500 ⁇ inside the via 22 .
  • Barc 24 protects via 22 during the subsequent trench etch.
  • the trench pattern 26 is formed on the structure as shown in FIG. 1C.
  • Trench pattern 26 exposes areas of trench level dielectric 20 (with about 600 ⁇ of barc on top of dielectric 20 ) where the metal interconnect lines are desired.
  • the trench etch to remove portions of FSG layer 20 is performed.
  • Oxide ridges 28 may undesirably form on the edges of via 22 .
  • Pattern 26 is removed as shown in FIG. 1E. Oxide ridges impair device reliability due to the fact that it is difficult to ensure that a metal barrier completely covers the oxide ridges.
  • a dual damascene process for low-k and ultra-low-k dielectrics is disclosed herein.
  • a trench is etched using a less-polymerizing fluorocarbon added to an etch chemistry comprising a fluorocarbon and low N 2 /Ar ratio.
  • the low N 2 /Ar ratio controls ridge formation during the trench etch.
  • the combination of a less-polymerizing fluorocarbon with a high-polymerizing fluorocarbon achieves a high etch rate and defect-free conditions.
  • An advantage of the invention is providing a dual damascene process that avoids or minimizes the formation of via ridges while maintaining a high etch rate and good CD control.
  • FIGS. 1 A- 1 E are cross-sectional diagrams of a prior art dual damascene process at various stages of fabrication
  • FIGS. 2 A- 2 E are cross-sectional diagrams of a dual damascene process according to the invention at various stages of fabrication
  • FIG. 3 is a cross-sectional drawing of a trench/via with oxide ridges
  • FIG. 4 is a cross-section drawing of a trench/via without oxide ridges but with a low etch rate chemistry
  • FIG. 5 is a cross-sectional diagram of a trench/via etched according to the invention with no oxide ridges and high etch rate when a less-polymerizing fluorocarbon was added to the trench etch chemistry.
  • a fabrication process according to an embodiment of the invention will now be discussed with reference to FIGS. 2 A- 2 E.
  • a semiconductor body 100 is processed through the formation of a first interconnect layer 102 as is known in the art.
  • layer 102 may be any interconnect layer except the uppermost interconnect layer.
  • An etch-stop layer 104 is deposited over the first interconnect layer 102 .
  • Etch-stop layer 104 typically comprises silicon nitride, but other suitable etch-stop layers are known in the art (e.g., SiC).
  • the thickness of etch-stop layer 104 may be on the order of 1000 ⁇ (e.g., 500 ⁇ -1000 ⁇ ).
  • ILD 106 and IMD 108 are formed over etch-stop layer 104 .
  • ILD 106 and IMD 108 can be a single layer.
  • OSG is the material used for ILD 106 and IMD 108 .
  • OSG is a low-k material having a dielectric constant in the range of 2.7 ⁇ 3.0.
  • ILD 106 and IMD 108 may comprise a low-k ( ⁇ 3.5) or an ultra-low-k ( ⁇ 2.7) dielectric.
  • the combined thickness of ILD 106 and IMD 108 may be approximately 9000 ⁇ .
  • An etch-stop layer is not necessary between ILD 106 and IMD 108 . However, one could be included if desired. Eliminating the etch-stop layer between the ILD 106 and IMD 108 has the advantage of reducing parasitic capacitance.
  • a capping layer 110 is formed over IMD 108 .
  • oxide capping layer may be deposited using a plasma enhanced tetraethyoxysilane (PETEOS) process.
  • PETEOS plasma enhanced tetraethyoxysilane
  • the thickness of oxide capping layer is approximately 1500 ⁇ .
  • Silicon nitride could also be used as a capping layer. It should be noted that a barc layer is often used under the resist for both via and french pattern. In the preferred embodiment, no hardmask is used.
  • vias 112 are etched through the barc and the capping layer 110 (if present), IMD 108 , and ILD 106 .
  • Vias 112 are formed in areas where connection is desired between two metal interconnect layers. If an additional etch-stop layer was included between IMD 108 and ILD 106 , the via etch also etches through this additional etch-stop layer.
  • the via etch chemistry comprises C 5 F 8 , N 2 and CO.
  • a spin-on barc 114 is coated to fill a portion of via 112 .
  • the result is approximately 850 ⁇ of barc over capping layer 110 and a thickness of 4500 ⁇ -7000 ⁇ inside the via 112 (the barc thickness inside the via depends on the via density.).
  • Barc 114 protects the bottom of via 112 during the subsequent trench etch.
  • trench pattern 120 is formed. Trench pattern 120 exposes the areas where metal interconnect lines of a second or subsequent metal interconnect layer are desired.
  • the trench 121 etch is performed to etch IMD 108 as shown in FIG. 2C.
  • a timed etch is used. If, however, an additional trench etch-stop layer is formed between ILD 106 and IMD 108 , an endpoint etch could be used. It should be noted however, that the incorporation of a silicon-nitride etch-stop layer increases the parasitic capacitance between metal interconnect layers.
  • the trench etch comprises an etch chemistry of a less-polymerizing fluorocarbon with a more-polymerizing fluorocarbon, nitrogen and argon.
  • a low N 2 /Ar ratio ( ⁇ 1:3) is used.
  • the etch chemistry for the trench etch is critical.
  • One proposed etch for etching OSG is C 4 F 8 /N 2 /Ar.
  • C 4 F 8 is a higher-polymerizing fluorocarbon.
  • a high N 2 /Ar ratio results in high etch rate.
  • oxide ridges 130 form around the vias, as shown in FIG. 3.
  • 10 sccm of C 4 F 8 and a N 2 /Ar ratio of 300:100 results in an etch rate of approximately 4600 ⁇ /min.
  • Oxide ridges 130 remain even after clean-up and significantly impact reliability.
  • oxide ridges may fall into the vias during subsequent processes (e.g., pre-sputter etch), resulting in poor metal barrier coverage.
  • a low N 2 /Ar ratio eliminates the oxide ridges as shown in FIG. 4. Unfortunately, the etch rate also reduces significantly. When 10 sccm of C 4 F 8 is used with a N 2 /Ar ratio of 50:450, the etch rate reduces to approximately 1350 ⁇ /min. The low etch rate reduces throughput.
  • the etch chemistry combines a less-polymerizing fluorocarbon, such as CF 4 with a higher-polymerizing fluorocarbon, such as C 4 F 8 , and low N 2 /Ar ratio.
  • the low N 2 /Ar ratio eliminates the oxide ridges, as shown in FIG. 5.
  • the combined fluorocarbons improve etch rate without increasing oxide ridges or increasing CD bias.
  • CF 4 is a less-polymerizing fluorocarbon
  • adding it to the etch chemistry increases the etch rate significantly.
  • it does not increase the CD bias or cause the formation of ridges.
  • the etch rate and ridge formation can be controlled independently.
  • by adjusting the flow rates of the two fluorocarbons various C:F ratios can be achieved. This is not possible with a single fluorocarbon.
  • the resist and barc from trench pattern 120 is removed, for example, by ashing.
  • the capping layer is thin (e.g., ⁇ 500 ⁇ )
  • it can be removed during etch-stop layer etch.
  • the capping layer is >500 ⁇ , it is removed during metal CMP.
  • Processing then continues with the formation of the second metal interconnect layer 122 , as shown in FIG. 2E.
  • layer 122 can be any metal interconnect layer other than the lowest interconnect layer.
  • a barrier layer 124 such as tantalum-nitride (TaN) is deposited first.
  • barrier layer 124 Due to the fact that no oxide pillars are formed, it is fairly easy to form a continuous barrier layer 124 in the trench/via. This advantage also increases the process margin.
  • a purpose of the barrier layer is to prevent diffusion of the subsequently formed metal into the IMD/ILD. Breaks in the barrier layer allow metal diffusion and thus reduce yield and reliability. The invention thus improves both the yield and reliability by preventing the formation of oxide ridges and reducing defects in the via. It also improves trench etch throughput.
  • a copper seed layer is typically formed. This is followed by the formation of the copper interconnect 126 and a top nitride (Si 3 N 4 ) capping layer 128 . The above process can then be repeated to form subsequent metal interconnect layers.

Abstract

A dual damascene process for low-k or ultra low-k dielectric such as organo-silicate glass (OSG). After the via (112) etch, a trench (121) is etched in the OSG layer (108) using a less-polymerizing fluorocarbon added to an etch chemistry comprising a fluorocarbon and low N2/Ar ratio. The low N2/Ar ratio controls ridge formation during the trench etch. The combination of a less-polymerizing fluorocarbon with a higher-polymerizing fluorocarbon achieves a high etch rate and defect-free conditions.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • The following co-pending application is related and hereby incorporated by reference: [0001]
  • U.S. patent application Ser. No. 09/521,325, filed Mar. 9, 2000 by Tsu et al.[0002]
  • FIELD OF THE INVENTION
  • The invention is generally related to the field of forming interconnect layers in integrated circuits and more specifically to dual damascene interconnect processes with Cu and low-k dielectrics. [0003]
  • BACKGROUND OF THE INVENTION
  • As the density of semiconductor devices increases, the demands on interconnect layers for connecting the semiconductor devices to each other also increase. Therefore, there is a desire to switch from the traditional aluminum metal interconnects to copper interconnects. Unfortunately, suitable copper etches for a semiconductor fabrication environment are not readily available. To overcome the copper etch problem, damascene processes have been developed. [0004]
  • In a conventional interconnect process, the aluminum (and any barrier metals) are deposited, patterned, and etched to form the interconnect lines. Then, an interlevel dielectric (ILD) is deposited and planarized. In a damascene process, the ILD is formed first. The ILD is then patterned and etched. The metal is then deposited over the structure and then chemically-mechanically polished to remove the metal from over the ILD, leaving metal interconnect lines. A metal etch is thereby avoided. [0005]
  • One prior art damascene process, a dual damascene process, is described with reference to FIGS. [0006] 1A-E. Referring to FIG. 1A, a silicon nitride layer 12 is deposited over a semiconductor body 10. Semiconductor body 10 will have been processed through a first metal interconnect layer. A via level dielectric 14 is deposited over silicon nitride layer 12. Via dielectric layer 14 comprises FSG (fluorine-doped silicate glass). Another silicon nitride layer 18 is deposited over via level dielectric 14 and a second, trench level dielectric 20 is deposited over silicon nitride layer 18. A via 22 is then patterned and etched through the trench level dielectric 20, silicon nitride layer 18 and via level dielectric 14. Silicon nitride layer 12 is used as an etch-stop.
  • Referring to FIG. 1B, a spin-on organic barc (bottom anti-reflection coating) [0007] 24 is deposited to fill a portion of via 22. The result is approximately 600 Å of barc over dielectric 20 and a thickness of ˜2000-2500 Å inside the via 22. Barc 24 protects via 22 during the subsequent trench etch. Next, the trench pattern 26 is formed on the structure as shown in FIG. 1C. Trench pattern 26 exposes areas of trench level dielectric 20 (with about 600 Å of barc on top of dielectric 20) where the metal interconnect lines are desired. Referring to FIG. 1D, the trench etch to remove portions of FSG layer 20 is performed. Oxide ridges 28 may undesirably form on the edges of via 22. Pattern 26 is removed as shown in FIG. 1E. Oxide ridges impair device reliability due to the fact that it is difficult to ensure that a metal barrier completely covers the oxide ridges.
  • Newer technologies are switching to even lower-k dielectrics such as organo-silicate glass (OSG) in place of FSG. Dual damascene processes for working with the newer dielectrics are needed. [0008]
  • SUMMARY OF THE INVENTION
  • A dual damascene process for low-k and ultra-low-k dielectrics is disclosed herein. After the via etch, a trench is etched using a less-polymerizing fluorocarbon added to an etch chemistry comprising a fluorocarbon and low N[0009] 2/Ar ratio. The low N2/Ar ratio controls ridge formation during the trench etch. The combination of a less-polymerizing fluorocarbon with a high-polymerizing fluorocarbon achieves a high etch rate and defect-free conditions.
  • An advantage of the invention is providing a dual damascene process that avoids or minimizes the formation of via ridges while maintaining a high etch rate and good CD control. [0010]
  • This and other advantages will be apparent to those of ordinary skill in the art having reference to the specification in conjunction with the drawings. [0011]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • In the drawings: [0012]
  • FIGS. [0013] 1A-1E are cross-sectional diagrams of a prior art dual damascene process at various stages of fabrication;
  • FIGS. [0014] 2A-2E are cross-sectional diagrams of a dual damascene process according to the invention at various stages of fabrication;
  • FIG. 3 is a cross-sectional drawing of a trench/via with oxide ridges; [0015]
  • FIG. 4 is a cross-section drawing of a trench/via without oxide ridges but with a low etch rate chemistry; [0016]
  • FIG. 5 is a cross-sectional diagram of a trench/via etched according to the invention with no oxide ridges and high etch rate when a less-polymerizing fluorocarbon was added to the trench etch chemistry. [0017]
  • DETAILED DESCRIPTION OF THE EMBODIMENTS
  • The invention will now be described in conjunction with a dual damascene copper interconnect process. It will be apparent to those of ordinary skill in the art that the benefits of the invention can be applied to other fabrication processes such as other dual damascene processes. [0018]
  • A fabrication process according to an embodiment of the invention will now be discussed with reference to FIGS. [0019] 2A-2E. A semiconductor body 100 is processed through the formation of a first interconnect layer 102 as is known in the art. (Although referred to herein as the first interconnect layer 102, layer 102 may be any interconnect layer except the uppermost interconnect layer.) An etch-stop layer 104 is deposited over the first interconnect layer 102. Etch-stop layer 104 typically comprises silicon nitride, but other suitable etch-stop layers are known in the art (e.g., SiC). As an example, the thickness of etch-stop layer 104 may be on the order of 1000 Å (e.g., 500 Å-1000 Å).
  • The via level dielectric [0020] 106 (sometimes referred to as interlevel dielectric—ILD) and trench level dielectric 108 (sometimes referred to as intrametal dielectric—IMD) are formed over etch-stop layer 104. As shown in FIG. 2A, ILD 106 and IMD 108 can be a single layer. In the preferred embodiment, OSG is the material used for ILD 106 and IMD 108. OSG is a low-k material having a dielectric constant in the range of 2.7˜3.0. Alternatively, ILD 106 and IMD 108 may comprise a low-k (<3.5) or an ultra-low-k (<2.7) dielectric. The combined thickness of ILD 106 and IMD 108 may be approximately 9000 Å.
  • An etch-stop layer is not necessary between [0021] ILD 106 and IMD 108. However, one could be included if desired. Eliminating the etch-stop layer between the ILD 106 and IMD 108 has the advantage of reducing parasitic capacitance.
  • Sometimes a [0022] capping layer 110 is formed over IMD 108. As an example, oxide capping layer may be deposited using a plasma enhanced tetraethyoxysilane (PETEOS) process. In the preferred embodiment, the thickness of oxide capping layer is approximately 1500 Å. Silicon nitride could also be used as a capping layer. It should be noted that a barc layer is often used under the resist for both via and french pattern. In the preferred embodiment, no hardmask is used.
  • Referring to FIG. 2A, vias [0023] 112 are etched through the barc and the capping layer 110 (if present), IMD 108, and ILD 106. The via etch-stops on etch-stop layer 104. Vias 112 are formed in areas where connection is desired between two metal interconnect layers. If an additional etch-stop layer was included between IMD 108 and ILD 106, the via etch also etches through this additional etch-stop layer. In the preferred embodiment, the via etch chemistry comprises C5F8, N2 and CO.
  • Referring to FIG. 2B, a spin-on [0024] barc 114 is coated to fill a portion of via 112. The result is approximately 850 Å of barc over capping layer 110 and a thickness of 4500 Å-7000 Å inside the via 112 (the barc thickness inside the via depends on the via density.). Barc 114 protects the bottom of via 112 during the subsequent trench etch.
  • Still referring to FIG. 2B, the [0025] trench pattern 120 is formed. Trench pattern 120 exposes the areas where metal interconnect lines of a second or subsequent metal interconnect layer are desired.
  • Next, the [0026] trench 121 etch is performed to etch IMD 108 as shown in FIG. 2C. In the preferred embodiment, a timed etch is used. If, however, an additional trench etch-stop layer is formed between ILD 106 and IMD 108, an endpoint etch could be used. It should be noted however, that the incorporation of a silicon-nitride etch-stop layer increases the parasitic capacitance between metal interconnect layers.
  • The trench etch comprises an etch chemistry of a less-polymerizing fluorocarbon with a more-polymerizing fluorocarbon, nitrogen and argon. A low N[0027] 2/Ar ratio (<1:3) is used. A less-polymerizing fluorocarbon refers to a C:F ratio of less than 1:3. Examples of less-polymerizing fluorocarbons include CF4, NF3, C2F6, and CXF3X+Y (Y>=0). Examples of more-polymerizing fluorocarbons include C4F8, C5F8, C4F6, CXHYF2X+Z (Z>=0, Y>=0).
  • The etch chemistry for the trench etch is critical. One proposed etch for etching OSG is C[0028] 4F8/N2/Ar. C4F8 is a higher-polymerizing fluorocarbon. A high N2/Ar ratio results in high etch rate. However, when a high N2/Ar ratio is used, oxide ridges 130 form around the vias, as shown in FIG. 3. 10 sccm of C4F8 and a N2/Ar ratio of 300:100 results in an etch rate of approximately 4600 Å/min. Oxide ridges 130 remain even after clean-up and significantly impact reliability. When the subsequently deposited metal barriers are formed, it is difficult to ensure that oxide ridges 130 are completely covered. In addition, oxide ridges may fall into the vias during subsequent processes (e.g., pre-sputter etch), resulting in poor metal barrier coverage.
  • A low N[0029] 2/Ar ratio eliminates the oxide ridges as shown in FIG. 4. Unfortunately, the etch rate also reduces significantly. When 10 sccm of C4F8 is used with a N2/Ar ratio of 50:450, the etch rate reduces to approximately 1350 Å/min. The low etch rate reduces throughput.
  • The etch chemistry according to the invention, combines a less-polymerizing fluorocarbon, such as CF[0030] 4 with a higher-polymerizing fluorocarbon, such as C4F8, and low N2/Ar ratio. The low N2/Ar ratio eliminates the oxide ridges, as shown in FIG. 5. The combined fluorocarbons improve etch rate without increasing oxide ridges or increasing CD bias. A 10 sccm C4F8, N2:Ar=100:300 and 30 sccm CF4 etch chemistry results in no oxide ridges, an etch rate of approximately 3480 Å/min and a CD bias of approximately 0.003 μm.
  • Because CF[0031] 4 is a less-polymerizing fluorocarbon, adding it to the etch chemistry increases the etch rate significantly. However, it does not increase the CD bias or cause the formation of ridges. Thus, the etch rate and ridge formation can be controlled independently. Furthermore, by adjusting the flow rates of the two fluorocarbons, various C:F ratios can be achieved. This is not possible with a single fluorocarbon.
  • Referring to FIG. 2D, the resist and barc from [0032] trench pattern 120 is removed, for example, by ashing. (If the capping layer is thin (e.g., <500 Å), it can be removed during etch-stop layer etch. However, if the capping layer is >500 Å, it is removed during metal CMP.) Processing then continues with the formation of the second metal interconnect layer 122, as shown in FIG. 2E. (Although referred to as the second metal interconnect layer, layer 122 can be any metal interconnect layer other than the lowest interconnect layer.) Typically, a barrier layer 124, such as tantalum-nitride (TaN) is deposited first. Due to the fact that no oxide pillars are formed, it is fairly easy to form a continuous barrier layer 124 in the trench/via. This advantage also increases the process margin. A purpose of the barrier layer is to prevent diffusion of the subsequently formed metal into the IMD/ILD. Breaks in the barrier layer allow metal diffusion and thus reduce yield and reliability. The invention thus improves both the yield and reliability by preventing the formation of oxide ridges and reducing defects in the via. It also improves trench etch throughput.
  • After the [0033] barrier layer 124, a copper seed layer is typically formed. This is followed by the formation of the copper interconnect 126 and a top nitride (Si3N4) capping layer 128. The above process can then be repeated to form subsequent metal interconnect layers.
  • While this invention has been described with reference to illustrative embodiments, this description is not intended to be construed in a limiting sense. Various modifications and combinations of the illustrative embodiments, as well as other embodiments of the invention, will be apparent to persons skilled in the art upon reference to the description. It is therefore intended that the appended claims encompass any such modifications or embodiments. [0034]

Claims (18)

In the claims:
1. A method of forming an integrated circuit, comprising the steps of:
forming a dielectric layer having a dielectric constant less than 3.5 over a semiconductor body;
forming a via in said dielectric layer;
forming a trench pattern over said dielectric layer;
etching a trench through a portion of said dielectric layer using an etch chemistry comprising a less-polymerizing fluorocarbon, a higher-polymerizing fluorocarbon, nitrogen and argon with a low nitrogen:argon ratio; and
forming a metal layer in said via and said trench.
2. The method of claim 1, wherein said etch chemistry comprises CF4 as the less-polymerizing fluorocarbon, C4F8, N2 and Ar.
3. The method of claim 1, wherein the nitrogen: argon ratio is less than 1:3.
4. The method of claim 1, wherein the nitrogen:argon ratio is approximately 100:400.
5. The method of claim 1, wherein the step of forming a dielectric layer comprises the step of:
forming a first etch-stop layer over said semiconductor body;
forming an interlevel dielectric layer (ILD) over said first etch-stop; and
forming an intermetal dielectric layer (IMD) over said interlevel dielectric,
wherein said via extends through said ILD and said trench extends through said IMD.
6. The method of claim 5, further comprising the step of forming a second etch-stop layer between said ILD and said IMD.
7. The method of claim 1, further comprising the step of forming a capping layer over said dielectric prior to forming said via.
8. The method of claim 7, wherein said capping layer comprises an oxide.
9. The method of claim 7, wherein said capping layer comprises an oxide deposited by plasma enhanced tetraethyoxysilane.
10. The method of claim 1, wherein said dielectric layer comprises organo-silicate glass.
11. The method of claim 1, wherein said dielectric later comprises an ultra-low-k dielectric having a dielectric constant less than 2.7.
12. A method of forming an integrated circuit, comprising the steps of:
forming a first metal interconnect layer over a semiconductor body;
forming an etch-stop layer over said first metal interconnect layer;
forming a dielectric layer comprising organo-silicate glass over said etch-stop layer;
forming a via through said dielectric layer to said etch-stop layer;
forming a trench pattern over said dielectric layer;
dry etching a trench in said dielectric layer, said dry etching a trench step using an etch chemistry comprising a less-polymerizing fluorocarbon, a more-polymerizing fluorocarbon, nitrogen and argon; and
forming a metal layer in said via and said trench.
13. The method of claim 12, wherein said less-polymerizing fluorocarbon comprises CF4.
14. The method of claim 12, wherein said more-polymerizing fluorocarbon comprises C4F8.
15. The method of claim 12, wherein said etch chemistry comprises a nitrogen: argon ratio of less than 1:3.
16. The method of claim 12, wherein said etch chemistry comprises a nitrogen: argon ratio of approximately 100:400.
17. The method of claim 12, wherein the step of forming a dielectric layer comprises the step of:
forming an interlevel dielectric layer (ILD) over said first etch-stop; and
forming an intermetal dielectric layer (IMD) over said interlevel dielectric.
18. The method of claim 17, further comprising the step of forming a second etch-stop layer between said ILD and said IMD.
US09/947,966 2000-09-11 2001-09-06 Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics Expired - Lifetime US6455411B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/947,966 US6455411B1 (en) 2000-09-11 2001-09-06 Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US23181300P 2000-09-11 2000-09-11
US09/947,966 US6455411B1 (en) 2000-09-11 2001-09-06 Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics

Publications (2)

Publication Number Publication Date
US20020031906A1 true US20020031906A1 (en) 2002-03-14
US6455411B1 US6455411B1 (en) 2002-09-24

Family

ID=26925455

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/947,966 Expired - Lifetime US6455411B1 (en) 2000-09-11 2001-09-06 Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics

Country Status (1)

Country Link
US (1) US6455411B1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030017694A1 (en) * 2001-07-23 2003-01-23 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US20040106293A1 (en) * 2001-03-08 2004-06-03 Yoshiki Igarashi Method for etching organic insulating film and dual damasene process
US20040132291A1 (en) * 2002-02-22 2004-07-08 Samsung Electronics Co., Ltd. Method of fabricating dual damascene interconnections of microelectronic device using hybrid low k-dielectric and carbon-free inorganic filler
US20050029229A1 (en) * 2003-08-08 2005-02-10 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US6902870B1 (en) * 2002-06-19 2005-06-07 Advanced Micro Devices, Inc. Patterning of dielectric with added layers of materials aside from photoresist for enhanced pattern transfer
US20050272265A1 (en) * 2004-06-03 2005-12-08 Epion Corporation Dual damascene integration structure and method for forming improved dual damascene integration structure
US20060024910A1 (en) * 2004-07-27 2006-02-02 Amitava Chatterjee Method to engineer the inverse narrow width effect (INWE) in CMOS technology using shallow trench isolation (STI)
US20080111238A1 (en) * 2006-11-09 2008-05-15 Chartered Semiconductor Manufacturing Ltd. Integrated circuit processing system
US20110237015A1 (en) * 2004-11-30 2011-09-29 Spire Corporation Nanophotovoltaic devices

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4381526B2 (en) * 1999-10-26 2009-12-09 東京エレクトロン株式会社 Plasma etching method
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
EP2264523A3 (en) 2000-07-16 2011-11-30 Board Of Regents, The University Of Texas System A method of forming a pattern on a substrate in imprint lithographic processes
EP1303793B1 (en) 2000-07-17 2015-01-28 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
JP4858895B2 (en) * 2000-07-21 2012-01-18 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
KR101031528B1 (en) 2000-10-12 2011-04-27 더 보드 오브 리전츠 오브 더 유니버시티 오브 텍사스 시스템 Template for room temperature, low pressure micro- and nano- imprint lithography
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US6914004B2 (en) * 2001-09-28 2005-07-05 Texas Instruments Incorporated Method for via etching in organo-silica-glass
US6905968B2 (en) * 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
US6686293B2 (en) * 2002-05-10 2004-02-03 Applied Materials, Inc Method of etching a trench in a silicon-containing dielectric material
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
KR100441685B1 (en) * 2002-09-19 2004-07-27 삼성전자주식회사 Dual damascene process
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US7229930B2 (en) * 2003-01-13 2007-06-12 Applied Materials, Inc. Selective etching of low-k dielectrics
US7041230B2 (en) * 2003-01-21 2006-05-09 Lam Research Corporation Method for selectively etching organosilicate glass with respect to a doped silicon carbide
US6900123B2 (en) * 2003-03-20 2005-05-31 Texas Instruments Incorporated BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7186656B2 (en) * 2004-05-21 2007-03-06 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
JP4571785B2 (en) * 2003-05-30 2010-10-27 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7547504B2 (en) 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7041604B2 (en) * 2004-09-21 2006-05-09 Molecular Imprints, Inc. Method of patterning surfaces while providing greater control of recess anisotropy
US7241395B2 (en) * 2004-09-21 2007-07-10 Molecular Imprints, Inc. Reverse tone patterning on surfaces having planarity perturbations
US7205244B2 (en) 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US7252777B2 (en) * 2004-09-21 2007-08-07 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US20060081557A1 (en) * 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
WO2006060757A2 (en) 2004-12-01 2006-06-08 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7442649B2 (en) * 2005-03-29 2008-10-28 Lam Research Corporation Etch with photoresist mask
US7256131B2 (en) * 2005-07-19 2007-08-14 Molecular Imprints, Inc. Method of controlling the critical dimension of structures formed on a substrate
US20070077763A1 (en) * 2005-09-30 2007-04-05 Molecular Imprints, Inc. Deposition technique to planarize a multi-layer structure
US7282406B2 (en) * 2006-03-06 2007-10-16 Semiconductor Companents Industries, L.L.C. Method of forming an MOS transistor and structure therefor
JP2010050311A (en) * 2008-08-22 2010-03-04 Elpida Memory Inc Semiconductor device, and method of manufacturing the same
US9093387B1 (en) * 2014-01-08 2015-07-28 International Business Machines Corporation Metallic mask patterning process for minimizing collateral etch of an underlayer

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3193335B2 (en) * 1997-12-12 2001-07-30 松下電器産業株式会社 Method for manufacturing semiconductor device
US6326296B1 (en) * 1998-07-01 2001-12-04 Taiwan Semiconductor Manufacturing Company Method of forming dual damascene structure with improved contact/via edge integrity
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
US6180540B1 (en) * 1999-02-18 2001-01-30 Taiwan Semiconductor Manufacturing Company Method for forming a stabilized fluorosilicate glass layer
US6204192B1 (en) * 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
JP2000332008A (en) * 1999-05-20 2000-11-30 Fujitsu Ltd Semiconductor device and manufacture thereof
US6372634B1 (en) * 1999-06-15 2002-04-16 Cypress Semiconductor Corp. Plasma etch chemistry and method of improving etch control
US6316351B1 (en) * 2000-05-31 2001-11-13 Taiwan Semiconductor Manufacturing Company Inter-metal dielectric film composition for dual damascene process

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040106293A1 (en) * 2001-03-08 2004-06-03 Yoshiki Igarashi Method for etching organic insulating film and dual damasene process
US20050255697A1 (en) * 2001-07-23 2005-11-17 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US7244672B2 (en) 2001-07-23 2007-07-17 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US7183201B2 (en) * 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US20030017694A1 (en) * 2001-07-23 2003-01-23 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US7183195B2 (en) * 2002-02-22 2007-02-27 Samsung Electronics, Co., Ltd. Method of fabricating dual damascene interconnections of microelectronic device using hybrid low k-dielectric and carbon-free inorganic filler
US20040132291A1 (en) * 2002-02-22 2004-07-08 Samsung Electronics Co., Ltd. Method of fabricating dual damascene interconnections of microelectronic device using hybrid low k-dielectric and carbon-free inorganic filler
US6902870B1 (en) * 2002-06-19 2005-06-07 Advanced Micro Devices, Inc. Patterning of dielectric with added layers of materials aside from photoresist for enhanced pattern transfer
US20070020944A1 (en) * 2003-08-08 2007-01-25 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (slam) over a dielectric material
US20050029229A1 (en) * 2003-08-08 2005-02-10 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US7300597B2 (en) * 2003-08-08 2007-11-27 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US7309448B2 (en) 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US20050272265A1 (en) * 2004-06-03 2005-12-08 Epion Corporation Dual damascene integration structure and method for forming improved dual damascene integration structure
US7759251B2 (en) * 2004-06-03 2010-07-20 Tel Epion Corporation Dual damascene integration structure and method for forming improved dual damascene integration structure
US7045436B2 (en) * 2004-07-27 2006-05-16 Texas Instruments Incorporated Method to engineer the inverse narrow width effect (INWE) in CMOS technology using shallow trench isolation (STI)
US20060024910A1 (en) * 2004-07-27 2006-02-02 Amitava Chatterjee Method to engineer the inverse narrow width effect (INWE) in CMOS technology using shallow trench isolation (STI)
US20110237015A1 (en) * 2004-11-30 2011-09-29 Spire Corporation Nanophotovoltaic devices
US8242009B2 (en) 2004-11-30 2012-08-14 Spire Corporation Nanophotovoltaic devices
US20080111238A1 (en) * 2006-11-09 2008-05-15 Chartered Semiconductor Manufacturing Ltd. Integrated circuit processing system
US7749894B2 (en) * 2006-11-09 2010-07-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit processing system

Also Published As

Publication number Publication date
US6455411B1 (en) 2002-09-24

Similar Documents

Publication Publication Date Title
US6455411B1 (en) Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6461955B1 (en) Yield improvement of dual damascene fabrication through oxide filling
US6696222B2 (en) Dual damascene process using metal hard mask
US6638871B2 (en) Method for forming openings in low dielectric constant material layer
US5801094A (en) Dual damascene process
US6620727B2 (en) Aluminum hardmask for dielectric etch
US6365506B1 (en) Dual-damascene process with porous low-K dielectric material
US7015133B2 (en) Dual damascene structure formed of low-k dielectric materials
US20030134505A1 (en) Fine-pitch device lithography using a sacrificial hardmask
US20070085209A1 (en) Anchored damascene structures
US20090283912A1 (en) Damascene wiring fabrication methods incorporating dielectric cap etch process with hard mask retention
US20060024958A1 (en) HSQ/SOG dry strip process
US6159661A (en) Dual damascene process
US20020098673A1 (en) Method for fabricating metal interconnects
US7169701B2 (en) Dual damascene trench formation to avoid low-K dielectric damage
US6900123B2 (en) BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
US7572733B2 (en) Gas switching during an etch process to modulate the characteristics of the etch
US7488687B2 (en) Methods of forming electrical interconnect structures using polymer residues to increase etching selectivity through dielectric layers
EP1235263A2 (en) Gas switching during an etch process to modulate the characteristics of the etch
US6346474B1 (en) Dual damascene process
US20060118955A1 (en) Robust copper interconnection structure and fabrication method thereof
US6262484B1 (en) Dual damascene method for backened metallization using poly stop layers
US7037841B2 (en) Dual damascene interconnecting line structure and fabrication method thereof
US6465340B1 (en) Via filled dual damascene structure with middle stop layer and method for making the same
US20050189653A1 (en) Dual damascene intermediate structure and method of fabricating same

Legal Events

Date Code Title Description
AS Assignment

Owner name: TEXAS INSTRUMENTS INCORPORATED, TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:JIANG, PING;CELII, FRANCIS G.;NEWTON, KENNETH J.;AND OTHERS;REEL/FRAME:012161/0039

Effective date: 20000908

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12