US11113207B2 - Bypass predictor for an exclusive last-level cache - Google Patents

Bypass predictor for an exclusive last-level cache Download PDF

Info

Publication number
US11113207B2
US11113207B2 US16/289,645 US201916289645A US11113207B2 US 11113207 B2 US11113207 B2 US 11113207B2 US 201916289645 A US201916289645 A US 201916289645A US 11113207 B2 US11113207 B2 US 11113207B2
Authority
US
United States
Prior art keywords
cache
data
block
counter
reused
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US16/289,645
Other versions
US20200210347A1 (en
Inventor
Yingying Tian
Tarun Nakra
Vikas Sinha
Hien Le
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Priority to US16/289,645 priority Critical patent/US11113207B2/en
Assigned to SAMSUNG ELECTRONICS CO., LTD. reassignment SAMSUNG ELECTRONICS CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LE, HIEN, NAKRA, TARUN, SINHA, VIKAS, TIAN, YINGYING
Priority to TW108141028A priority patent/TWI811484B/en
Priority to KR1020190159413A priority patent/KR20200080142A/en
Priority to CN201911367515.4A priority patent/CN111382089A/en
Publication of US20200210347A1 publication Critical patent/US20200210347A1/en
Priority to US17/402,492 priority patent/US11609858B2/en
Application granted granted Critical
Publication of US11113207B2 publication Critical patent/US11113207B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0875Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches with dedicated cache, e.g. instruction or stack
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/12Replacement control
    • G06F12/121Replacement control using replacement algorithms
    • G06F12/123Replacement control using replacement algorithms with age lists, e.g. queue, most recently used [MRU] list or least recently used [LRU] list
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0811Multiuser, multiprocessor or multiprocessing cache systems with multilevel cache hierarchies
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0888Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches using selective caching, e.g. bypass
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0893Caches characterised by their organisation or structure
    • G06F12/0897Caches characterised by their organisation or structure with two or more cache hierarchy levels
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/50Allocation of resources, e.g. of the central processing unit [CPU]
    • G06F9/5005Allocation of resources, e.g. of the central processing unit [CPU] to service a request
    • G06F9/5011Allocation of resources, e.g. of the central processing unit [CPU] to service a request the resources being hardware resources other than CPUs, Servers and Terminals
    • G06F9/5016Allocation of resources, e.g. of the central processing unit [CPU] to service a request the resources being hardware resources other than CPUs, Servers and Terminals the resource being the memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/10Providing a specific technical effect
    • G06F2212/1016Performance improvement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/10Providing a specific technical effect
    • G06F2212/1028Power efficiency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/10Providing a specific technical effect
    • G06F2212/1041Resource optimization
    • G06F2212/1044Space efficiency improvement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/60Details of cache memory
    • G06F2212/6032Way prediction in set-associative cache
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Definitions

  • the subject matter disclosed herein generally relates to processing systems. More specifically, the subject matter disclosed herein relates to cache system and method for selectively bypassing allocation of a block of evicted data in an exclusive last-level cache.
  • Last-level caches are generally designed to be exclusive caches by storing data that has been cast out from an upper-level cache to preserve higher-level replacement victims for possible future reference. Not all cast-out data from an upper-level cache are useful to be cached in an LLC. For example, the cast-out data may contain useless blocks, such as non-temporal data and/or dead code and data. Bypassing the LLC for such useless cast outs and allocating useful cast outs to an LLC may save interconnect bandwidth and power, and may improve cache performance. Yet, bypassing from exclusive last-level caches (LLC) may be challenging because a LLC is unaware of program behavior as cache accesses are filtered out through higher-level caches as high-level evictions carry no program behavior information. Additionally, there is a lack of locality information in an exclusive cache because reused cache lines may be swapped back to upper-level caches, leaving no recency information to track.
  • Some exclusive cache management techniques may keep track of the reuse distance of data in the exclusive LLC, and respectively allocate the data at different positions of the Least-Recently-Used (LRU) stack.
  • LRU Least-Recently-Used
  • the insertion position in the stack needs to be higher than the lowest LRU position, such as LRU+1 or MRU location.
  • such a cache management technique will thrash an exclusive cache when the workloads contain streaming or non-temporal patterns. For example, if a reuse distance for a requested block of data is greater than the capacity of upper-level caches and the LLC, the block of data obtained from DRAM will be inserted in the LLC, and eventually evicted before any reuse. If the block of streaming data is allocated in the LRU+1 or higher position of the LLC, the allocated streaming data replaces other blocks of data that could be re-referenced if they were kept in the LLC.
  • An example embodiment provides a method to allocate data evicted from a first cache to a second cache that may include: determining whether a reuse indicator for a block of data indicates that the block of data is likely to be reused upon eviction of the block of data from a first cache, the first cache being a higher level cache than the second cache; incrementing a first counter if the reuse indicator for the block of data indicates that the block of data is likely to be reused; decrementing the first counter if the reuse indicator for the block of data indicates that the block of data is likely not to be reused; incrementing a second counter upon eviction of the block of data from the first cache; comparing a value of the first counter to a first predetermined threshold; determining whether a value of the second counter is equal to zero; storing the block of data to the second cache if the value of the first counter is equal to or greater than the first predetermined threshold or the value of the second counter equals zero; and writing the block of data to the system memory while bypassing the second cache if the value
  • Another example embodiment provides a method to allocate data evicted from a first cache to a second cache that may include: determining whether a reuse indicator for a block of data indicates that the block of data is likely to be reused upon eviction of the block of data from a first cache, the first cache being a higher level cache than the second cache; incrementing a first counter if the reuse indicator for the block of data indicates that the block of data is likely to be reused; decrementing the first counter if the reuse indicator for the block of data indicates that the block of data is likely not to be reused; incrementing a second counter upon eviction of the block of data from the second cache; determining whether a value of the first counter is less than a first predetermined threshold; determining whether a value of the second counter is equal to zero; allocating the block of data to a location in the second cache that is above a least recently used location in the second cache if the value of the first counter is equal to or greater than the first predetermined threshold or the value of the second counter equals zero;
  • Still another example embodiment provides a cache system that may include a first cache, a second cache and a cache controller.
  • the first cache may include at least one block of data
  • each block of data may include a tag
  • each tag may include a plurality of bits
  • at least one bit of each tag may provide a reuse indicator of a probability that the block of data will be reused.
  • the second cache may be a lower-level cache than the first cache.
  • the cache controller may be coupled to the first cache and the second cache.
  • the cache controller may include a first counter and a second counter.
  • the cache controller may increment the first counter if the reuse indicator for a first block of data indicates that the first block of data is likely to be reused upon eviction from the first cache and decrement the first counter if the reuse indicator for the first block of data indicates that the first block of data is likely not to be reused upon eviction from the first cache.
  • the cache controller may further increment a second counter upon eviction of the first block of data from the first cache.
  • the cache controller may provide an indication to allocate the first block of data to the second cache if a value of the first counter is equal to or greater than a first predetermined threshold or a value of the second counter equals zero, and may provide an indication for the first block of data to bypass the second cache if the value of the first counter is less than the first predetermined threshold and the value of the second counter is not equal to zero.
  • a cache system may include a first cache, a second cache and a cache controller.
  • the first cache may include at least one block of data, each block of data may include a tag, each tag may include a plurality of bits, and at least one bit of each tag may provide a reuse indicator of a probability that the block of data will be reused.
  • the second cache may be a lower-level cache than the first cache.
  • the cache controller may be coupled to the first cache and the second cache.
  • the cache controller may include a first counter and a second counter.
  • the cache controller may increment the first counter if the reuse indicator for a first block of data indicates that the first block of data is likely to be reused upon eviction from the first cache and decrement the first counter if the reuse indicator for the first block of data indicates that the first block of data is likely not to be reused upon eviction from the first cache.
  • the cache controller may further increment a second counter upon eviction of the first block of data from the first cache.
  • the cache controller may provide an indication to allocate the first block of data to the second cache in a location above a least recently used (LRU) location if a value of the first counter is equal to or greater than a first predetermined threshold or a value of the second counter equals zero, and may provide an indication to allocating the first block of data in a least recently used location in the second cache if the value of the first counter is less than the first predetermined threshold and the value of the second counter is not equal to zero.
  • LRU least recently used
  • FIG. 1 depicts a functional block diagram of an example embodiment of a cache controller that includes a bypass predictor according to the subject matter disclosed herein;
  • FIG. 2 depicts a flowchart of an example embodiment of a process used by the bypass predictor if a block A of data is looked up in the LLC according to the subject matter disclosed herein;
  • FIG. 3 depicts a flowchart of an example embodiment of a process used by the bypass predictor that may occur upon an eviction of a block B of data from an L2 cache according to the subject matter disclosed herein;
  • FIG. 4 depicts a flowchart of an example embodiment of a process used by the bypass predictor that may occur if the LLC_reuse_hint bit for the block A is configurable based on a cache hit on a block A in the L2 cache according to the subject matter disclosed herein;
  • FIG. 5 depicts a flowchart of an alternative example embodiment of a process used by the bypass predictor that may occur upon an eviction of a block B of data from the L2 cache according to the subject matter disclosed herein;
  • FIG. 6 depicts a flowchart of an example alternative embodiment of a process used by the bypass predictor that may occur upon an eviction of a block B of data from an L2 cache according to the subject matter disclosed herein.
  • first,” “second,” etc., as used herein, are used as labels for nouns that they precede, and do not imply any type of ordering (e.g., spatial, temporal, logical, etc.) unless explicitly defined as such.
  • same reference numerals may be used across two or more figures to refer to parts, components, blocks, circuits, units, or modules having the same or similar functionality. Such usage is, however, for simplicity of illustration and ease of discussion only; it does not imply that the construction or architectural details of such components or units are the same across all embodiments or such commonly-referenced parts/modules are the only way to implement the teachings of particular embodiments disclosed herein.
  • module refers to any combination of software, firmware and/or hardware configured to provide the functionality described herein in connection with a module.
  • the software may be embodied as a software package, code and/or instruction set or instructions, and the term “hardware,” as used in any implementation described herein, may include, for example, singly or in any combination, hardwired circuitry, programmable circuitry, state machine circuitry, and/or firmware that stores instructions executed by programmable circuitry.
  • the modules may, collectively or individually, be embodied as circuitry that forms part of a larger system, for example, but not limited to, an integrated circuit (IC), system on-chip (SoC) and so forth.
  • IC integrated circuit
  • SoC system on-chip
  • the subject matter disclosed herein provides a cache controller that includes a bypass predictor for exclusive caches, such LLCs, in which the bypass predictor includes references to data in an exclusive LLC that is swapped data back to a higher-level cache as well as reuse information for the data.
  • the cache controller may cast out a victim from a higher-level cache to the exclusive LLC if the prediction indicates to not bypass (i.e., a high probability of reuse) and may drop, or write-through, the victim if the prediction indicates to bypass (i.e., a low probability of reuse).
  • the cache controller may be driven by one or more higher-level caches by tracking an overall reuse pattern of the exclusive LLC and use a bypass prediction when the confidence of such a prediction is high.
  • an L2 cache may track cache reuse and a bypass predictor that is part of a cache controller may use the reuse information to indicate that locations in the LLC be allocated to L2 evictions.
  • the bypass predictor may dynamically detect whether the working set is thrashing the LLC. If the bypass predictor predicts, or indicates thrashing, the cache controller may control the LLC to allocate blocks at LRU or, alternatively, bypass the evictions to preserve blocks in the LLC that may have a higher probability of reuse.
  • the bypass predictor for a cache controller disclosed herein uses a minimal amount of overhead, and can provide thrash resistance for exclusive LLCs.
  • a higher-level cache may detect cache lines that thrash the exclusive LLC, and filter out those cache lines to only allocate re-usable blocks to the exclusive LLC to save cache capacity and power, and improve performance.
  • a cache system may be configured as a three-level cache hierarchy that includes an L1 cache, an inclusive L2 cache, and an exclusive LLC cache.
  • Tags of the L2 cache store LLC hit/miss information (LLC metadata) of lines in the L2 cache.
  • Fill data allocated to the L2 cache indicate the source of data (i.e., DRAM or the LLC).
  • the bypass predictor of the cache controller learns, or adapts, based on the LLC metadata of lines evicted from the L2 cache. If the LLC metadata indicates a poor LLC hit ratio, then the bypass predictor predicts that a next L2 cast out to be a LLC-bypassable cast out.
  • Cast outs from the L2 cache that have been predicted as being LLC-bypassable may be dirty, in which case the cast-out data is sent directly to DRAM, thereby avoiding an LLC spill and fill situation.
  • a cast out from the L2 cache may be clean, in which case no data is cast out.
  • an address of cast out may be used to update a downstream snoop filter.
  • the bypass predictor disclosed herein may use the reuse information of the L2 cache as part of a basis for a prediction, which may add more confidence to the prediction if the line was neither reused in the L2 cache or the LLC cache.
  • the bypass predictor for a cache controller disclosed herein provides a thrash-resistant mechanism for an LLC.
  • the bypass predictor may be configured to periodically send cast-outs to the LLC, even in a situation in which an application is thrashing the LLC. If, for example, all L2 evictions are being predicted as being LLC-bypassable, detecting that the application has shifted to a more cache-friendly phase may not be easy.
  • the bypass predictor disclosed herein may periodically indicate that cast outs be sent to the LLC, even in an extreme bypass mode. The periodic sending of cast-outs may be used by the bypass predictor to sample the LLC hit rate for training, or adapting, the predictor.
  • sampling allows a subset to be preserved in LLC and provides thrash resistance.
  • the sample rate may be set to be a power of 2. For example, one cast-out is allocated to the LLC for every 32 cast outs. Thrash resistance may be provided if the working set ⁇ LLC size*Sample Rate.
  • FIG. 1 depicts a functional block diagram of an example embodiment of a cache controller 100 that includes a bypass predictor 101 according to the subject matter disclosed herein.
  • the cache controller 100 may control a three-level cache hierarchy that includes an L1 cache 102 , an inclusive L2 cache 103 , and an exclusive LLC 104 .
  • the caches 102 - 104 may be connected to one or more processors 105 , of which only one processor is depicted, and a main memory 106 .
  • the cache controller 100 is shown encompassing the L2 cache 103 and the LLC 104 to convey logic and/or functionality related to managing and/or controlling allocating or bypassing of victims from the L2 cache either to the LLC 104 or to the main memory 106 .
  • FIG. 1 may be a computing device that may be part of, but not limited to, a computer, a handheld device, a smartphone, a personal digital assistant (PDA), a navigation device, a communications device or an entertainment device. Additionally, the entire system depicted in FIG. 1 may include other components or devices that are not shown, such as, but not limited to, a display device, an input device, a mass storage device and a communication interface.
  • PDA personal digital assistant
  • the representations of the cache controller 100 and of the bypass predictor 101 are not intended as a limitation because any alternative implementation is possible for the functionality described herein.
  • the cache controller 100 and/or the bypass predictor 101 may be modules that are embodied as any combination of software, firmware and/or hardware configured to provide the functionality described herein.
  • the bypass predictor 101 may include a hint bit 107 , and a first counter 108 .
  • the bypass predictor may further include a second counter 109 .
  • example bit sizes are indicated below for the hint bit 107 , the first counter 108 and the second counter 109 , it should be understood that bit sizes may be used that are different from the example sizes described.
  • the hint bit 107 may be a 1-bit indicator and is referred to herein as an LLC_reuse_hint bit 107 . There may be one hint bit 107 per L2 tag. In one embodiment, the LLC_reuse_hint bit 107 may be set if a request hits in LLC.
  • the first counter 108 may be a 12 -bit saturating counter per L2 bank and is referred to herein as LLC_hit_cnt counter 108 or a global LLC_hit_cnt counter 108 .
  • the LLC_hit_cnt 108 may be used for tracking requests.
  • the LLC_hit_cnt counter 108 may be set to 0xfff.
  • the LLC_hit_cnt counter is incremented or decremented based on the LLC_reuse_hint bit associated with the tag of the line that is victimized.
  • bypass predictor 101 indicates that the L2 victim bypass the LLC 104 , otherwise the L2 victim is cached in the LLC 104 .
  • the second counter 109 may be a saturating 5-bit counter referred to herein as the LLC_victim_sample_cnt counter 109 .
  • the LLC_victim_sample_cnt counter 109 may be incremented for every L2 cache victim (0 to 31, with a roll over from 31 to 0.) If the LLC_victim_sample_cnt equals 0, the victim is allocated to the LLC regardless of a prediction decision. This allows training to continue if the predictor is in a bypass mode.
  • FIG. 2 depicts a flowchart of an example embodiment of a process 200 used by the bypass predictor 101 if a block A of data is looked up in the LLC according to the subject matter disclosed herein.
  • 201 it is determined whether the block A is in the LLC 104 . If there is a hit, flow continues to 202 where block A is returned to the L2 cache 103 and the LLC_reuse_hint[A] bit is set to 1. If at 201 , there is a miss, flow continues to 203 where the block A is obtained from DRAM (memory 106 ). Flow continues to 204 where the block A is returned to the L2 cache 103 and the LLC_reuse_hint[A] bit is set to 0.
  • FIG. 3 depicts a flowchart of an example embodiment of a process 300 used by the bypass predictor 101 that may occur upon an eviction of a block B of data from an L2 cache 103 according to the subject matter disclosed herein.
  • the LLC_reuse_hint bit may be configurable based on other conditions to provide an increase in the confidence of the prediction provided by the bypass predictor 101 . For example, if on the occurrence of an L2 cache 103 hit for a block A, the LLC_reuse_hint bit for the accessed block A may be set regardless whether it was hit or not in the LLC 104 . Thus, bypass predictor 101 may be able to quickly react to program phase changes from no-LLC-reuse to LLC-reuse.
  • FIG. 4 depicts a flowchart of an example embodiment of a process 400 used by the bypass predictor 101 that may occur if the LLC_reuse_hint bit for the block A is configurable based on a cache hit on a block A in the L2 cache 103 according to the subject matter disclosed herein.
  • the process 400 makes a bypass indication more conservative.
  • the LLC_reuse_hint[A] bit for the block A is set to be equal to 1 at 402 indicating to not perform a bypass. If there is no cache hit for the block A at 401 , flow continues to 403 where it is determined whether the LLC_reuse_hint[A] bit for the block A equals 1.
  • dirty blocks predicted to be bypassed may be written back directly to DRAM (memory 106 ) without allocating to the LLC 104 , thereby saving cache capacity and power.
  • FIG. 5 depicts a flowchart of an alternative example embodiment of a process 500 used by the bypass predictor 101 that may occur upon an eviction of a block B of data from the L2 cache 103 according to the subject matter disclosed herein.
  • the LLC_reuse_hint[B] is equal to 1. If so, flow continues to 502 where the global counter LLC_hit_cnt is incremented indicating that no bypass in the LLC 104 . Additionally, the LLC victim_sample_cnt is incremented. Flow continues to 503 .
  • the bypass predictor 101 may downgrade the block B of data in the LLC 104 to least-recently used position as opposed to completely bypassing the LLC 104 .
  • FIG. 6 depicts a flowchart of an example alternative embodiment of a process 600 used by the bypass predictor 104 that may occur upon an eviction of a block B of data from an L2 cache 103 according to the subject matter disclosed herein.
  • the LLC_reuse_hint[B] is equal to 1. If so, flow continues to 602 where the global counter LLC_hit_cnt is incremented indicating that no bypass in the LLC 104 . Additionally, the LLC victim_sample_cnt is incremented. Flow continues to 603 .

Abstract

A system and a method to allocate data to a first cache increments a first counter if a reuse indicator for the data indicates that the data is likely to be reused and decremented the counter if the reuse indicator for the data indicates that the data is likely not to be reused. A second counter is incremented upon eviction of the data from the second cache, which is a higher level cache than the first cache. The data is allocated to the first cache if the value of the first counter is equal to or greater than the first predetermined threshold or the value of the second counter equals zero, and the data is bypassed from the first cache if the value of the first counter is less than the first predetermined threshold and the value of the second counter is not equal to zero.

Description

CROSS-REFERENCE TO RELATED APPLICATION
This patent application claims the priority benefit under 35 U.S.C. § 119(e) of U.S. Provisional Patent Application No. 62/785,213, filed on Dec. 26, 2018, the disclosure of which is incorporated herein by reference in its entirety.
TECHNICAL FIELD
The subject matter disclosed herein generally relates to processing systems. More specifically, the subject matter disclosed herein relates to cache system and method for selectively bypassing allocation of a block of evicted data in an exclusive last-level cache.
BACKGROUND
Last-level caches (LLCs) are generally designed to be exclusive caches by storing data that has been cast out from an upper-level cache to preserve higher-level replacement victims for possible future reference. Not all cast-out data from an upper-level cache are useful to be cached in an LLC. For example, the cast-out data may contain useless blocks, such as non-temporal data and/or dead code and data. Bypassing the LLC for such useless cast outs and allocating useful cast outs to an LLC may save interconnect bandwidth and power, and may improve cache performance. Yet, bypassing from exclusive last-level caches (LLC) may be challenging because a LLC is unaware of program behavior as cache accesses are filtered out through higher-level caches as high-level evictions carry no program behavior information. Additionally, there is a lack of locality information in an exclusive cache because reused cache lines may be swapped back to upper-level caches, leaving no recency information to track.
Some exclusive cache management techniques may keep track of the reuse distance of data in the exclusive LLC, and respectively allocate the data at different positions of the Least-Recently-Used (LRU) stack. In order to avoid evicting the line on a subsequent fill to the same cache set, the insertion position in the stack needs to be higher than the lowest LRU position, such as LRU+1 or MRU location. However, such a cache management technique will thrash an exclusive cache when the workloads contain streaming or non-temporal patterns. For example, if a reuse distance for a requested block of data is greater than the capacity of upper-level caches and the LLC, the block of data obtained from DRAM will be inserted in the LLC, and eventually evicted before any reuse. If the block of streaming data is allocated in the LRU+1 or higher position of the LLC, the allocated streaming data replaces other blocks of data that could be re-referenced if they were kept in the LLC.
SUMMARY
An example embodiment provides a method to allocate data evicted from a first cache to a second cache that may include: determining whether a reuse indicator for a block of data indicates that the block of data is likely to be reused upon eviction of the block of data from a first cache, the first cache being a higher level cache than the second cache; incrementing a first counter if the reuse indicator for the block of data indicates that the block of data is likely to be reused; decrementing the first counter if the reuse indicator for the block of data indicates that the block of data is likely not to be reused; incrementing a second counter upon eviction of the block of data from the first cache; comparing a value of the first counter to a first predetermined threshold; determining whether a value of the second counter is equal to zero; storing the block of data to the second cache if the value of the first counter is equal to or greater than the first predetermined threshold or the value of the second counter equals zero; and writing the block of data to the system memory while bypassing the second cache if the value of the first counter is less than the first predetermined threshold and the value of the second counter is not equal to zero.
Another example embodiment provides a method to allocate data evicted from a first cache to a second cache that may include: determining whether a reuse indicator for a block of data indicates that the block of data is likely to be reused upon eviction of the block of data from a first cache, the first cache being a higher level cache than the second cache; incrementing a first counter if the reuse indicator for the block of data indicates that the block of data is likely to be reused; decrementing the first counter if the reuse indicator for the block of data indicates that the block of data is likely not to be reused; incrementing a second counter upon eviction of the block of data from the second cache; determining whether a value of the first counter is less than a first predetermined threshold; determining whether a value of the second counter is equal to zero; allocating the block of data to a location in the second cache that is above a least recently used location in the second cache if the value of the first counter is equal to or greater than the first predetermined threshold or the value of the second counter equals zero; and allocating the block of data in a least recently used location in the second cache if the value of the first counter is less than the first predetermined threshold and the value of the second counter is not equal to zero.
Still another example embodiment provides a cache system that may include a first cache, a second cache and a cache controller. The first cache may include at least one block of data, each block of data may include a tag, each tag may include a plurality of bits, and at least one bit of each tag may provide a reuse indicator of a probability that the block of data will be reused. The second cache may be a lower-level cache than the first cache. The cache controller may be coupled to the first cache and the second cache. The cache controller may include a first counter and a second counter. The cache controller may increment the first counter if the reuse indicator for a first block of data indicates that the first block of data is likely to be reused upon eviction from the first cache and decrement the first counter if the reuse indicator for the first block of data indicates that the first block of data is likely not to be reused upon eviction from the first cache. The cache controller may further increment a second counter upon eviction of the first block of data from the first cache. The cache controller may provide an indication to allocate the first block of data to the second cache if a value of the first counter is equal to or greater than a first predetermined threshold or a value of the second counter equals zero, and may provide an indication for the first block of data to bypass the second cache if the value of the first counter is less than the first predetermined threshold and the value of the second counter is not equal to zero.
Yet another example a cache system may include a first cache, a second cache and a cache controller. The first cache may include at least one block of data, each block of data may include a tag, each tag may include a plurality of bits, and at least one bit of each tag may provide a reuse indicator of a probability that the block of data will be reused. The second cache may be a lower-level cache than the first cache. The cache controller may be coupled to the first cache and the second cache. The cache controller may include a first counter and a second counter. The cache controller may increment the first counter if the reuse indicator for a first block of data indicates that the first block of data is likely to be reused upon eviction from the first cache and decrement the first counter if the reuse indicator for the first block of data indicates that the first block of data is likely not to be reused upon eviction from the first cache. The cache controller may further increment a second counter upon eviction of the first block of data from the first cache. The cache controller may provide an indication to allocate the first block of data to the second cache in a location above a least recently used (LRU) location if a value of the first counter is equal to or greater than a first predetermined threshold or a value of the second counter equals zero, and may provide an indication to allocating the first block of data in a least recently used location in the second cache if the value of the first counter is less than the first predetermined threshold and the value of the second counter is not equal to zero.
BRIEF DESCRIPTION OF THE DRAWINGS
In the following section, the aspects of the subject matter disclosed herein will be described with reference to exemplary embodiments illustrated in the figures, in which:
FIG. 1 depicts a functional block diagram of an example embodiment of a cache controller that includes a bypass predictor according to the subject matter disclosed herein;
FIG. 2 depicts a flowchart of an example embodiment of a process used by the bypass predictor if a block A of data is looked up in the LLC according to the subject matter disclosed herein;
FIG. 3 depicts a flowchart of an example embodiment of a process used by the bypass predictor that may occur upon an eviction of a block B of data from an L2 cache according to the subject matter disclosed herein;
FIG. 4 depicts a flowchart of an example embodiment of a process used by the bypass predictor that may occur if the LLC_reuse_hint bit for the block A is configurable based on a cache hit on a block A in the L2 cache according to the subject matter disclosed herein;
FIG. 5 depicts a flowchart of an alternative example embodiment of a process used by the bypass predictor that may occur upon an eviction of a block B of data from the L2 cache according to the subject matter disclosed herein; and
FIG. 6 depicts a flowchart of an example alternative embodiment of a process used by the bypass predictor that may occur upon an eviction of a block B of data from an L2 cache according to the subject matter disclosed herein.
DETAILED DESCRIPTION
In the following detailed description, numerous specific details are set forth in order to provide a thorough understanding of the disclosure. It will be understood, however, by those skilled in the art that the disclosed aspects may be practiced without these specific details. In other instances, well-known methods, procedures, components and circuits have not been described in detail not to obscure the subject matter disclosed herein.
Reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment may be included in at least one embodiment disclosed herein. Thus, the appearances of the phrases “in one embodiment” or “in an embodiment” or “according to one embodiment” (or other phrases having similar import) in various places throughout this specification may not be necessarily all referring to the same embodiment. Furthermore, the particular features, structures or characteristics may be combined in any suitable manner in one or more embodiments. In this regard, as used herein, the word “exemplary” means “serving as an example, instance, or illustration.” Any embodiment described herein as “exemplary” is not to be construed as necessarily preferred or advantageous over other embodiments. Also, depending on the context of discussion herein, a singular term may include the corresponding plural forms and a plural term may include the corresponding singular form. It is further noted that various figures (including component diagrams) shown and discussed herein are for illustrative purpose only, and are not drawn to scale. Similarly, various waveforms and timing diagrams are shown for illustrative purpose only. For example, the dimensions of some of the elements may be exaggerated relative to other elements for clarity. Further, if considered appropriate, reference numerals have been repeated among the figures to indicate corresponding and/or analogous elements.
The terminology used herein is for the purpose of describing particular exemplary embodiments only and is not intended to be limiting of the claimed subject matter. As used herein, the singular forms “a,” “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. The terms “first,” “second,” etc., as used herein, are used as labels for nouns that they precede, and do not imply any type of ordering (e.g., spatial, temporal, logical, etc.) unless explicitly defined as such. Furthermore, the same reference numerals may be used across two or more figures to refer to parts, components, blocks, circuits, units, or modules having the same or similar functionality. Such usage is, however, for simplicity of illustration and ease of discussion only; it does not imply that the construction or architectural details of such components or units are the same across all embodiments or such commonly-referenced parts/modules are the only way to implement the teachings of particular embodiments disclosed herein.
Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this subject matter belongs. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.
The term “module,” as used herein, refers to any combination of software, firmware and/or hardware configured to provide the functionality described herein in connection with a module. The software may be embodied as a software package, code and/or instruction set or instructions, and the term “hardware,” as used in any implementation described herein, may include, for example, singly or in any combination, hardwired circuitry, programmable circuitry, state machine circuitry, and/or firmware that stores instructions executed by programmable circuitry. The modules may, collectively or individually, be embodied as circuitry that forms part of a larger system, for example, but not limited to, an integrated circuit (IC), system on-chip (SoC) and so forth.
The subject matter disclosed herein provides a cache controller that includes a bypass predictor for exclusive caches, such LLCs, in which the bypass predictor includes references to data in an exclusive LLC that is swapped data back to a higher-level cache as well as reuse information for the data. The cache controller may cast out a victim from a higher-level cache to the exclusive LLC if the prediction indicates to not bypass (i.e., a high probability of reuse) and may drop, or write-through, the victim if the prediction indicates to bypass (i.e., a low probability of reuse). The cache controller may be driven by one or more higher-level caches by tracking an overall reuse pattern of the exclusive LLC and use a bypass prediction when the confidence of such a prediction is high.
The subject matter disclosed herein provides a coordinated cache management technique for exclusive caches. For example, using a 3-level cache hierarchy (L1, L2 and LLC) as disclosed herein, an L2 cache may track cache reuse and a bypass predictor that is part of a cache controller may use the reuse information to indicate that locations in the LLC be allocated to L2 evictions. To track the reuse, the bypass predictor may dynamically detect whether the working set is thrashing the LLC. If the bypass predictor predicts, or indicates thrashing, the cache controller may control the LLC to allocate blocks at LRU or, alternatively, bypass the evictions to preserve blocks in the LLC that may have a higher probability of reuse.
The bypass predictor for a cache controller disclosed herein uses a minimal amount of overhead, and can provide thrash resistance for exclusive LLCs. A higher-level cache may detect cache lines that thrash the exclusive LLC, and filter out those cache lines to only allocate re-usable blocks to the exclusive LLC to save cache capacity and power, and improve performance.
In one embodiment, a cache system may be configured as a three-level cache hierarchy that includes an L1 cache, an inclusive L2 cache, and an exclusive LLC cache. Tags of the L2 cache store LLC hit/miss information (LLC metadata) of lines in the L2 cache. Fill data allocated to the L2 cache indicate the source of data (i.e., DRAM or the LLC). The bypass predictor of the cache controller learns, or adapts, based on the LLC metadata of lines evicted from the L2 cache. If the LLC metadata indicates a poor LLC hit ratio, then the bypass predictor predicts that a next L2 cast out to be a LLC-bypassable cast out. Cast outs from the L2 cache that have been predicted as being LLC-bypassable may be dirty, in which case the cast-out data is sent directly to DRAM, thereby avoiding an LLC spill and fill situation. Alternatively, a cast out from the L2 cache may be clean, in which case no data is cast out. In one embodiment, an address of cast out may be used to update a downstream snoop filter. In addition to using LLC reuse history, the bypass predictor disclosed herein may use the reuse information of the L2 cache as part of a basis for a prediction, which may add more confidence to the prediction if the line was neither reused in the L2 cache or the LLC cache.
The bypass predictor for a cache controller disclosed herein provides a thrash-resistant mechanism for an LLC. As part of the mechanism, the bypass predictor may be configured to periodically send cast-outs to the LLC, even in a situation in which an application is thrashing the LLC. If, for example, all L2 evictions are being predicted as being LLC-bypassable, detecting that the application has shifted to a more cache-friendly phase may not be easy. To overcome this, the bypass predictor disclosed herein may periodically indicate that cast outs be sent to the LLC, even in an extreme bypass mode. The periodic sending of cast-outs may be used by the bypass predictor to sample the LLC hit rate for training, or adapting, the predictor. For large working sets, sampling allows a subset to be preserved in LLC and provides thrash resistance. In one embodiment, the sample rate may be set to be a power of 2. For example, one cast-out is allocated to the LLC for every 32 cast outs. Thrash resistance may be provided if the working set≤LLC size*Sample Rate.
FIG. 1 depicts a functional block diagram of an example embodiment of a cache controller 100 that includes a bypass predictor 101 according to the subject matter disclosed herein. The cache controller 100 may control a three-level cache hierarchy that includes an L1 cache 102, an inclusive L2 cache 103, and an exclusive LLC 104. The caches 102-104 may be connected to one or more processors 105, of which only one processor is depicted, and a main memory 106. The cache controller 100 is shown encompassing the L2 cache 103 and the LLC 104 to convey logic and/or functionality related to managing and/or controlling allocating or bypassing of victims from the L2 cache either to the LLC 104 or to the main memory 106. The entire system depicted in FIG. 1 may be a computing device that may be part of, but not limited to, a computer, a handheld device, a smartphone, a personal digital assistant (PDA), a navigation device, a communications device or an entertainment device. Additionally, the entire system depicted in FIG. 1 may include other components or devices that are not shown, such as, but not limited to, a display device, an input device, a mass storage device and a communication interface.
It should be understood that the representations of the cache controller 100 and of the bypass predictor 101 are not intended as a limitation because any alternative implementation is possible for the functionality described herein. In one embodiment, the cache controller 100 and/or the bypass predictor 101 may be modules that are embodied as any combination of software, firmware and/or hardware configured to provide the functionality described herein.
The bypass predictor 101 may include a hint bit 107, and a first counter 108. In one embodiment, the bypass predictor may further include a second counter 109. Although example bit sizes are indicated below for the hint bit 107, the first counter 108 and the second counter 109, it should be understood that bit sizes may be used that are different from the example sizes described.
The hint bit 107 may be a 1-bit indicator and is referred to herein as an LLC_reuse_hint bit 107. There may be one hint bit 107 per L2 tag. In one embodiment, the LLC_reuse_hint bit 107 may be set if a request hits in LLC.
The first counter 108 may be a 12-bit saturating counter per L2 bank and is referred to herein as LLC_hit_cnt counter 108 or a global LLC_hit_cnt counter 108. The LLC_hit_cnt 108 may be used for tracking requests. At initialization, the LLC_hit_cnt counter 108 may be set to 0xfff. Upon an L2 cache victim, the LLC_hit_cnt counter is incremented or decremented based on the LLC_reuse_hint bit associated with the tag of the line that is victimized. If the value of a LLC_hit_cnt is less than a value of a low_hit_threshold, then the bypass predictor 101 indicates that the L2 victim bypass the LLC 104, otherwise the L2 victim is cached in the LLC 104.
The second counter 109 may be a saturating 5-bit counter referred to herein as the LLC_victim_sample_cnt counter 109. There may be one LLC_victim_sample_cnt counter 109 per L2 bank. The LLC_victim_sample_cnt counter 109 may be incremented for every L2 cache victim (0 to 31, with a roll over from 31 to 0.) If the LLC_victim_sample_cnt equals 0, the victim is allocated to the LLC regardless of a prediction decision. This allows training to continue if the predictor is in a bypass mode.
FIG. 2 depicts a flowchart of an example embodiment of a process 200 used by the bypass predictor 101 if a block A of data is looked up in the LLC according to the subject matter disclosed herein. At 201, it is determined whether the block A is in the LLC 104. If there is a hit, flow continues to 202 where block A is returned to the L2 cache 103 and the LLC_reuse_hint[A] bit is set to 1. If at 201, there is a miss, flow continues to 203 where the block A is obtained from DRAM (memory 106). Flow continues to 204 where the block A is returned to the L2 cache 103 and the LLC_reuse_hint[A] bit is set to 0.
FIG. 3 depicts a flowchart of an example embodiment of a process 300 used by the bypass predictor 101 that may occur upon an eviction of a block B of data from an L2 cache 103 according to the subject matter disclosed herein. At 301, it is determined whether the LLC_reuse_hint[B] is equal to 1. If so, flow continues to 302 where the global counter LLC_hit_cnt is incremented indicating that no bypass in the LLC 104. Additionally, the LLC_victim_sample_cnt is incremented. Flow continues to 303.
If, at 301, the LLC_reuse_hint[B] is equal to 0, flow continues to 304 where the global counter LLC_hit_cnt is decremented indicating that the victim block B is to bypass the LLC 104. Additionally, the LLC_victim_sample_cnt is incremented. Flow continues to 303.
At 303 it is determined (1) whether the LLC_reuse_hit[B] equals 0, (2) the LLC_hit_cnt is less than low_hit_threshhold, and (3) the LLC_victim_sample_cnt does not equal to 0. If these three conditions are not met, flow continues to 305 where the predictor indicates that there should be no bypass of the LLC 104 for the victim block B and the LLC 104 allocates space for the block B.
If at 303, all three conditions are met, flow continues to 306 where it is determined whether B is clean data. If so, flow continues to 307 where the bypass predictor 101 indicates that the victim block B should bypass the LLC 104 and the L2 cache 103 drops block B.
If at 306, it is determined that the block B is not clean data, flow continues to 308 where the block B is not bypassed from the LLC 104 because the data is dirty even though the bypass predictor 101 may indicate that the block B may bypass the LLC. Instead, the LLC 104 allocates space for the victim block B.
The LLC_reuse_hint bit may be configurable based on other conditions to provide an increase in the confidence of the prediction provided by the bypass predictor 101. For example, if on the occurrence of an L2 cache 103 hit for a block A, the LLC_reuse_hint bit for the accessed block A may be set regardless whether it was hit or not in the LLC 104. Thus, bypass predictor 101 may be able to quickly react to program phase changes from no-LLC-reuse to LLC-reuse.
FIG. 4 depicts a flowchart of an example embodiment of a process 400 used by the bypass predictor 101 that may occur if the LLC_reuse_hint bit for the block A is configurable based on a cache hit on a block A in the L2 cache 103 according to the subject matter disclosed herein. In particular, the process 400 makes a bypass indication more conservative. At 401, upon a cache hit for the block A in the L2 cache 103, the LLC_reuse_hint[A] bit for the block A is set to be equal to 1 at 402 indicating to not perform a bypass. If there is no cache hit for the block A at 401, flow continues to 403 where it is determined whether the LLC_reuse_hint[A] bit for the block A equals 1. If so, flow continues to 404 where the LLC_reuse_hint[A] bit for the block A is kept at 1. If, at 403, the LLC_reuse_hint[A] for block A is not equal to 1, flow continues to 405 where the LLC_reuse_hint[A] for block A is set to be 0. Thus, the decision at 403 keeps the value of the LLC_reuse_hint[A] bit unchanged if the block A does not have a hit in the L2 cache at 401.
As an alternative embodiment to the example process 300 depicted in FIG. 3, instead of allocating dirty data in LLC 104 regardless of the prediction, dirty blocks predicted to be bypassed may be written back directly to DRAM (memory 106) without allocating to the LLC 104, thereby saving cache capacity and power.
FIG. 5 depicts a flowchart of an alternative example embodiment of a process 500 used by the bypass predictor 101 that may occur upon an eviction of a block B of data from the L2 cache 103 according to the subject matter disclosed herein. At 501, upon the eviction of a block B of data from the L2 cache 103, it is determined whether the LLC_reuse_hint[B] is equal to 1. If so, flow continues to 502 where the global counter LLC_hit_cnt is incremented indicating that no bypass in the LLC 104. Additionally, the LLC victim_sample_cnt is incremented. Flow continues to 503.
If, at 501, the LLC_reuse_hint[B] is equal to 0, flow continues to 504 where the global counter LLC_hit_cnt is decremented indicating that the victim block B is to bypass the LLC 104. Additionally, the LLC_victim_sample_cnt is incremented. Flow continues to 503.
At 503 it is determined (1) whether the LLC_reuse_hit[B] equals 0, (2) the LLC_hit_cnt is less than low_hit_threshhold, and (3) the LLC_victim_sample_cnt does not equal 0. If these three conditions are not met, flow continues to 505 where the bypass predictor 101 indicates that there should be no bypass of the LLC 104 for the victim block B and the LLC 104 allocates space for the block B.
If at 503, all three conditions are met, flow continues to 506 where it is determined whether B is clean data. If so, flow continues to 507 where the bypass predictor 101 indicates that the victim block B should bypass the LLC 104 and the L2 cache 103 drops block B.
If at 506, it is determined that the block B is not clean data, flow continues to 508 where the prediction for the block B of data is to bypass the LLC 104. Since the data is dirty, the L2 cache 103 writes the block B of data directly to DRAM (memory 106), thereby bypassing the LLC 104.
In one example embodiment, upon eviction of a block B of data from the L2 cache 103, the bypass predictor 101 may downgrade the block B of data in the LLC 104 to least-recently used position as opposed to completely bypassing the LLC 104.
FIG. 6 depicts a flowchart of an example alternative embodiment of a process 600 used by the bypass predictor 104 that may occur upon an eviction of a block B of data from an L2 cache 103 according to the subject matter disclosed herein. At 601, upon the eviction of a block B of data from the L2 cache 103, it is determined whether the LLC_reuse_hint[B] is equal to 1. If so, flow continues to 602 where the global counter LLC_hit_cnt is incremented indicating that no bypass in the LLC 104. Additionally, the LLC victim_sample_cnt is incremented. Flow continues to 603.
If, at 601, the LLC_reuse_hint[B] is equal to 0, flow continues to 604 where the global counter LLC_hit_cnt is decremented indicating that the victim block B is to bypass the LLC 104. Additionally, the LLC_victim_sample_cnt is incremented. Flow continues to 603.
At 603 it is determined (1) whether the LLC_reuse_hit[B] equals 0, (2) the LLC_hit_cnt is less than low_hit_threshhold, and (3) the LLC_victim_sample_cnt does not equal 0. If these three conditions are not met, flow continues to 605 where the bypass predictor 101 indicates that the block B of data should be allocated to a location above a least recently used (LRU) location in the LLC 104.
If at 603, all three conditions are met, flow continues to 606 where the prediction downgrades the block B of data and the LLC 104 allocates the block B of data in a LRU location in the LLC 104.
As will be recognized by those skilled in the art, the innovative concepts described herein can be modified and varied over a wide range of applications. Accordingly, the scope of claimed subject matter should not be limited to any of the specific exemplary teachings discussed above, but is instead defined by the following claims.

Claims (20)

What is claimed is:
1. A method to allocate data evicted from a first cache to a second cache, the method comprising:
determining upon eviction of a block of data from the first cache whether a reuse indicator for the block of data indicates that the block of data has been reused from the first cache or the second cache, the first cache being a higher level cache than the second cache;
incrementing a first counter based on the reuse indicator for the block of data indicating that the block of data has been reused;
decrementing the first counter based on the reuse indicator for the block of data indicating that the block of data has not been reused;
incrementing a second counter upon eviction of the block of data from the first cache;
comparing a value of the first counter to a first predetermined threshold;
determining whether a value of the second counter is equal to zero;
allocating the block of data to the second cache based on the value of the first counter being equal to or greater than the first predetermined threshold or the value of the second counter equaling zero; and
writing the block of data to a system memory while bypassing the second cache based on the value of the first counter being less than the first predetermined threshold and the value of the second counter being not equal to zero.
2. The method of claim 1, further comprising:
receiving a request for the block of data in the first cache;
setting the reuse indicator to indicate that the block of data has been reused based on the request for the block of data being a hit in the second cache; and
setting the reuse indicator to indicate that the block of data has not been reused based on the request for the block of data being a miss in the second cache.
3. The method of claim 1, further comprising:
receiving a request for the block of data in the first cache;
setting the reuse indicator to indicate that the block of data has been reused based on the request for the block of data being a hit in the first cache; and
setting the reuse indicator to indicate that the block of data has not been reused based on the request for the block of data being a miss in the first cache.
4. The method of claim 1, wherein writing the block of data to the system memory while bypassing the second cache based on the value of the first counter being less than the first predetermined threshold and the value of the second counter being not equal to zero further comprises:
bypassing the block of data from the second cache based on the block of data being clean data; and
allocating the block of data to the second cache based on the block of data being dirty data.
5. The method of claim 1, wherein writing the block of data to the system memory while bypassing the second cache based on the value of the first counter being less than the first predetermined threshold and the value of the second counter being not equal to zero further comprises:
dropping the block of data from the first cache based on the block of data being clean data; and
writing the block of data to the system memory based on the block of data being dirty data.
6. The method of claim 1, wherein the second cache is a last-level cache.
7. A method to allocate data evicted from a first cache to a second cache, the method comprising:
determining upon eviction of a block of data from the first cache whether a reuse indicator for the block of data indicates that the block of data has been reused from the first cache or the second cache, the first cache being a higher level cache than the second cache;
incrementing a first counter based on the reuse indicator for the block of data indicating that the block of data has been reused;
decrementing the first counter based on the reuse indicator for the block of data indicating that the block of data has not been reused;
incrementing a second counter upon eviction of the block of data from the second cache;
determining whether a value of the first counter is less than a first predetermined threshold;
determining whether a value of the second counter is equal to zero;
allocating the block of data to a location in the second cache that is above a least recently used location in the second cache based on the value of the first counter being equal to or greater than the first predetermined threshold or the value of the second counter equaling zero; and
allocating the block of data in the least recently used location in the second cache based on the value of the first counter being less than the first predetermined threshold and the value of the second counter being not equal to zero.
8. The method of claim 7, further comprising:
receiving a request for the block of data in the first cache;
setting the reuse indicator to indicate that the block of data has been reused based on the request for the block of data being a hit in the first cache; and
setting the reuse indicator to indicate that the block of data has not been reused based on the request for the block of data being a miss in the first cache.
9. The method of claim 7, further comprising:
receiving a request for the block of data in the first cache;
setting the reuse indicator to indicate that the block of data has been reused based on the request for the block of data being hit in the first cache and the reuse indicator being configurable in response to a request for the block of data; and
setting the reuse indicator to indicate that the block of data has not been reused based on the request for the block of data being a miss in the first cache and the reuse indicator being configurable in response to a request for the block of data.
10. The method of claim 7, wherein the second cache is a last-level cache.
11. A cache system, comprising:
a first cache comprising at least one block of data, each block of data comprising a tag, each tag comprising a plurality of bits, at least one bit of each tag providing a reuse indicator that a corresponding block of data has been reused;
a second cache that is a lower-level cache than the first cache; and
a cache controller coupled to the first cache and the second cache, the cache controller comprising a first counter and a second counter, the cache controller configured to increment the first counter based on the reuse indicator for a first block of data indicating that the first block of data has been reused from the first cache or the second cache upon eviction from the first cache and decrement the first counter based on the reuse indicator for the first block of data indicating that the first block of data has not been reused upon eviction from the first cache, the cache controller further configured to increment a second counter upon eviction of the first block of data from the first cache, provide an indication to allocate the first block of data to the second cache based on a value of the first counter being equal to or greater than a first predetermined threshold or a value of the second counter equaling zero, and provide an indication for the first block of data to bypass the second cache based on the value of the first counter being less than the first predetermined threshold and the value of the second counter being not equal to zero.
12. The cache system of claim 11, wherein the cache controller is configured to set the reuse indicator for the first block of data to indicate that the first block of data has been reused based on a request for the first block of data in the first cache being a hit, and sets the reuse indicator for the first block of data to indicate that the first block of data has not been reused based on the request for the first block of data in the first cache being a miss.
13. The cache system of claim 11, wherein the cache controller is configured to set the reuse indicator for the first block of data to indicate that the first block of data has been reused based on a request for the block of data in the second cache being a hit, and sets the reuse indicator to indicate that the first block of data has not been reused based on the request for the first block of data in the second cache being a miss.
14. The cache system of claim 11, wherein the cache controller is configured to provide an indication for the first block of data to bypass the second cache based on the first block of data being clean data, and provides an indication to allocate the first block of data in the second cache based on the block of data being dirty data.
15. The cache system of claim 11, wherein the cache controller is configured to provide an indication to drop the first block of data from the first cache based on the first block of data being clean data, and provides an indication to write the first block of data to a memory based on the first block of data being dirty data.
16. The cache system of claim 11, wherein the second cache is a last-level cache.
17. A cache system, comprising:
a first cache comprising at least one block of data, each block of data comprising a tag, each tag comprising a plurality of bits, at least one bit of each tag providing a reuse indicator that a corresponding block of data has been reused from the first cache;
a second cache that is a lower-level cache than the first cache, the reuse indicator for the block of data further indicating that the block of data has been reused from the second cache; and
a cache controller coupled to the first cache and the second cache, the cache controller comprising a first counter and a second counter, the cache controller configured to increment the first counter based on the reuse indicator for a first block of data indicating that the first block of data has been reused upon eviction from the first cache and decrement the first counter based on the reuse indicator for the first block of data indicating that the first block of data has not been reused upon eviction from the first cache, the cache controller further configured to increment a second counter upon eviction of the first block of data from the first cache, provide an indication to allocate the first block of data to the second cache in a location above a least recently used (LRU) location based on a value of the first counter being equal to or greater than a first predetermined threshold or a value of the second counter equaling zero, and provide an indication to allocating the first block of data in a least recently used location in the second cache based on the value of the first counter being less than the first predetermined threshold and the value of the second counter being not equal to zero.
18. The cache system of claim 17, wherein the cache controller is configured to set the reuse indicator for the first block of data to indicate that the first block of data has been reused based on a request for the first block of data in the first cache being a hit, and sets the reuse indicator for the first block of data to indicate that the first block of data has not been reused based on the request for the first block of data in the first cache being a miss.
19. The cache system of claim 17, wherein the cache controller is configured to set the reuse indicator to indicate that the first block of data has been reused based on a request for the first block of data in the second cache being a hit, and sets the reuse indicator for the first block of data to indicate that the first block of data has not been reused based on the request for the first block of data in the second cache being a miss.
20. The cache system of claim 17, wherein the second cache is a last-level cache.
US16/289,645 2018-12-26 2019-02-28 Bypass predictor for an exclusive last-level cache Active 2039-06-23 US11113207B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US16/289,645 US11113207B2 (en) 2018-12-26 2019-02-28 Bypass predictor for an exclusive last-level cache
TW108141028A TWI811484B (en) 2018-12-26 2019-11-12 Cache systam and method for allocating data evicted from first cache to second cache
KR1020190159413A KR20200080142A (en) 2018-12-26 2019-12-03 Bypass predictor for an exclusive last-level cache
CN201911367515.4A CN111382089A (en) 2018-12-26 2019-12-26 Bypass predictor for dedicated last-level cache
US17/402,492 US11609858B2 (en) 2018-12-26 2021-08-13 Bypass predictor for an exclusive last-level cache

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862785213P 2018-12-26 2018-12-26
US16/289,645 US11113207B2 (en) 2018-12-26 2019-02-28 Bypass predictor for an exclusive last-level cache

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US17/402,492 Continuation US11609858B2 (en) 2018-12-26 2021-08-13 Bypass predictor for an exclusive last-level cache
US17/402,492 Continuation-In-Part US11609858B2 (en) 2018-12-26 2021-08-13 Bypass predictor for an exclusive last-level cache

Publications (2)

Publication Number Publication Date
US20200210347A1 US20200210347A1 (en) 2020-07-02
US11113207B2 true US11113207B2 (en) 2021-09-07

Family

ID=71122799

Family Applications (1)

Application Number Title Priority Date Filing Date
US16/289,645 Active 2039-06-23 US11113207B2 (en) 2018-12-26 2019-02-28 Bypass predictor for an exclusive last-level cache

Country Status (4)

Country Link
US (1) US11113207B2 (en)
KR (1) KR20200080142A (en)
CN (1) CN111382089A (en)
TW (1) TWI811484B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210374064A1 (en) * 2018-12-26 2021-12-02 Samsung Electronics Co., Ltd. Bypass predictor for an exclusive last-level cache

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7367470B2 (en) * 2019-11-05 2023-10-24 富士通株式会社 Information processing device and cache control program
US11372764B2 (en) * 2019-11-14 2022-06-28 International Business Machines Corporation Single-copy cache using heterogeneous memory types
US11467972B2 (en) * 2020-12-01 2022-10-11 Centaur Technology, Inc. L1D to L2 eviction
US20220197798A1 (en) * 2020-12-22 2022-06-23 Intel Corporation Single re-use processor cache policy
US11886342B2 (en) * 2021-12-01 2024-01-30 International Business Machines Corporation Augmenting cache replacement operations
CN117389630B (en) * 2023-12-11 2024-03-05 北京开源芯片研究院 Data caching method and device, electronic equipment and readable storage medium

Citations (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5533189A (en) * 1994-11-28 1996-07-02 International Business Machines Corporation System and method for error correction code generation
US5546559A (en) * 1993-06-07 1996-08-13 Hitachi, Ltd. Cache reuse control system having reuse information field in each cache entry to indicate whether data in the particular entry has higher or lower probability of reuse
US5564035A (en) * 1994-03-23 1996-10-08 Intel Corporation Exclusive and/or partially inclusive extension cache system and method to minimize swapping therein
US5636359A (en) * 1994-06-20 1997-06-03 International Business Machines Corporation Performance enhancement system and method for a hierarchical data cache using a RAID parity scheme
US5915262A (en) * 1996-07-22 1999-06-22 Advanced Micro Devices, Inc. Cache system and method using tagged cache lines for matching cache strategy to I/O application
US20020099913A1 (en) * 2001-01-25 2002-07-25 Steely Simon C. Method and apparatus for adaptively bypassing one or more levels of a cache hierarchy
US20030084251A1 (en) * 2001-10-31 2003-05-01 Gaither Blaine D. Computer performance improvement by adjusting a time used for preemptive eviction of cache entries
US20040088496A1 (en) * 2002-11-05 2004-05-06 Newisys, Inc. A Delaware Corporation Cache coherence directory eviction mechanisms in multiprocessor systems
US20040133748A1 (en) * 2003-01-07 2004-07-08 Jaehyung Yang Unbalanced inclusive tags
US20060224830A1 (en) * 2005-03-30 2006-10-05 Ibm Corporation Performance of a cache by detecting cache lines that have been reused
US20070055826A1 (en) * 2002-11-04 2007-03-08 Newisys, Inc., A Delaware Corporation Reducing probe traffic in multiprocessor systems
US20070094450A1 (en) * 2005-10-26 2007-04-26 International Business Machines Corporation Multi-level cache architecture having a selective victim cache
US7228388B2 (en) * 2004-11-19 2007-06-05 International Business Machines Corporation Enabling and disabling cache bypass using predicted cache line usage
US20080059707A1 (en) * 2006-08-31 2008-03-06 Srihari Makineni Selective storage of data in levels of a cache memory
US7448031B2 (en) * 2002-10-22 2008-11-04 Intel Corporation Methods and apparatus to compile a software program to manage parallel μcaches
US20080320235A1 (en) * 2007-06-22 2008-12-25 Microsoft Corporation Processor cache management with software input via an intermediary
US7506119B2 (en) * 2006-05-04 2009-03-17 International Business Machines Corporation Complier assisted victim cache bypassing
US7793044B1 (en) * 2007-01-16 2010-09-07 Oracle America, Inc. Efficient caching of stores in scalable chip multi-threaded systems
US20110087845A1 (en) * 2009-10-14 2011-04-14 Doug Burger Burst-based cache dead block prediction
US20120159073A1 (en) * 2010-12-20 2012-06-21 Aamer Jaleel Method and apparatus for achieving non-inclusive cache performance with inclusive caches
US20120254550A1 (en) * 2011-04-01 2012-10-04 Jayesh Gaur Bypass and insertion algorithms for exclusive last-level caches
US20130042078A1 (en) * 2011-08-08 2013-02-14 Jamshed Jalal Snoop filter and non-inclusive shared cache memory
US20130166846A1 (en) * 2011-12-26 2013-06-27 Jayesh Gaur Hierarchy-aware Replacement Policy
US20130325942A1 (en) * 2012-05-30 2013-12-05 Verizon Patent And Licensing Inc. Recommender system for content delivery networks
US20140351524A1 (en) * 2013-03-15 2014-11-27 Intel Corporation Dead block predictors for cooperative execution in the last level cache
US20150039836A1 (en) * 2013-07-30 2015-02-05 Advanced Micro Devices, Inc. Methods and apparatus related to data processors and caches incorporated in data processors
US8972662B2 (en) * 2011-10-31 2015-03-03 International Business Machines Corporation Dynamically adjusted threshold for population of secondary cache
US9058269B2 (en) * 2012-06-25 2015-06-16 Advanced Micro Devices, Inc. Method and apparatus including a probe filter for shared caches utilizing inclusion bits and a victim probe bit
US20150186275A1 (en) * 2013-12-27 2015-07-02 Adrian C. Moga Inclusive/Non Inclusive Tracking of Local Cache Lines To Avoid Near Memory Reads On Cache Line Memory Writes Into A Two Level System Memory
US9110718B2 (en) * 2012-09-24 2015-08-18 Oracle International Corporation Supporting targeted stores in a shared-memory multiprocessor system
US20160019184A1 (en) * 2014-07-18 2016-01-21 Intel Corporation No-locality hint vector memory access processors, methods, systems, and instructions
US20160085677A1 (en) * 2014-09-19 2016-03-24 Advanced Micro Devices, Inc. System and method for repurposing dead cache blocks
US20160232093A1 (en) 2015-02-11 2016-08-11 Samsung Electronics Co., Ltd. Computing apparatus and method for cache management
US20160259689A1 (en) * 2015-03-04 2016-09-08 Cavium, Inc. Managing reuse information in caches
US9501411B2 (en) * 2014-08-29 2016-11-22 International Business Machines Corporation Cache backing store for transactional memory
US9660650B1 (en) * 2014-03-13 2017-05-23 Altera Corporation Integrated circuits with improved register circuitry
US20170293565A1 (en) 2016-04-08 2017-10-12 Qualcomm Incorporated Selective bypassing of allocation in a cache
US20180129613A1 (en) 2016-11-10 2018-05-10 Oracle International Corporation Cache memory architecture and policies for accelerating graph algorithms
US20180232311A1 (en) * 2017-02-13 2018-08-16 Intel Corporation Write congestion aware bypass for non-volatile memory, last level cache
US20180276140A1 (en) * 2017-03-27 2018-09-27 Samsung Electronics Co., Ltd. Snoop filter with stored replacement information, method for same, and system including victim exclusive cache and snoop filter shared replacement policies
US20180285268A1 (en) * 2017-03-31 2018-10-04 Intel Corporation Method and apparatus for reducing write congestion in non-volatile memory based last level caches
US10152423B2 (en) * 2011-10-31 2018-12-11 International Business Machines Corporation Selective population of secondary cache employing heat metrics
US20190034354A1 (en) * 2017-07-26 2019-01-31 Qualcomm Incorporated Filtering insertion of evicted cache entries predicted as dead-on-arrival (doa) into a last level cache (llc) memory of a cache memory system
US10268600B2 (en) * 2017-09-12 2019-04-23 Intel Corporation System, apparatus and method for prefetch-aware replacement in a cache memory hierarchy of a processor
US10417736B2 (en) * 2016-05-11 2019-09-17 Samsung Electronics Co., Ltd. Graphics processing unit and method of controlling cache bypass thereof
US10831678B2 (en) * 2017-11-21 2020-11-10 Arm Limited Multi-tier cache placement mechanism

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105988730B (en) * 2015-03-02 2019-03-08 华为技术有限公司 A kind of method of reading cache data, shunting device and caching system
US10169240B2 (en) * 2016-04-08 2019-01-01 Qualcomm Incorporated Reducing memory access bandwidth based on prediction of memory request size
US10037173B2 (en) * 2016-08-12 2018-07-31 Google Llc Hybrid memory management

Patent Citations (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5546559A (en) * 1993-06-07 1996-08-13 Hitachi, Ltd. Cache reuse control system having reuse information field in each cache entry to indicate whether data in the particular entry has higher or lower probability of reuse
US5564035A (en) * 1994-03-23 1996-10-08 Intel Corporation Exclusive and/or partially inclusive extension cache system and method to minimize swapping therein
US5636359A (en) * 1994-06-20 1997-06-03 International Business Machines Corporation Performance enhancement system and method for a hierarchical data cache using a RAID parity scheme
US5533189A (en) * 1994-11-28 1996-07-02 International Business Machines Corporation System and method for error correction code generation
US5915262A (en) * 1996-07-22 1999-06-22 Advanced Micro Devices, Inc. Cache system and method using tagged cache lines for matching cache strategy to I/O application
US20020099913A1 (en) * 2001-01-25 2002-07-25 Steely Simon C. Method and apparatus for adaptively bypassing one or more levels of a cache hierarchy
US20030084251A1 (en) * 2001-10-31 2003-05-01 Gaither Blaine D. Computer performance improvement by adjusting a time used for preemptive eviction of cache entries
US7448031B2 (en) * 2002-10-22 2008-11-04 Intel Corporation Methods and apparatus to compile a software program to manage parallel μcaches
US20070055826A1 (en) * 2002-11-04 2007-03-08 Newisys, Inc., A Delaware Corporation Reducing probe traffic in multiprocessor systems
US20040088496A1 (en) * 2002-11-05 2004-05-06 Newisys, Inc. A Delaware Corporation Cache coherence directory eviction mechanisms in multiprocessor systems
US20040133748A1 (en) * 2003-01-07 2004-07-08 Jaehyung Yang Unbalanced inclusive tags
US7228388B2 (en) * 2004-11-19 2007-06-05 International Business Machines Corporation Enabling and disabling cache bypass using predicted cache line usage
US20060224830A1 (en) * 2005-03-30 2006-10-05 Ibm Corporation Performance of a cache by detecting cache lines that have been reused
US20070094450A1 (en) * 2005-10-26 2007-04-26 International Business Machines Corporation Multi-level cache architecture having a selective victim cache
US7506119B2 (en) * 2006-05-04 2009-03-17 International Business Machines Corporation Complier assisted victim cache bypassing
US20080059707A1 (en) * 2006-08-31 2008-03-06 Srihari Makineni Selective storage of data in levels of a cache memory
US7793044B1 (en) * 2007-01-16 2010-09-07 Oracle America, Inc. Efficient caching of stores in scalable chip multi-threaded systems
US20080320235A1 (en) * 2007-06-22 2008-12-25 Microsoft Corporation Processor cache management with software input via an intermediary
US20110087845A1 (en) * 2009-10-14 2011-04-14 Doug Burger Burst-based cache dead block prediction
US20120159073A1 (en) * 2010-12-20 2012-06-21 Aamer Jaleel Method and apparatus for achieving non-inclusive cache performance with inclusive caches
US20120254550A1 (en) * 2011-04-01 2012-10-04 Jayesh Gaur Bypass and insertion algorithms for exclusive last-level caches
US8667222B2 (en) 2011-04-01 2014-03-04 Intel Corporation Bypass and insertion algorithms for exclusive last-level caches
US20130042078A1 (en) * 2011-08-08 2013-02-14 Jamshed Jalal Snoop filter and non-inclusive shared cache memory
US8972662B2 (en) * 2011-10-31 2015-03-03 International Business Machines Corporation Dynamically adjusted threshold for population of secondary cache
US10152423B2 (en) * 2011-10-31 2018-12-11 International Business Machines Corporation Selective population of secondary cache employing heat metrics
US20130166846A1 (en) * 2011-12-26 2013-06-27 Jayesh Gaur Hierarchy-aware Replacement Policy
US20130325942A1 (en) * 2012-05-30 2013-12-05 Verizon Patent And Licensing Inc. Recommender system for content delivery networks
US9479552B2 (en) * 2012-05-30 2016-10-25 Verizon Patent And Licensing, Inc. Recommender system for content delivery networks
US9058269B2 (en) * 2012-06-25 2015-06-16 Advanced Micro Devices, Inc. Method and apparatus including a probe filter for shared caches utilizing inclusion bits and a victim probe bit
US9110718B2 (en) * 2012-09-24 2015-08-18 Oracle International Corporation Supporting targeted stores in a shared-memory multiprocessor system
US9195606B2 (en) * 2013-03-15 2015-11-24 Intel Corporation Dead block predictors for cooperative execution in the last level cache
US20140351524A1 (en) * 2013-03-15 2014-11-27 Intel Corporation Dead block predictors for cooperative execution in the last level cache
US20150039836A1 (en) * 2013-07-30 2015-02-05 Advanced Micro Devices, Inc. Methods and apparatus related to data processors and caches incorporated in data processors
US20150186275A1 (en) * 2013-12-27 2015-07-02 Adrian C. Moga Inclusive/Non Inclusive Tracking of Local Cache Lines To Avoid Near Memory Reads On Cache Line Memory Writes Into A Two Level System Memory
US9660650B1 (en) * 2014-03-13 2017-05-23 Altera Corporation Integrated circuits with improved register circuitry
US20160019184A1 (en) * 2014-07-18 2016-01-21 Intel Corporation No-locality hint vector memory access processors, methods, systems, and instructions
US9600442B2 (en) * 2014-07-18 2017-03-21 Intel Corporation No-locality hint vector memory access processors, methods, systems, and instructions
US9501411B2 (en) * 2014-08-29 2016-11-22 International Business Machines Corporation Cache backing store for transactional memory
US20160085677A1 (en) * 2014-09-19 2016-03-24 Advanced Micro Devices, Inc. System and method for repurposing dead cache blocks
US20160232093A1 (en) 2015-02-11 2016-08-11 Samsung Electronics Co., Ltd. Computing apparatus and method for cache management
US20160259689A1 (en) * 2015-03-04 2016-09-08 Cavium, Inc. Managing reuse information in caches
US10223278B2 (en) * 2016-04-08 2019-03-05 Qualcomm Incorporated Selective bypassing of allocation in a cache
US20170293565A1 (en) 2016-04-08 2017-10-12 Qualcomm Incorporated Selective bypassing of allocation in a cache
US10417736B2 (en) * 2016-05-11 2019-09-17 Samsung Electronics Co., Ltd. Graphics processing unit and method of controlling cache bypass thereof
US20180129613A1 (en) 2016-11-10 2018-05-10 Oracle International Corporation Cache memory architecture and policies for accelerating graph algorithms
US20180232311A1 (en) * 2017-02-13 2018-08-16 Intel Corporation Write congestion aware bypass for non-volatile memory, last level cache
US20180276140A1 (en) * 2017-03-27 2018-09-27 Samsung Electronics Co., Ltd. Snoop filter with stored replacement information, method for same, and system including victim exclusive cache and snoop filter shared replacement policies
US20180285268A1 (en) * 2017-03-31 2018-10-04 Intel Corporation Method and apparatus for reducing write congestion in non-volatile memory based last level caches
US20190034354A1 (en) * 2017-07-26 2019-01-31 Qualcomm Incorporated Filtering insertion of evicted cache entries predicted as dead-on-arrival (doa) into a last level cache (llc) memory of a cache memory system
US10268600B2 (en) * 2017-09-12 2019-04-23 Intel Corporation System, apparatus and method for prefetch-aware replacement in a cache memory hierarchy of a processor
US10831678B2 (en) * 2017-11-21 2020-11-10 Arm Limited Multi-tier cache placement mechanism

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
C. Zhang, G. Sun, P. Li, T. Wang, D. Niu and Y. Chen, "SBAC: A statistics based cache bypassing method for asymmetric-access caches," 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), 2014, pp. 345-350 (Year: 2014). *
G. Sun, C. Zhang, P. Li, T. Wang and Y. Chen, "Statistical Cache Bypassing for Non-Volatile Memory," in IEEE Transactions on Computers, vol. 65, No. 11, pp. 3427-3440, Nov. 1, 2016 (Year: 2016). *
M. Kharbutli and Y. Solihin, "Counter-Based Cache Replacement and Bypassing Algorithms," in IEEE Transactions on Computers, vol. 57, No. 4, p. 433-447, Apr. 2008 (Year: 2008). *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210374064A1 (en) * 2018-12-26 2021-12-02 Samsung Electronics Co., Ltd. Bypass predictor for an exclusive last-level cache
US11609858B2 (en) * 2018-12-26 2023-03-21 Samsung Electronics Co., Ltd. Bypass predictor for an exclusive last-level cache

Also Published As

Publication number Publication date
TWI811484B (en) 2023-08-11
US20200210347A1 (en) 2020-07-02
KR20200080142A (en) 2020-07-06
TW202038102A (en) 2020-10-16
CN111382089A (en) 2020-07-07

Similar Documents

Publication Publication Date Title
US11113207B2 (en) Bypass predictor for an exclusive last-level cache
US8473689B2 (en) Predictive sequential prefetching for data caching
US10133678B2 (en) Method and apparatus for memory management
US10019368B2 (en) Placement policy for memory hierarchies
US7711902B2 (en) Area effective cache with pseudo associative memory
US9378153B2 (en) Early write-back of modified data in a cache memory
US6823428B2 (en) Preventing cache floods from sequential streams
US20140181402A1 (en) Selective cache memory write-back and replacement policies
CN111052095B (en) Multi-line data prefetching using dynamic prefetch depth
WO2017176445A1 (en) Reducing memory access bandwidth based on prediction of memory request size
CN110869914B (en) Utilization-based throttling of hardware prefetchers
US8793434B2 (en) Spatial locality monitor for thread accesses of a memory resource
US20060064547A1 (en) Method and apparatus for run-ahead victim selection to reduce undesirable replacement behavior in inclusive caches
US7809889B2 (en) High performance multilevel cache hierarchy
US9043570B2 (en) System cache with quota-based control
US10120806B2 (en) Multi-level system memory with near memory scrubbing based on predicted far memory idle time
US8473686B2 (en) Computer cache system with stratified replacement
US8886886B2 (en) System cache with sticky removal engine
US20180052778A1 (en) Increase cache associativity using hot set detection
US11609858B2 (en) Bypass predictor for an exclusive last-level cache
Yoo et al. Ldf-clock: The least-dirty-first clock replacement policy for pcm-based swap devices
CN115729860A (en) Processing system, processing method and electronic equipment
CN117222989A (en) DRAM aware cache

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TIAN, YINGYING;NAKRA, TARUN;SINHA, VIKAS;AND OTHERS;REEL/FRAME:048486/0829

Effective date: 20190227

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE