US11004844B2 - Recessed STI as the gate dielectric of HV device - Google Patents

Recessed STI as the gate dielectric of HV device Download PDF

Info

Publication number
US11004844B2
US11004844B2 US16/045,252 US201816045252A US11004844B2 US 11004844 B2 US11004844 B2 US 11004844B2 US 201816045252 A US201816045252 A US 201816045252A US 11004844 B2 US11004844 B2 US 11004844B2
Authority
US
United States
Prior art keywords
gate
sidewall portion
sidewall
semiconductor substrate
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US16/045,252
Other versions
US20180350801A1 (en
Inventor
Yi-huan Chen
Kong-Beng Thei
Fu-Jier Fan
Ker-Hsiao Huo
Kau-Chu Lin
Li-Hsuan Yeh
Szu-Hsien Liu
Yi-Sheng Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US16/045,252 priority Critical patent/US11004844B2/en
Publication of US20180350801A1 publication Critical patent/US20180350801A1/en
Priority to US17/316,155 priority patent/US20210280577A1/en
Application granted granted Critical
Publication of US11004844B2 publication Critical patent/US11004844B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823493MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0638Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for preventing surface leakage due to surface inversion layer, e.g. with channel stopper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1087Substrate region of field-effect devices of field-effect transistors with insulated gate characterised by the contact structure of the substrate region, e.g. for controlling or preventing bipolar effect

Definitions

  • High-Voltage Metal-Oxide-Semiconductor (HVMOS) devices are widely used in many electrical devices, such as Central Processing Unit (CPU) power supplies, power management systems, AC/DC converters, etc.
  • CPU Central Processing Unit
  • AC/DC converters AC/DC converters
  • HVMOS devices have different structures than Medium-Voltage Metal-Oxide-Semiconductor (MVMOS) devices and Low-Voltage Metal-Oxide-Semiconductor (LVMOS) devices.
  • MVMOS Medium-Voltage Metal-Oxide-Semiconductor
  • LVMOS Low-Voltage Metal-Oxide-Semiconductor
  • the gate dielectric of the HVMOS device is thicker than a gate dielectric of a MVMOS device and a gate dielectric of a LVMOS device.
  • the doping concentrations of high-voltage well-regions are lower than that of the well regions of MVMOS devices and LVMOS devices in order to sustain higher gate-drain voltage.
  • FIGS. 1 through 18 illustrate the cross-sectional views of intermediate stages in the formation of an n-type High-Voltage Metal-Oxide-Semiconductor (HVMOS) device and an n-type Medium-Voltage Metal-Oxide-Semiconductor (MVMOS) (or Low-Voltage Metal-Oxide-Semiconductor (LVMOS)) device in accordance with some embodiments.
  • HVMOS High-Voltage Metal-Oxide-Semiconductor
  • MVMOS Medium-Voltage Metal-Oxide-Semiconductor
  • LMOS Low-Voltage Metal-Oxide-Semiconductor
  • FIG. 19 illustrates a top view of an n-type HVMOS device in accordance with some embodiments.
  • FIG. 20 illustrates a cross-sectional view of a p-type HVMOS device and a p-type MV/LV MOS device in accordance with some embodiments.
  • FIG. 21 illustrates a process flow for forming a HVMOS device and a MV/LV MOS device in accordance with some embodiments.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “underlying,” “below,” “lower,” “overlying,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • HV MOS Metal-Oxide-Semiconductor
  • FIGS. 1 through 18 illustrate the cross-sectional views of intermediate stages in the formation of a HV MOS device in accordance with some embodiments. The steps shown in FIGS. 1 through 18 are also illustrated schematically in the process flow 300 shown in FIG. 21 .
  • FIG. 1 illustrates wafer 10 , which includes semiconductor substrate 20 and the features formed at a top surface of semiconductor substrate 20 .
  • semiconductor substrate 20 comprises crystalline silicon, crystalline germanium, silicon germanium, a III-V compound semiconductor such as GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, GaInAsP, and/or the like.
  • Semiconductor substrate 20 may also be a bulk semiconductor substrate or a semiconductor-On-Insulator (SOI) substrate.
  • SOI semiconductor-On-Insulator
  • semiconductor substrate 20 is of p-type, and has an impurity concentration lower than about 10 15 /cm 2 .
  • Semiconductor substrate 20 includes a first portion in device region 100 and a second portion in device region 200 .
  • Device region 100 is a HV device region in which HV MOS device 186 ( FIG. 18 ) is to be formed.
  • Device region 200 is a device region in which MOS device 286 ( FIG. 18 ) is to be formed. MOS device 286 is configured to operate at operation voltages (and power supply voltages) lower than the respective operation voltages (and power supply voltages) of HV MOS device 186 .
  • device region 200 is a Low-Voltage (LV) MOS device region or a medium-Voltage (MV) MOS device region. It is appreciated that the concept of HV, MV, and LV is relative to each other.
  • the HV MOS devices are configured to operate at (and having power supply voltages) higher than that of the MV MOS devices, and the MV MOS devices are configured to operate at (and having power supply voltages) higher than that of the LV MOS devices. Also, the maximum voltages that can be endured (without being damaged) by MV devices are lower than the maximum voltages that can be endured (without being damaged) by HV devices, and the maximum voltages that can be endured (without being damaged) by LV devices are lower than the maximum voltages that can be endured (without being damaged) by MV devices.
  • the operation voltages of the HV MOS devices are between about 3.0 V and about 3.3 V
  • the operation voltages and power supply voltages of the MV MOS devices are between about 1.5 V and about 2.0 V
  • the operation voltages and power supply voltages of the LV MOS devices are between about 0.7 V and about 1.0V.
  • FIGS. 1 through 4 illustrate the formation of Shallow Trench Isolation (STI) regions.
  • the respective step is shown as step 302 in the process flow shown in FIG. 21 .
  • pad layer 22 and mask layer 24 are formed on semiconductor substrate 20 .
  • Pad layer 22 may include a thin film formed of silicon oxide, which may be formed, for example, using a thermal oxidation process.
  • Pad layer 22 may act as an adhesion layer between semiconductor substrate 20 and mask layer 24 .
  • Pad layer 22 may also act as an etch stop layer for etching mask layer 24 .
  • mask layer 24 is formed of silicon nitride, for example, using Low-Pressure Chemical Vapor Deposition (LPCVD).
  • LPCVD Low-Pressure Chemical Vapor Deposition
  • mask layer 24 is formed by thermal nitridation of silicon, Plasma Enhanced Chemical Vapor Deposition (PECVD), or plasma anodic nitridation.
  • PECVD Plasma Enhanced Chemical Vapor Deposition
  • Mask layer 24 is used as a hard mask during subsequent photolithography process.
  • Photo resist 26 is formed on mask layer 24 and is then patterned to form openings 28 .
  • mask layer 24 and pad layer 22 are etched through openings 28 , exposing underlying semiconductor substrate 20 .
  • the exposed semiconductor substrate 20 is then etched, forming trenches 32 .
  • Photo resist 26 is then removed.
  • a cleaning may be performed to remove a native oxide of semiconductor substrate 20 .
  • the cleaning may be performed using diluted HF.
  • dielectric material(s) 34 is filled into trenches 32 .
  • dielectric material 34 includes a liner oxide lining the bottoms and the sidewalls of opening 32 .
  • the liner oxide may be a thermal oxide layer forming by oxidizing a surface layer of the exposed substrate 20 .
  • the liner oxide is formed using in-Situ Steam Generation (ISSG).
  • ISSG in-Situ Steam Generation
  • the liner oxide is formed using a deposition technique that can form conformal oxide layers, such as Atomic Layer Deposition (ALD), Selective Area Chemical Vapor Deposition (SACVD), or the like.
  • ALD Atomic Layer Deposition
  • SACVD Selective Area Chemical Vapor Deposition
  • the filling material includes silicon oxide, and other dielectric materials such as SiN, SiC, SiON, or the like, may also be used.
  • the filling dielectric material may be filled using High Aspect Ratio Process (HARP), High Density Plasma Chemical Vapor Deposition (HDP), SACVD, Atmospheric Pressure Chemical Vapor Deposition (APCVD), or the like.
  • HTP High Aspect Ratio Process
  • HDP High Density Plasma Chemical Vapor Deposition
  • SACVD Atmospheric Pressure Chemical Vapor Deposition
  • APCVD Atmospheric Pressure Chemical Vapor Deposition
  • the steam anneal may include annealing the structure shown in FIG. 3 at an elevated temperature, for example, between about 600° C. and about 700° C., with steam (H 2 O) introduced.
  • a planarization such as Chemical Mechanical Polish (CMP) is then performed to remove excess portions of dielectric material 34 over the top surface of mask layer 24 , resulting in the structure shown in FIG. 4 .
  • Mask layer 24 may act as a CMP stop layer.
  • the remaining portion of dielectric material 34 forms Shallow Trench Isolation (STI) regions 36 and 38 .
  • STI Shallow Trench Isolation
  • the bottom surfaces of STI regions 36 and 38 are substantially level with each other, for example, with the height difference smaller than about 10 percent of the heights of STI regions 36 and 38 .
  • mask layer 24 and pad layer 22 are removed, followed by some cleaning processes, and the resulting structure is shown in FIG. 5 .
  • Mask layer 24 when formed of silicon nitride, may be removed by a wet clean process using hot H 3 PO 4
  • pad layer 22 when formed of silicon oxide, may be removed in a wet etching process using diluted HF.
  • FIGS. 6 through 8 illustrate the formation of a plurality of doped regions through a plurality of implantation processes.
  • the plurality of doped regions includes deep n-well region 40 , High-Voltage P-Well (HVPW) regions 42 , High-Voltage N-Well (HVNW) regions 44 , and p-well region 46 .
  • the implantation processes for forming regions 40 , 42 , 44 , and 46 may be arranged in any order.
  • a photo resist (not shown) is formed to cover wafer 10 , with the region in which deep n-well region 40 is to be formed exposed to the opening in the photo resist.
  • An n-type impurity such as phosphorous, arsenic, and/or antimony is implanted deep into semiconductor substrate 20 to form deep n-well region 40 .
  • the photo resist is then removed.
  • HVPW regions 42 are implanted with boron and/or indium. After the implantation, HVPW regions 42 may have a p-type impurity concentration between about 10 15 /cm 3 and about 10 16 /cm 3 in accordance with some exemplary embodiments. Photo resist 48 is then removed.
  • HVNW regions 44 may be implanted with phosphorous, arsenic, or antimony. After the implantation, HVNW regions 44 may have an n-type impurity concentration between about 10 15 /cm 3 and about 10 16 /cm 3 in accordance with some exemplary embodiments. Photo resist 50 is then removed. The bottoms of HVNW regions 44 are joined to the deep n-well region 40 .
  • FIG. 8 illustrates the formation of p-well region 46 in device region 200 .
  • photo resist 52 is formed and patterned to cover device region 100 .
  • a p-type impurity implantation is then performed in order to form p-well region 46 .
  • P-well region 46 may be implanted with boron or indium.
  • P-well region 46 has a p-type impurity concentration higher than the impurity concentration of HVNW regions 44 and HVPW regions 42 .
  • p-well region 46 may have a p-type impurity concentration between about 10 16 /cm 3 and about 10 17 /cm 3 in accordance with some exemplary embodiments.
  • Photo resist 52 is then removed.
  • photo resist 54 is formed and patterned to form opening 56 .
  • a middle portion of STI region 36 is exposed through opening 56 .
  • STI regions 38 , HVNW regions 44 , and some HVPW regions 42 are covered by photo resist 54 .
  • a top portion of the exposed STI region 36 is etched, forming recess 58 extending into STI region 36 .
  • the respective step is shown as step 310 in the process flow shown in FIG. 21 .
  • the etching may be performed through a dry etching process using an etching gas.
  • STI region 36 comprises silicon oxide, and HF is used as the etching gas.
  • the etching may also be performed through a wet etching process using an etching solution.
  • STI region 36 comprises silicon oxide, and an etching solution including diluted HF is used.
  • a central upper portion of STI region 36 is removed, while a lower portion 36 B of STI region 36 remains.
  • the upper portions 36 A of STI region 36 remain to be on one side (such as the drain side) or on opposite sides of recess 58 .
  • the remaining bottom portion 36 B of STI region 36 has thickness T 2 .
  • the remaining upper portions 36 A of STI region 36 have thickness T 1 .
  • the etching process may be adjusted to adjust the endurable voltage and the saturation current of the resulting HV MOS device.
  • Depth D 2 of recess 58 may be in the range between about 500 ⁇ and about 1,400 ⁇ in accordance with some embodiments.
  • the optimal depth D 2 is affected by various factors such as the thickness of gate dielectric 276 ( FIG. 18 ), the minimum allowable height of gate stack 274 , etc.
  • FIG. 19 illustrates a top view of STI region 36 and the corresponding recess 58 in accordance with some embodiments of the present disclosure.
  • Recess 58 may be surrounded by STI portion 36 A.
  • recess 58 extends to edge 36 ′ of STI region 36 , wherein edge 36 ′ may be the edge facing one side (such as the source side) of the resulting HVMOS device.
  • gate stacks 160 and 260 are formed in device regions 100 and 200 , respectively. The respective step is shown as step 312 in the process flow shown in FIG. 21 .
  • Gate stacks 160 and 260 may be removed in subsequent steps and replaced by replacement gates. Accordingly, gate stacks 160 and 260 are dummy gates in accordance with some embodiments.
  • Gate stack 160 includes gate dielectric 164 and gate electrode 166 .
  • Gate stack 260 includes gate dielectric 264 and gate electrode 266 . Gate dielectrics 164 and 264 may be formed of silicon oxide, silicon nitride, silicon carbide, or the like.
  • Gate electrodes 166 and 266 may include polysilicon in accordance with some embodiments.
  • Gate electrodes 166 and 266 may also be formed of other conductive materials such as metals, metal alloys, metal silicides, metal nitrides, and/or the like.
  • gate stacks 160 and 260 further include hard masks 168 and 268 , respectively.
  • Hard mask 168 and 268 may be formed of silicon nitride, for example, while other materials such as silicon carbide, silicon oxynitride, and the like may also be used. In accordance with alternative embodiments, hard masks 168 and 268 are not formed.
  • Gate spacers 162 and 262 are formed on the sidewalls of gate stacks 160 and 260 , respectively. The respective step is also shown as step 312 in the process flow shown in FIG. 21 .
  • each of gate spacers 162 and 262 includes a silicon oxide layer and a silicon nitride layer on the silicon oxide layer.
  • the formation may include depositing blanket dielectric layers, and then performing an anisotropic etching to remove the horizontal portions of the blanket dielectric layers.
  • the available deposition methods include PECVD, Low-Pressure Chemical Vapor Deposition (LPCVD), Sub-Atmospheric Chemical Vapor Deposition (SACVD), and other deposition methods.
  • source and drain regions 170 are formed in HVNW regions 44 .
  • One of the source/drain regions 170 acts as the source region, and the other acts as the drain region.
  • a channel 173 is directly underlying STI region 36 for conducting currents between source/drain regions 170 .
  • Source/drain regions 270 are also formed in p-well region 46 . The respective steps are shown as step 314 in the process flow shown in FIG. 21 .
  • Source/drain regions 170 and 270 may be formed simultaneously in a same implantation process.
  • Source/drain regions 170 and 270 are of n-type, and are heavily doped, for example, to an n-type impurity concentration between about 10 19 /cm 3 and about 10 21 /cm 3 , and are referred to as N+ regions.
  • a photo resist (not shown) is formed to define the location of source/drain regions 170 and 270 .
  • Source/drain regions 170 may be spaced apart from ST 1 region 36 by HVNW regions 44 .
  • source/drain regions 170 may have edges aligned to the edges of gate spacers 262 .
  • pickup regions 171 which are of p-type, are formed at the surface of HVPW regions 42 through an additional implantation step.
  • P-type pickup regions 171 may also have a p-type impurity concentration between about 10 19 /cm 3 and about 10 21 /cm 3 , and are referred to as P+ regions.
  • CESL 72 is formed over gate stacks 160 and 260 and source/drain regions 170 and 270 .
  • the respective step is shown as step 316 in the process flow shown in FIG. 21 .
  • CESL 72 is formed of a material selected from silicon nitride, silicon carbide, or other dielectric materials.
  • Inter-Layer Dielectric (ILD) 74 is formed over CESL 72 .
  • the respective step is also shown as step 316 in the process flow shown in FIG. 21 .
  • ILD 74 is blanket formed to a height higher than the top surfaces of gate stacks 160 and 260 .
  • ILD 74 may be formed of an oxide using, for example, Flowable Chemical Vapor Deposition (FCVD). ILD 74 may also be a spin-on glass formed using spin-on coating. For example, ILD 74 may be formed of Phospho-Silicate Glass (PSG), Boro-Silicate Glass (BSG), Boron-Doped Phospho-Silicate Glass (BPSG), Tetraethyl Orthosilicate (TEOS) oxide, TiN, SiOC, or other low-k non-porous dielectric materials.
  • PSG Phospho-Silicate Glass
  • BSG Boro-Silicate Glass
  • BPSG Boron-Doped Phospho-Silicate Glass
  • TEOS Tetraethyl Orthosilicate
  • FIG. 15 illustrates a planarization step, which is performed using, for example, CMP.
  • the respective step is shown as step 318 in the process flow shown in FIG. 21 .
  • the CMP is performed to remove excess portions of ILD 74 and CESL 72 , until gate stack 160 is exposed. Since gate stack 160 is formed in the recess in STI region 36 , the top surface of gate stack 160 is lower than the top surface of gate stack 260 . Accordingly, in the planarization, the top portion of gate stack 260 is removed, and the height of the remaining gate stack 160 is smaller than the height of remaining gate stack 260 .
  • the planarization may be stopped on hard mask 168 , if any. Alternatively, hard mask 168 is removed in the planarization, and gate electrode 166 is exposed.
  • FIG. 16 illustrates the formation of replacement gates 174 and 274 in accordance with some embodiments.
  • Gate stacks 160 and 260 ( FIG. 15 ) are removed, and are replaced by replacement gate stacks 174 and 274 , respectively, as shown in FIG. 16 .
  • the respective step is shown as step 320 in the process flow shown in FIG. 21 .
  • Gate stack 174 includes gate dielectric 176 and gate electrode 178 .
  • Gate stack 274 includes gate dielectric 276 and gate electrode 278 .
  • Gate dielectrics 176 and 276 may include a high-k dielectric material such as hafnium oxide, lanthanum oxide, aluminum oxide, or the like.
  • Gate electrodes 178 and 278 may include conductive diffusion barrier layers formed of TiN, TaN, or the like. Gate electrodes 178 and 278 also include conductive layers such as metal-containing layers over the conductive diffusion barrier layers, wherein the metal-containing layers may be formed of cobalt, aluminum, or multi-layers thereof.
  • the formation methods include PVD, CVD, or the like.
  • a planarization step (for example, a CMP) is then performed to remove excess portions of the gate dielectrics and gate electrodes, leaving the structure in FIG. 16 .
  • FIG. 17 illustrates the formation of ILD 80 over replacement gates 174 and 274 .
  • the respective step is shown as step 322 in the process flow shown in FIG. 21 .
  • ILD 80 may be formed of a material selected from the same candidate materials for forming ILD 74 .
  • the materials of ILD 74 and ILD 80 may be the same or different from each other. Since ILD 74 and ILD 80 are formed in different process steps, there may be a distinguishable interface 79 between ILD 74 and ILD 80 , regardless of whether ILD 74 and ILD 80 are formed of a same material or different materials. In accordance with other embodiments, there is no distinguishable interface between ILD 74 and ILD 80 .
  • replacement gates are formed by replacing dummy gates, and ILD 80 is formed over the replacement gates.
  • ILD 80 is formed without replacing gate stacks 160 and 260 with replacement gates. Gate dielectrics 164 and 264 and gate electrodes 166 and 266 thus remain in the final structure.
  • source/drain silicide regions 82 and contact plugs 84 are formed.
  • the respective step is shown as step 324 in the process flow shown in FIG. 21 .
  • the formation process may include forming contact plug openings in ILD 74 and ILD 80 to expose source/drain regions 170 / 270 and gate electrodes 176 / 276 , forming a metal layer (not shown) to extend into the contact plug openings, performing an annealing to form source/drain silicide regions 82 , removing the un-reacted portions of the metal layer, and filling the contact plug openings to form contact plugs 84 .
  • gate electrodes 166 and 266 FIG.
  • MOS devices 186 and 286 are thus formed.
  • MOS device 186 includes gate electrode 178 , gate dielectric (including 36 and 176 ), and source/drain regions 170 .
  • MOS device 286 includes gate electrode 278 , gate dielectric 276 , and source/drain regions 270 .
  • MOS device 186 is a HV MOS device.
  • MOS device 286 is a MV MOS device or a LV MOS device, wherein the thickness of gate dielectric 276 (and 176 ) is selected to suit to the operation voltage levels of MOS device 286 .
  • the gate dielectric of HV MOS device 186 includes the remaining portion of STI region 36 , which is thick enough to sustain the high voltage.
  • gate dielectric 176 may also be formed as a part of the gate dielectric of HV MOS device 186 .
  • MV/LV MOS device 286 has gate dielectric 276 , which is thinner than the thickness of gate dielectric 36 .
  • gate dielectrics 176 and 276 may be formed in a same formation process, and thus have a same thickness, and are formed of a same dielectric material.
  • FIG. 19 illustrates a top view of portions of HV MOS device, wherein source/drain regions 170 are illustrated.
  • the source region 170 may be spaced apart from STI region 360 , or may contact edge 36 ′ of STI region 360 .
  • FIG. 20 illustrates a cross-sectional view of p-type HV MOS device 186 ′ and p-type MOS device 286 ′ (a LV or MV device), which is formed on the same semiconductor substrate 20 as n-type MOS devices 186 and 286 .
  • the illustrated regions in FIG. 20 are marked using same reference numerals as in FIG. 18 with a sign (′) added to show they are corresponding regions in FIG. 18 .
  • the materials and the formation processes may be realized through the formation of MOS devices 186 and 286 ( FIG. 18 ), with the conductivity types of various illustrated regions in FIG. 20 inverted from the corresponding regions shown in FIG. 18 .
  • HV MOS devices and LV/MV devices have some advantageous features. It is desirable to make HV MOS devices and LV/MV devices to share the processes for forming replacement gates in order to reduce manufacturing cost.
  • HV MOS devices have thick gate dielectrics, and hence the top surfaces of the gate dielectrics of HV MOS devices may be at substantially the same level as, or even higher than, the top surfaces of the dummy gate electrodes of the LV/MV MOS devices.
  • the planarization for exposing the dummy gate electrodes of the LV/MV MOS devices may result in the full removal of the dummy gate electrodes of the HV MOS devices.
  • This means replacement gates are unable to be formed for HV MOS devices by sharing the same process for forming replacement gates for LV/MV MOS devices.
  • the STI regions are used as the gate dielectrics of the HV MOS devices, and hence the production cost is reduced.
  • a method includes forming an isolation region extending into a semiconductor substrate, etching a top portion of the isolation region to form a recess in the isolation region, and forming a gate stack extending into the recess and overlapping a lower portion of the isolation region.
  • a source region and a drain region are formed on opposite sides of the gate stack.
  • the gate stack, the source region, and the drain region are parts of a MOS device.
  • a method includes forming a first and a second STI region extending from a top surface of a semiconductor substrate into the semiconductor substrate, and etching the first STI region to form a recess extending from a top surface of the first STI region into the first STI region.
  • the first STI region includes a lower portion underlying the recess.
  • the method further includes forming a first gate stack overlapping the lower portion of the first STI region, forming a second gate stack over and contacting a top surface of the semiconductor substrate, forming first source/drain regions on opposite sides of the first gate stack, and forming second source/drain regions on opposite sides of the second gate stack.
  • One of the second source/drain regions contacts a sidewall of the second STI region.
  • An ILD is formed over the first source/drain regions and the second source/drain regions.
  • a planarization is performed to make a top surface of the first gate stack to be coplanar with a top surface of the second gate stack.
  • an integrated circuit structure includes a semiconductor substrate.
  • An HVMOS device includes a gate dielectric having a portion lower than a top surface of the semiconductor substrate.
  • a gate electrode is over the gate dielectric, wherein the gate electrode has a portion lower than the top surface of the semiconductor substrate.
  • a source region and a drain region are on opposite sides of the gate dielectric.

Abstract

A method includes forming an isolation region extending into a semiconductor substrate, etching a top portion of the isolation region to form a recess in the isolation region, and forming a gate stack extending into the recess and overlapping a lower portion of the isolation region. A source region and a drain region are formed on opposite sides of the gate stack. The gate stack, the source region, and the drain region are parts of a Metal-Oxide-Semiconductor (MOS) device.

Description

PRIORITY CLAIM AND CROSS-REFERENCE
This application is a divisional of U.S. patent application Ser. No. 15/061,709, entitled “Recessed STI as the Gate Dielectric of HV Device,” filed on Mar. 4, 2016, which claims the benefit of U.S. Provisional Application No. 62/272,854, entitled “Recessed STI as the Gate Dielectric of HV Device,” filed on Dec. 30, 2015, which applications are hereby incorporated herein by reference.
BACKGROUND
High-Voltage Metal-Oxide-Semiconductor (HVMOS) devices are widely used in many electrical devices, such as Central Processing Unit (CPU) power supplies, power management systems, AC/DC converters, etc.
HVMOS devices have different structures than Medium-Voltage Metal-Oxide-Semiconductor (MVMOS) devices and Low-Voltage Metal-Oxide-Semiconductor (LVMOS) devices. In order to sustain high voltages applied between the gate and the drain of a HVMOS device, the gate dielectric of the HVMOS device is thicker than a gate dielectric of a MVMOS device and a gate dielectric of a LVMOS device. In addition, the doping concentrations of high-voltage well-regions are lower than that of the well regions of MVMOS devices and LVMOS devices in order to sustain higher gate-drain voltage.
BRIEF DESCRIPTION OF THE DRAWINGS
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
FIGS. 1 through 18 illustrate the cross-sectional views of intermediate stages in the formation of an n-type High-Voltage Metal-Oxide-Semiconductor (HVMOS) device and an n-type Medium-Voltage Metal-Oxide-Semiconductor (MVMOS) (or Low-Voltage Metal-Oxide-Semiconductor (LVMOS)) device in accordance with some embodiments.
FIG. 19 illustrates a top view of an n-type HVMOS device in accordance with some embodiments.
FIG. 20 illustrates a cross-sectional view of a p-type HVMOS device and a p-type MV/LV MOS device in accordance with some embodiments.
FIG. 21 illustrates a process flow for forming a HVMOS device and a MV/LV MOS device in accordance with some embodiments.
DETAILED DESCRIPTION
The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Further, spatially relative terms, such as “underlying,” “below,” “lower,” “overlying,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
A High-Voltage (HV) Metal-Oxide-Semiconductor (MOS) device and the method of forming the same are provided in accordance with various exemplary embodiments. The intermediate stages of forming the HV MOS device are illustrated. Some variations of some embodiments are discussed. Throughout the various views and illustrative embodiments, like reference numbers are used to designate like elements.
FIGS. 1 through 18 illustrate the cross-sectional views of intermediate stages in the formation of a HV MOS device in accordance with some embodiments. The steps shown in FIGS. 1 through 18 are also illustrated schematically in the process flow 300 shown in FIG. 21.
FIG. 1 illustrates wafer 10, which includes semiconductor substrate 20 and the features formed at a top surface of semiconductor substrate 20. In accordance with some embodiments of the present disclosure, semiconductor substrate 20 comprises crystalline silicon, crystalline germanium, silicon germanium, a III-V compound semiconductor such as GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, GaInAsP, and/or the like. Semiconductor substrate 20 may also be a bulk semiconductor substrate or a semiconductor-On-Insulator (SOI) substrate. In accordance with some exemplary embodiments, semiconductor substrate 20 is of p-type, and has an impurity concentration lower than about 1015/cm2.
Semiconductor substrate 20 includes a first portion in device region 100 and a second portion in device region 200. Device region 100 is a HV device region in which HV MOS device 186 (FIG. 18) is to be formed. Device region 200 is a device region in which MOS device 286 (FIG. 18) is to be formed. MOS device 286 is configured to operate at operation voltages (and power supply voltages) lower than the respective operation voltages (and power supply voltages) of HV MOS device 186. In accordance with some exemplary embodiments, device region 200 is a Low-Voltage (LV) MOS device region or a medium-Voltage (MV) MOS device region. It is appreciated that the concept of HV, MV, and LV is relative to each other. The HV MOS devices are configured to operate at (and having power supply voltages) higher than that of the MV MOS devices, and the MV MOS devices are configured to operate at (and having power supply voltages) higher than that of the LV MOS devices. Also, the maximum voltages that can be endured (without being damaged) by MV devices are lower than the maximum voltages that can be endured (without being damaged) by HV devices, and the maximum voltages that can be endured (without being damaged) by LV devices are lower than the maximum voltages that can be endured (without being damaged) by MV devices. In accordance with some exemplary embodiments, the operation voltages of the HV MOS devices are between about 3.0 V and about 3.3 V, the operation voltages and power supply voltages of the MV MOS devices are between about 1.5 V and about 2.0 V, and the operation voltages and power supply voltages of the LV MOS devices are between about 0.7 V and about 1.0V.
FIGS. 1 through 4 illustrate the formation of Shallow Trench Isolation (STI) regions. The respective step is shown as step 302 in the process flow shown in FIG. 21. Referring to FIG. 1, pad layer 22 and mask layer 24 are formed on semiconductor substrate 20. Pad layer 22 may include a thin film formed of silicon oxide, which may be formed, for example, using a thermal oxidation process. Pad layer 22 may act as an adhesion layer between semiconductor substrate 20 and mask layer 24. Pad layer 22 may also act as an etch stop layer for etching mask layer 24. In accordance with some embodiments of the present disclosure, mask layer 24 is formed of silicon nitride, for example, using Low-Pressure Chemical Vapor Deposition (LPCVD). In accordance with other embodiments, mask layer 24 is formed by thermal nitridation of silicon, Plasma Enhanced Chemical Vapor Deposition (PECVD), or plasma anodic nitridation. Mask layer 24 is used as a hard mask during subsequent photolithography process. Photo resist 26 is formed on mask layer 24 and is then patterned to form openings 28.
Referring to FIG. 2, mask layer 24 and pad layer 22 are etched through openings 28, exposing underlying semiconductor substrate 20. The exposed semiconductor substrate 20 is then etched, forming trenches 32. Photo resist 26 is then removed. Next, a cleaning may be performed to remove a native oxide of semiconductor substrate 20. The cleaning may be performed using diluted HF.
Next, as shown in FIG. 3, dielectric material(s) 34 is filled into trenches 32. In accordance with some embodiments of the present disclosure, dielectric material 34 includes a liner oxide lining the bottoms and the sidewalls of opening 32. The liner oxide may be a thermal oxide layer forming by oxidizing a surface layer of the exposed substrate 20. In accordance with alternative embodiments of the present disclosure, the liner oxide is formed using in-Situ Steam Generation (ISSG). In accordance with other embodiments, the liner oxide is formed using a deposition technique that can form conformal oxide layers, such as Atomic Layer Deposition (ALD), Selective Area Chemical Vapor Deposition (SACVD), or the like. The formation of the liner oxide rounds the corners of trenches 32 (FIG. 2), which results in the reduction of electrical fields, and hence the improvement in the performance of the resulting integrated circuits.
After the formation of the liner oxide, the remaining portions of trenches 32 are filled with another dielectric material. In accordance with some embodiments of the present disclosure, the filling material includes silicon oxide, and other dielectric materials such as SiN, SiC, SiON, or the like, may also be used. The filling dielectric material may be filled using High Aspect Ratio Process (HARP), High Density Plasma Chemical Vapor Deposition (HDP), SACVD, Atmospheric Pressure Chemical Vapor Deposition (APCVD), or the like.
Next, a steam anneal may be performed. The steam anneal may include annealing the structure shown in FIG. 3 at an elevated temperature, for example, between about 600° C. and about 700° C., with steam (H2O) introduced.
A planarization such as Chemical Mechanical Polish (CMP) is then performed to remove excess portions of dielectric material 34 over the top surface of mask layer 24, resulting in the structure shown in FIG. 4. Mask layer 24 may act as a CMP stop layer. The remaining portion of dielectric material 34 forms Shallow Trench Isolation (STI) regions 36 and 38. As shown in FIG. 4, the bottom surfaces of STI regions 36 and 38 are substantially level with each other, for example, with the height difference smaller than about 10 percent of the heights of STI regions 36 and 38.
In subsequent steps, mask layer 24 and pad layer 22 are removed, followed by some cleaning processes, and the resulting structure is shown in FIG. 5. Mask layer 24, when formed of silicon nitride, may be removed by a wet clean process using hot H3PO4, while pad layer 22, when formed of silicon oxide, may be removed in a wet etching process using diluted HF.
FIGS. 6 through 8 illustrate the formation of a plurality of doped regions through a plurality of implantation processes. The plurality of doped regions includes deep n-well region 40, High-Voltage P-Well (HVPW) regions 42, High-Voltage N-Well (HVNW) regions 44, and p-well region 46. The implantation processes for forming regions 40, 42, 44, and 46 may be arranged in any order. In accordance with some exemplary embodiments, a photo resist (not shown) is formed to cover wafer 10, with the region in which deep n-well region 40 is to be formed exposed to the opening in the photo resist. An n-type impurity such as phosphorous, arsenic, and/or antimony is implanted deep into semiconductor substrate 20 to form deep n-well region 40. The photo resist is then removed.
Next, as shown in FIG. 6, photo resist 48 is formed and patterned. A p-type impurity implantation is then performed in order to form HVPW regions 42. The respective step is shown as step 304 in the process flow shown in FIG. 21. HVPW regions 42 may be implanted with boron and/or indium. After the implantation, HVPW regions 42 may have a p-type impurity concentration between about 1015/cm3 and about 1016/cm3 in accordance with some exemplary embodiments. Photo resist 48 is then removed.
Next, as shown in FIG. 7, photo resist 50 is formed and patterned. An n-type impurity implantation is then performed in order to form HVNW regions 44. The respective step is shown as step 306 in the process flow shown in FIG. 21. HVNW regions 44 may be implanted with phosphorous, arsenic, or antimony. After the implantation, HVNW regions 44 may have an n-type impurity concentration between about 1015/cm3 and about 1016/cm3 in accordance with some exemplary embodiments. Photo resist 50 is then removed. The bottoms of HVNW regions 44 are joined to the deep n-well region 40.
FIG. 8 illustrates the formation of p-well region 46 in device region 200. In accordance with some embodiments of the present disclosure, photo resist 52 is formed and patterned to cover device region 100. A p-type impurity implantation is then performed in order to form p-well region 46. P-well region 46 may be implanted with boron or indium. P-well region 46 has a p-type impurity concentration higher than the impurity concentration of HVNW regions 44 and HVPW regions 42. For example, p-well region 46 may have a p-type impurity concentration between about 1016/cm3 and about 1017/cm3 in accordance with some exemplary embodiments. Photo resist 52 is then removed.
In a subsequent step, as shown in FIG. 9, photo resist 54 is formed and patterned to form opening 56. A middle portion of STI region 36 is exposed through opening 56. STI regions 38, HVNW regions 44, and some HVPW regions 42 are covered by photo resist 54.
Referring to FIG. 10, a top portion of the exposed STI region 36 is etched, forming recess 58 extending into STI region 36. The respective step is shown as step 310 in the process flow shown in FIG. 21. The etching may be performed through a dry etching process using an etching gas. In accordance with some embodiments of the present disclosure, STI region 36 comprises silicon oxide, and HF is used as the etching gas. The etching may also be performed through a wet etching process using an etching solution. In accordance with some embodiments of the present disclosure, STI region 36 comprises silicon oxide, and an etching solution including diluted HF is used. As a result of the etching, a central upper portion of STI region 36 is removed, while a lower portion 36B of STI region 36 remains. In addition, due to the protection of photo resist 54, the upper portions 36A of STI region 36 remain to be on one side (such as the drain side) or on opposite sides of recess 58.
The remaining bottom portion 36B of STI region 36 has thickness T2. The remaining upper portions 36A of STI region 36 have thickness T1. The etching process may be adjusted to adjust the endurable voltage and the saturation current of the resulting HV MOS device. Depth D2 of recess 58 may be in the range between about 500 Å and about 1,400 Å in accordance with some embodiments. The optimal depth D2 is affected by various factors such as the thickness of gate dielectric 276 (FIG. 18), the minimum allowable height of gate stack 274, etc. After the etching, photo resist 54 is removed, as shown in FIG. 11.
FIG. 19 illustrates a top view of STI region 36 and the corresponding recess 58 in accordance with some embodiments of the present disclosure. Recess 58 may be surrounded by STI portion 36A. In accordance with other embodiments of the present disclosure, recess 58 extends to edge 36′ of STI region 36, wherein edge 36′ may be the edge facing one side (such as the source side) of the resulting HVMOS device.
Next, referring to FIG. 12, gate stacks 160 and 260 are formed in device regions 100 and 200, respectively. The respective step is shown as step 312 in the process flow shown in FIG. 21. Gate stacks 160 and 260 may be removed in subsequent steps and replaced by replacement gates. Accordingly, gate stacks 160 and 260 are dummy gates in accordance with some embodiments. Gate stack 160 includes gate dielectric 164 and gate electrode 166. Gate stack 260 includes gate dielectric 264 and gate electrode 266. Gate dielectrics 164 and 264 may be formed of silicon oxide, silicon nitride, silicon carbide, or the like. Gate electrodes 166 and 266 may include polysilicon in accordance with some embodiments. Gate electrodes 166 and 266 may also be formed of other conductive materials such as metals, metal alloys, metal silicides, metal nitrides, and/or the like. In accordance with some embodiments of the present disclosure, gate stacks 160 and 260 further include hard masks 168 and 268, respectively. Hard mask 168 and 268 may be formed of silicon nitride, for example, while other materials such as silicon carbide, silicon oxynitride, and the like may also be used. In accordance with alternative embodiments, hard masks 168 and 268 are not formed.
Gate spacers 162 and 262 are formed on the sidewalls of gate stacks 160 and 260, respectively. The respective step is also shown as step 312 in the process flow shown in FIG. 21. In accordance with some embodiments, each of gate spacers 162 and 262 includes a silicon oxide layer and a silicon nitride layer on the silicon oxide layer. The formation may include depositing blanket dielectric layers, and then performing an anisotropic etching to remove the horizontal portions of the blanket dielectric layers. The available deposition methods include PECVD, Low-Pressure Chemical Vapor Deposition (LPCVD), Sub-Atmospheric Chemical Vapor Deposition (SACVD), and other deposition methods.
Referring to FIG. 13, source and drain regions (referred to as source/drain regions hereinafter) 170 are formed in HVNW regions 44. One of the source/drain regions 170 acts as the source region, and the other acts as the drain region. A channel 173 is directly underlying STI region 36 for conducting currents between source/drain regions 170. Source/drain regions 270 are also formed in p-well region 46. The respective steps are shown as step 314 in the process flow shown in FIG. 21. Source/ drain regions 170 and 270 may be formed simultaneously in a same implantation process. Source/ drain regions 170 and 270 are of n-type, and are heavily doped, for example, to an n-type impurity concentration between about 1019/cm3 and about 1021/cm3, and are referred to as N+ regions. A photo resist (not shown) is formed to define the location of source/ drain regions 170 and 270. Source/drain regions 170 may be spaced apart from ST1 region 36 by HVNW regions 44. On the other hand, source/drain regions 170 may have edges aligned to the edges of gate spacers 262.
In addition, pickup regions 171, which are of p-type, are formed at the surface of HVPW regions 42 through an additional implantation step. P-type pickup regions 171 may also have a p-type impurity concentration between about 1019/cm3 and about 1021/cm3, and are referred to as P+ regions.
Referring to FIG. 14, Contact Etch Stop Layer (CESL) 72 is formed over gate stacks 160 and 260 and source/ drain regions 170 and 270. The respective step is shown as step 316 in the process flow shown in FIG. 21. In accordance with some embodiments of the present disclosure, CESL 72 is formed of a material selected from silicon nitride, silicon carbide, or other dielectric materials. Inter-Layer Dielectric (ILD) 74 is formed over CESL 72. The respective step is also shown as step 316 in the process flow shown in FIG. 21. ILD 74 is blanket formed to a height higher than the top surfaces of gate stacks 160 and 260. ILD 74 may be formed of an oxide using, for example, Flowable Chemical Vapor Deposition (FCVD). ILD 74 may also be a spin-on glass formed using spin-on coating. For example, ILD 74 may be formed of Phospho-Silicate Glass (PSG), Boro-Silicate Glass (BSG), Boron-Doped Phospho-Silicate Glass (BPSG), Tetraethyl Orthosilicate (TEOS) oxide, TiN, SiOC, or other low-k non-porous dielectric materials.
FIG. 15 illustrates a planarization step, which is performed using, for example, CMP. The respective step is shown as step 318 in the process flow shown in FIG. 21. The CMP is performed to remove excess portions of ILD 74 and CESL 72, until gate stack 160 is exposed. Since gate stack 160 is formed in the recess in STI region 36, the top surface of gate stack 160 is lower than the top surface of gate stack 260. Accordingly, in the planarization, the top portion of gate stack 260 is removed, and the height of the remaining gate stack 160 is smaller than the height of remaining gate stack 260. The planarization may be stopped on hard mask 168, if any. Alternatively, hard mask 168 is removed in the planarization, and gate electrode 166 is exposed.
FIG. 16 illustrates the formation of replacement gates 174 and 274 in accordance with some embodiments. Gate stacks 160 and 260 (FIG. 15) are removed, and are replaced by replacement gate stacks 174 and 274, respectively, as shown in FIG. 16. The respective step is shown as step 320 in the process flow shown in FIG. 21. Gate stack 174 includes gate dielectric 176 and gate electrode 178. Gate stack 274 includes gate dielectric 276 and gate electrode 278.
Gate dielectrics 176 and 276 may include a high-k dielectric material such as hafnium oxide, lanthanum oxide, aluminum oxide, or the like. Gate electrodes 178 and 278 may include conductive diffusion barrier layers formed of TiN, TaN, or the like. Gate electrodes 178 and 278 also include conductive layers such as metal-containing layers over the conductive diffusion barrier layers, wherein the metal-containing layers may be formed of cobalt, aluminum, or multi-layers thereof. The formation methods include PVD, CVD, or the like. A planarization step (for example, a CMP) is then performed to remove excess portions of the gate dielectrics and gate electrodes, leaving the structure in FIG. 16.
FIG. 17 illustrates the formation of ILD 80 over replacement gates 174 and 274. The respective step is shown as step 322 in the process flow shown in FIG. 21. ILD 80 may be formed of a material selected from the same candidate materials for forming ILD 74. The materials of ILD 74 and ILD 80 may be the same or different from each other. Since ILD 74 and ILD 80 are formed in different process steps, there may be a distinguishable interface 79 between ILD 74 and ILD 80, regardless of whether ILD 74 and ILD 80 are formed of a same material or different materials. In accordance with other embodiments, there is no distinguishable interface between ILD 74 and ILD 80.
In the embodiments illustrated in FIGS. 16 and 17, replacement gates are formed by replacing dummy gates, and ILD 80 is formed over the replacement gates. In accordance with alternative embodiments, after the planarization as shown in FIG. 15, ILD 80 is formed without replacing gate stacks 160 and 260 with replacement gates. Gate dielectrics 164 and 264 and gate electrodes 166 and 266 thus remain in the final structure.
Referring to FIG. 18, source/drain silicide regions 82 and contact plugs 84 are formed. The respective step is shown as step 324 in the process flow shown in FIG. 21. The formation process may include forming contact plug openings in ILD 74 and ILD 80 to expose source/drain regions 170/270 and gate electrodes 176/276, forming a metal layer (not shown) to extend into the contact plug openings, performing an annealing to form source/drain silicide regions 82, removing the un-reacted portions of the metal layer, and filling the contact plug openings to form contact plugs 84. In the embodiments in which gate electrodes 166 and 266 (FIG. 15) are not replaced, gate silicides (not shown) may also be formed on the top of gate electrodes 166 and 266. MOS devices 186 and 286 are thus formed. MOS device 186 includes gate electrode 178, gate dielectric (including 36 and 176), and source/drain regions 170. MOS device 286 includes gate electrode 278, gate dielectric 276, and source/drain regions 270.
MOS device 186 is a HV MOS device. MOS device 286 is a MV MOS device or a LV MOS device, wherein the thickness of gate dielectric 276 (and 176) is selected to suit to the operation voltage levels of MOS device 286. The gate dielectric of HV MOS device 186 includes the remaining portion of STI region 36, which is thick enough to sustain the high voltage. In addition, gate dielectric 176 may also be formed as a part of the gate dielectric of HV MOS device 186. MV/LV MOS device 286 has gate dielectric 276, which is thinner than the thickness of gate dielectric 36. In addition, gate dielectrics 176 and 276 may be formed in a same formation process, and thus have a same thickness, and are formed of a same dielectric material.
FIG. 19 illustrates a top view of portions of HV MOS device, wherein source/drain regions 170 are illustrated. The source region 170 may be spaced apart from STI region 360, or may contact edge 36′ of STI region 360.
FIG. 20 illustrates a cross-sectional view of p-type HV MOS device 186′ and p-type MOS device 286′ (a LV or MV device), which is formed on the same semiconductor substrate 20 as n- type MOS devices 186 and 286. The illustrated regions in FIG. 20 are marked using same reference numerals as in FIG. 18 with a sign (′) added to show they are corresponding regions in FIG. 18. The materials and the formation processes may be realized through the formation of MOS devices 186 and 286 (FIG. 18), with the conductivity types of various illustrated regions in FIG. 20 inverted from the corresponding regions shown in FIG. 18.
The embodiments of the present disclosure have some advantageous features. It is desirable to make HV MOS devices and LV/MV devices to share the processes for forming replacement gates in order to reduce manufacturing cost. However, HV MOS devices have thick gate dielectrics, and hence the top surfaces of the gate dielectrics of HV MOS devices may be at substantially the same level as, or even higher than, the top surfaces of the dummy gate electrodes of the LV/MV MOS devices. As a result, the planarization for exposing the dummy gate electrodes of the LV/MV MOS devices may result in the full removal of the dummy gate electrodes of the HV MOS devices. This means replacement gates are unable to be formed for HV MOS devices by sharing the same process for forming replacement gates for LV/MV MOS devices. By recessing STI regions and forming the gate electrodes of the HV MOS devices in the recesses, the height difference between the top surfaces of the HV MOS device and LV/MV MOS devices is reduced, and the planarization may be performed without causing the full removal of the dummy gate electrodes of HV MOS devices. In addition, in accordance with the embodiments of the present disclosure, the STI regions are used as the gate dielectrics of the HV MOS devices, and hence the production cost is reduced.
In accordance with some embodiments of the present disclosure, a method includes forming an isolation region extending into a semiconductor substrate, etching a top portion of the isolation region to form a recess in the isolation region, and forming a gate stack extending into the recess and overlapping a lower portion of the isolation region. A source region and a drain region are formed on opposite sides of the gate stack. The gate stack, the source region, and the drain region are parts of a MOS device.
In accordance with some embodiments of the present disclosure, a method includes forming a first and a second STI region extending from a top surface of a semiconductor substrate into the semiconductor substrate, and etching the first STI region to form a recess extending from a top surface of the first STI region into the first STI region. The first STI region includes a lower portion underlying the recess. The method further includes forming a first gate stack overlapping the lower portion of the first STI region, forming a second gate stack over and contacting a top surface of the semiconductor substrate, forming first source/drain regions on opposite sides of the first gate stack, and forming second source/drain regions on opposite sides of the second gate stack. One of the second source/drain regions contacts a sidewall of the second STI region. An ILD is formed over the first source/drain regions and the second source/drain regions. A planarization is performed to make a top surface of the first gate stack to be coplanar with a top surface of the second gate stack.
In accordance with some embodiments of the present disclosure, an integrated circuit structure includes a semiconductor substrate. An HVMOS device includes a gate dielectric having a portion lower than a top surface of the semiconductor substrate. A gate electrode is over the gate dielectric, wherein the gate electrode has a portion lower than the top surface of the semiconductor substrate. A source region and a drain region are on opposite sides of the gate dielectric.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A device comprising:
a semiconductor substrate;
a High-Voltage Metal-Oxide-Semiconductor (HVMOS) device comprising:
a gate dielectric comprising a first portion;
a gate electrode over the gate dielectric; and
a source region and a drain region on opposite sides of the gate dielectric;
a High Voltage N-Well (HVNW) region; and a High Voltage P-Well (HVPW) region,
wherein at least one of the HVNW region and the HVPW region comprises a portion directly underlying the gate dielectric; and
an isolation region extending into the semiconductor substrate, wherein the isolation region comprises:
a bottom portion having a first top surface;
a first sidewall portion and a second sidewall portion connecting to opposing ends of the bottom portion, wherein second top surfaces of the first sidewall portion and the second sidewall portion are higher than the first top surface of the bottom portion; and
a recess between the first sidewall portion and the second sidewall portion, with a portion of the first top surface directly underlying the recess, wherein the gate dielectric and the gate electrode both extend into the recess.
2. The device of claim 1, wherein the gate dielectric is between, and is spaced apart from, the first sidewall portion and the second sidewall portion.
3. The device of claim 2, wherein the isolation region comprises a ring portion higher than, and connected to, the bottom portion, wherein the first sidewall portion and the second sidewall portion are parts of the ring portion, and in a top view of the device, the ring portion has a shape of a ring encircling a portion of the bottom portion.
4. The device of claim 1, wherein the gate dielectric further comprises a second portion and a third portion higher than a top surface of the first portion, and the second portion and the third portion contact opposite sides of the gate electrode.
5. The device of claim 4, wherein the second portion and the third portion are coplanar with a lower portion of the gate electrode.
6. The device of claim 1 further comprising an additional MOS device, wherein the additional MOS device comprises an additional gate dielectric higher than the semiconductor substrate.
7. The device of claim 6, wherein the gate dielectric further comprises a portion formed of a same material as the additional gate dielectric, and the portion of the gate dielectric comprises:
a horizontal portion contacting a top surface of the isolation region; and
vertical portions connected to opposite ends of the horizontal portion.
8. The device of claim 1, wherein the bottom portion continuously extends from the first sidewall portion to the second sidewall portion.
9. The device of claim 1, wherein the first sidewall portion, the bottom portion, and the second sidewall portion are portions of a continuous region formed of a homogenous material.
10. A device comprising:
a semiconductor substrate;
an isolation region comprising:
a first sidewall portion and a second sidewall portion extending from a top surface of the semiconductor substrate into the semiconductor substrate, wherein the first sidewall portion and the second sidewall portion comprise first top surfaces; and
a bottom portion connected to bottom ends of the first sidewall portion and the second sidewall portion, wherein the bottom portion comprises a second top surface lower than the first top surfaces;
a gate stack comprising a gate dielectric and a gate electrode over the gate dielectric, wherein a bottom surface of the gate dielectric contacts the second top surface of the bottom portion of the isolation region;
a gate spacer on a sidewall of the gate stack, wherein the gate spacer has an additional bottom surface lower than the top surface of the semiconductor substrate; and
a first source/drain region and a second source/drain region extending into the semiconductor substrate, wherein the first source/drain region and the second source/drain region are on opposite sides of the isolation region.
11. The device of claim 10, wherein the gate stack comprises:
a lower portion lower than the top surface of the semiconductor substrate; and
an upper portion higher than the top surface of the semiconductor substrate.
12. The device of claim 10, wherein the gate spacer is laterally spaced apart from both the first sidewall portion and the second sidewall portion of the isolation region.
13. The device of claim 10 further comprising:
a contact etch stop layer; and
an inter-layer dielectric over the contact etch stop layer, wherein the contact etch stop layer comprises a portion between the first sidewall portion and the second sidewall portion of the isolation region, and wherein the portion of the contact etch stop layer is lower than the first top surfaces of the first sidewall portion and the second sidewall portion.
14. The device of claim 13, wherein the inter-layer dielectric comprises an additional portion between the first sidewall portion and the second sidewall portion of the isolation region, and the additional portion is lower than the first top surfaces of the first sidewall portion and the second sidewall portion.
15. A device comprising:
a semiconductor substrate;
an isolation region extending from a top surface of the semiconductor substrate into the semiconductor substrate;
a first transistor comprising:
a first gate stack directly over a bottom portion of the isolation region, wherein the first gate stack extends into the isolation region; and
a first gate spacer on a sidewall of the first gate stack;
a second transistor comprising:
a second gate stack directly over and in contact with the semiconductor substrate; and
a second gate spacer on a sidewall of the second gate stack; and
a dielectric layer comprising a planar bottom surface, wherein the planar bottom surface comprises:
a first portion contacting the first gate stack;
a second portion contacting the second gate stack; and
a third portion directly over the first gate spacer.
16. The device of claim 15, wherein the isolation region comprises:
a first sidewall portion and a second sidewall portion over and connected to the bottom portion of the isolation region, wherein a lower portion of the first gate stack is between the first sidewall portion and the second sidewall portion, and the lower portion of the first gate stack is lower than top surfaces of the first sidewall portion and the second sidewall portion.
17. The device of claim 16 further comprising an inter-layer dielectric comprising portions on opposite sides of the first gate stack, and the portions of the inter-layer dielectric further comprise lower parts lower than the top surfaces of the first sidewall portion and the second sidewall portion of the isolation region.
18. The device of claim 15, wherein a top end of a first top surface of the first gate spacer is at a same level as a planar second top surface of the second gate spacer.
19. The device of claim 15, wherein a first height of the first gate spacer is greater than a second height of the second gate spacer.
20. The device of claim 15, wherein the first transistor further comprises a first source/drain region and a second source/drain region extending into the semiconductor substrate, and the first source/drain region and the second source/drain region are on opposite sides of the isolation region.
US16/045,252 2015-12-30 2018-07-25 Recessed STI as the gate dielectric of HV device Active 2036-04-03 US11004844B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US16/045,252 US11004844B2 (en) 2015-12-30 2018-07-25 Recessed STI as the gate dielectric of HV device
US17/316,155 US20210280577A1 (en) 2015-12-30 2021-05-10 Recessed STI as the Gate Dielectric of HV Device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562272854P 2015-12-30 2015-12-30
US15/061,709 US10916542B2 (en) 2015-12-30 2016-03-04 Recessed STI as the gate dielectric of HV device
US16/045,252 US11004844B2 (en) 2015-12-30 2018-07-25 Recessed STI as the gate dielectric of HV device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/061,709 Division US10916542B2 (en) 2015-12-30 2016-03-04 Recessed STI as the gate dielectric of HV device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/316,155 Continuation US20210280577A1 (en) 2015-12-30 2021-05-10 Recessed STI as the Gate Dielectric of HV Device

Publications (2)

Publication Number Publication Date
US20180350801A1 US20180350801A1 (en) 2018-12-06
US11004844B2 true US11004844B2 (en) 2021-05-11

Family

ID=59226804

Family Applications (3)

Application Number Title Priority Date Filing Date
US15/061,709 Active US10916542B2 (en) 2015-12-30 2016-03-04 Recessed STI as the gate dielectric of HV device
US16/045,252 Active 2036-04-03 US11004844B2 (en) 2015-12-30 2018-07-25 Recessed STI as the gate dielectric of HV device
US17/316,155 Pending US20210280577A1 (en) 2015-12-30 2021-05-10 Recessed STI as the Gate Dielectric of HV Device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US15/061,709 Active US10916542B2 (en) 2015-12-30 2016-03-04 Recessed STI as the gate dielectric of HV device

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/316,155 Pending US20210280577A1 (en) 2015-12-30 2021-05-10 Recessed STI as the Gate Dielectric of HV Device

Country Status (3)

Country Link
US (3) US10916542B2 (en)
CN (1) CN106935648B (en)
TW (1) TWI638427B (en)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6594261B2 (en) * 2016-05-24 2019-10-23 ルネサスエレクトロニクス株式会社 Semiconductor device
US10505020B2 (en) * 2016-10-13 2019-12-10 Avago Technologies International Sales Pte. Limited FinFET LDMOS devices with improved reliability
WO2018191484A1 (en) * 2017-04-13 2018-10-18 Applied Materials, Inc. Method and apparatus for deposition of low-k films
US10269621B2 (en) * 2017-04-18 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same
US10340357B2 (en) * 2017-09-25 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Dishing prevention dummy structures for semiconductor devices
US11183423B2 (en) * 2017-11-28 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Liner structure in interlayer dielectric structure for semiconductor devices
CN110034187B (en) * 2018-01-11 2022-08-23 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US10388758B2 (en) 2018-01-22 2019-08-20 Vanguard International Semiconductor Corporation Semiconductor structure having a high voltage well region
TWI684209B (en) * 2018-06-20 2020-02-01 世界先進積體電路股份有限公司 Semiconductor structure and method for fabricating the same
US10529818B1 (en) * 2018-07-26 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced flicker noise
US11527531B2 (en) * 2018-09-28 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessed gate for an MV device
US11257908B2 (en) * 2018-10-26 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with stacked semiconductor layers as channels
KR102621754B1 (en) * 2018-11-27 2024-01-05 삼성전자주식회사 Integrated circuit device including CMOS transistor
KR20200111857A (en) * 2019-03-19 2020-10-05 삼성전자주식회사 Semiconductor device
US11404410B2 (en) * 2020-04-29 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having different voltage regions
US11417739B2 (en) * 2020-10-13 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts for semiconductor devices and methods of forming the same

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090085112A1 (en) 2007-09-28 2009-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Lateral diffusion metal-oxide-semiconductor structure
US20100207204A1 (en) 2009-02-13 2010-08-19 Kim Young-Mok Semiconductor device and method of fabricating the same
US20100264481A1 (en) 2005-04-12 2010-10-21 Yoo-Cheol Shin Nonvolatile Memory Devices and Related Methods
US20120001259A1 (en) 2010-07-02 2012-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for improving gate contact
US20120074498A1 (en) * 2010-09-27 2012-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for improving gate contact
US20140117444A1 (en) 2012-11-01 2014-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral MOSFET
US20160056233A1 (en) 2014-08-21 2016-02-25 Renesas Electronics Corporation Semiconductor device and method of manufacturing the semiconductor device
US20160111488A1 (en) * 2014-10-20 2016-04-21 Globalfoundries Singapore Pte. Ltd. Integrated circuits with laterally diffused metal oxide semiconductor structures and methods for fabricating the same
US20160141298A1 (en) * 2014-11-19 2016-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Sti recess method to embed nvm memory in hkmg replacement gate technology
US20170040984A1 (en) * 2015-08-03 2017-02-09 SK Hynix Inc. Mos pass transistors and level shifters including the same
US9721806B2 (en) * 2015-03-20 2017-08-01 Semiconductor Manufacturing International (Shanghai) Corporation LDMOS device and fabrication method thereof

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10152906A1 (en) 2001-10-26 2003-05-15 Marantec Antrieb Steuerung Drive for locking elements
US7994580B2 (en) * 2005-10-19 2011-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. High voltage transistor with improved driving current
US7888734B2 (en) * 2008-12-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. High-voltage MOS devices having gates extending into recesses of substrates
TWI405270B (en) * 2009-01-07 2013-08-11 Niko Semiconductor Co Ltd Method for manufacturing trench mosfet device with low gate charge and the structure thereof
US20110084332A1 (en) * 2009-10-08 2011-04-14 Vishay General Semiconductor, Llc. Trench termination structure
US8860168B2 (en) * 2012-09-04 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Schottky isolated NMOS for latch-up prevention
US9318366B2 (en) * 2014-01-06 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming integrated circuit having modified isolation structure
US10290714B2 (en) * 2016-05-31 2019-05-14 Taiwan Semiconductor Manufacturing Company Ltd. Transistor structure with field plate for reducing area thereof

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100264481A1 (en) 2005-04-12 2010-10-21 Yoo-Cheol Shin Nonvolatile Memory Devices and Related Methods
US20090085112A1 (en) 2007-09-28 2009-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Lateral diffusion metal-oxide-semiconductor structure
US20100207204A1 (en) 2009-02-13 2010-08-19 Kim Young-Mok Semiconductor device and method of fabricating the same
US20120001259A1 (en) 2010-07-02 2012-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for improving gate contact
CN102315109A (en) 2010-07-02 2012-01-11 台湾积体电路制造股份有限公司 Semiconductor device and method for manufacturing the same
US20120074498A1 (en) * 2010-09-27 2012-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for improving gate contact
US8524570B2 (en) * 2010-09-27 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for improving gate contact
CN103811549A (en) 2012-11-01 2014-05-21 台湾积体电路制造股份有限公司 Lateral mosfet
US20140117444A1 (en) 2012-11-01 2014-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral MOSFET
US9691895B2 (en) 2012-11-01 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral MOSFET
US20160056233A1 (en) 2014-08-21 2016-02-25 Renesas Electronics Corporation Semiconductor device and method of manufacturing the semiconductor device
US9589954B2 (en) 2014-08-21 2017-03-07 Renesas Electronics Corporation Semiconductor device having recess filled with insulating material provided between source/drain impurity region and gate insulator
US20160111488A1 (en) * 2014-10-20 2016-04-21 Globalfoundries Singapore Pte. Ltd. Integrated circuits with laterally diffused metal oxide semiconductor structures and methods for fabricating the same
US20160141298A1 (en) * 2014-11-19 2016-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Sti recess method to embed nvm memory in hkmg replacement gate technology
US9721806B2 (en) * 2015-03-20 2017-08-01 Semiconductor Manufacturing International (Shanghai) Corporation LDMOS device and fabrication method thereof
US20170040984A1 (en) * 2015-08-03 2017-02-09 SK Hynix Inc. Mos pass transistors and level shifters including the same

Also Published As

Publication number Publication date
CN106935648A (en) 2017-07-07
CN106935648B (en) 2020-01-07
US20210280577A1 (en) 2021-09-09
TWI638427B (en) 2018-10-11
TW201735264A (en) 2017-10-01
US20170194320A1 (en) 2017-07-06
US10916542B2 (en) 2021-02-09
US20180350801A1 (en) 2018-12-06

Similar Documents

Publication Publication Date Title
US11004844B2 (en) Recessed STI as the gate dielectric of HV device
US10186511B2 (en) Metal gate isolation structure and method forming same
US9570545B2 (en) High voltage trench transistor
US8461647B2 (en) Semiconductor device having multi-thickness gate dielectric
US9871132B1 (en) Extended drain metal-oxide-semiconductor transistor
CN105009296B (en) Dual RESURF trench field plate in vertical MOSFET
US9698260B1 (en) High voltage device with low Rdson
US10937795B2 (en) Seal method to integrate non-volatile memory (NVM) into logic or bipolar CMOS DMOS (BCD) technology
US9793372B1 (en) Integrated circuit including a dummy gate structure and method for the formation thereof
US8492226B2 (en) Trench transistor
US20110260245A1 (en) Cost Effective Global Isolation and Power Dissipation For Power Integrated Circuit Device
CN107180869B (en) Semiconductor device and method of forming the same
US6262459B1 (en) High-voltage device and method for manufacturing high-voltage device
US11538914B2 (en) Semiconductor device
US10283622B1 (en) Extended drain transistor on a crystalline-on-insulator substrate
US10607881B2 (en) Device isolation structure and methods of manufacturing thereof
TW201937565A (en) Dual gate LDMOS and a process of forming thereof
CN109585558B (en) LDMOS FINFET structure with multiple gate structures
US20220367452A1 (en) Semiconductor structure and method of forming thereof
US9818859B2 (en) Quasi-vertical power MOSFET and methods of forming the same
CN110690116B (en) Semiconductor structure and manufacturing method thereof
CN110416302B (en) Semiconductor device and manufacturing method thereof
JP2024001290A (en) Semiconductor device
JP5071652B2 (en) Semiconductor device

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE