US10868135B2 - High electron mobility transistor structure - Google Patents

High electron mobility transistor structure Download PDF

Info

Publication number
US10868135B2
US10868135B2 US16/219,705 US201816219705A US10868135B2 US 10868135 B2 US10868135 B2 US 10868135B2 US 201816219705 A US201816219705 A US 201816219705A US 10868135 B2 US10868135 B2 US 10868135B2
Authority
US
United States
Prior art keywords
semiconductor layer
recesses
layer
drain
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US16/219,705
Other versions
US20190131427A1 (en
Inventor
Chen-Ju YU
Chih-Wen Hsiung
Fu-Wei YAO
Chun-Wei Hsu
King-Yuen Wong
Jiun-Lei Jerry Yu
Fu-Chih Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US16/219,705 priority Critical patent/US10868135B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HSU, CHUN-WEI, WONG, KING-YUEN, YANG, FU-CHIH, YU, CHEN-JU, YU, JIUN-LEI JERRY, HSIUNG, CHIH-WEN, YAO, FU-WEI
Publication of US20190131427A1 publication Critical patent/US20190131427A1/en
Application granted granted Critical
Publication of US10868135B2 publication Critical patent/US10868135B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2258Diffusion into or out of AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • H01L29/205Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66431Unipolar field-effect transistors with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • H01L29/7787Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET

Definitions

  • This disclosure relates generally to semiconductor circuit manufacturing processes and, more particularly, to a group-III group-V (III-V) compound semiconductor based transistor.
  • III-V compound semiconductors such as gallium nitride (GaN) and its related alloys
  • GaN gallium nitride
  • III-V compound semiconductors have been under intense research in recent years due to their promising applications in power electronic and optoelectronic devices.
  • the large band gap and high electron saturation velocity of many III-V compound semiconductors also make them excellent candidates for applications in high temperature, high voltage, and high-speed power electronics.
  • III-V compound semiconductors include high electron mobility transistor (HEMT) and other heterojunction bipolar transistors.
  • a HEMT forms a large surface electric field around a gate edge, which affects the depletion region curve in a drift region between a gate structure and a drain. While large electric field is one of the benefits of HEMT for use in power applications, the distribution of the depletion region during operation can negatively affect the breakdown voltage for the HEMT.
  • negative bias is applied to the gate of the HEMT, the depletion region curve is formed directly under the gate and causes high surface electric field concentration around the gate edge. The electric field concentration around the gate decreases breakdown voltage.
  • a metallic field plate is sometimes added over or next to the gate structure over a passivation layer that is between the gate structure and the drain.
  • the field plate modulates the surface electric field distribution and enhances the breakdown voltage.
  • new structures with high breakdown voltage for III-V compound semiconductor based transistors and methods for forming them continue to be sought.
  • FIG. 1A is a cross sectional view of a high electron mobility transistor (HEMT) structure in accordance with various embodiments of the present disclosure.
  • HEMT high electron mobility transistor
  • FIG. 1B is an expanded view of a portion of the HEMT of FIG. 1A .
  • FIGS. 2A to 2D are top views of a portion of the HEMT structure of FIG. 1A from view plane 110 of FIG. 1A in accordance with various embodiments of the present disclosure.
  • FIGS. 3A to 3C are flow charts of methods for forming a HEMT structure in accordance with certain embodiments of the present disclosure.
  • FIGS. 4A to 4E are cross section schematics of a HEMT in various stages of formation according to various method embodiments of the present disclosure.
  • FIG. 5 is a plot of simulated peak surface electric field as a function of position on the HEMT structures in accordance with various embodiments of the present disclosure.
  • III-V compound semiconductor refers to compound semiconductor materials comprising at least one group III element and one group-V element.
  • III-N compound semiconductor refers to a III-V compound semiconductor in which the group V element is nitrogen.
  • FIG. 1A shows an example power transistor device 100 according to various embodiments of the present disclosure.
  • FIG. 1B shows an expanded view of a portion of the power transistor device 100 of FIG. 1A .
  • the power transistor device 100 may be a high electron mobility transistor (HEMT).
  • HEMT high electron mobility transistor
  • the HEMT 100 is formed over a silicon substrate 101 .
  • a number of layers are grown over the silicon substrate 101 using an epitaxial process.
  • the layers include an optional nucleation layer of aluminum nitride layer (not shown), an optional buffer layer (not shown), and a bulk gallium nitride layer 109 , which may be grown over the buffer layer.
  • the bulk gallium nitride (GaN) layer 109 is a channel layer for the HEMT 100 .
  • the HEMT 100 includes an active layer 111 on top of the bulk GaN layer 109 .
  • the active layer 111 also referred to as donor-supply layer, is grown on the channel layer 109 .
  • An interface is defined between the channel layer 109 and the donor-supply layer 111 .
  • a carrier channel 113 of two-dimensional electron gas (2-DEG) is located at the interface.
  • the donor-supply 111 is an aluminum gallium nitride (AlGaN) layer.
  • the AlGaN layer has a formula of Al x Ga (1-x) N, wherein x varies between about between about 0.1 and 1.0. It has a thickness in a range from about 5 nanometers to about 50 nanometers.
  • the donor-supply layer 111 may include an AlGaAs layer, or AlInP layer.
  • a band gap discontinuity exists between the AlGaN layer 111 and the GaN layer 109 .
  • the electrons from a piezoelectric effect in the AlGaN layer 111 drop into the GaN layer 109 , creating a thin layer 113 of highly mobile conducting electrons in the GaN layer 109 .
  • This thin layer 113 is referred to as a two-dimensional electron gas (2-DEG), forming a carrier channel (also referred to as the carrier channel 113 ).
  • the thin layer 113 of 2-DEG is located at an interface of the AlGaN layer 111 and the GaN layer 109 .
  • the carrier channel has high electron mobility because the GaN layer 109 is undoped or unintentionally doped, and the electrons can move freely without collision or substantially reduced collision with the impurities.
  • a source feature 115 and a drain feature 117 are disposed on the AlGaN layer 111 and configured to electrically connect to the carrier channel 113 .
  • Each of the source feature 115 and the drain feature 117 comprises a corresponding intermetallic compound.
  • the intermetallic compound is may be embedded in the AlGaN layer 111 and may be further embedded in a top portion of the GaN layer 109 .
  • the intermetallic compound comprises Al, Ti, or Cu.
  • the intermetallic compound comprises AN, TiN, Al 3 Ti or AlTi 1 N.
  • the HEMT 100 also includes a gate structure 119 disposed on the AlGaN layer 111 between the source feature 115 and the drain feature 117 .
  • the gate 119 includes a conductive material layer which functions as the gate electrode configured for voltage bias and electrical coupling with the carrier channel 113 .
  • the conductive material layer may include a refractory metal or its compounds, e.g., tungsten (W), titanium nitride (TiN) and tantalum (Ta). Other commonly used metals in the conductive material layer include nickel (Ni) and gold (Au).
  • the gate structure may include one layer or many layers.
  • a dielectric layer 104 covers a drift region 107 of the donor-supply layer 111 between the gate structure 119 and drain 117 .
  • the dielectric layer 104 includes a dielectric plug portion and a top portion over the dielectric plug portion.
  • FIG. 1B shows an expanded view of a portion of the HEMT 100 in the drift region 107 close to the gate structure 119 .
  • Dielectric layer 104 includes a first portion 103 , also referred to as dielectric plug portion 103 , and a second portion 105 , also referred to as a top portion 105 .
  • the dielectric plug 103 is embedded in the donor-supply layer 111 and has a height 123 .
  • the donor-supply layer 111 under the dielectric plug 103 has a thickness 125 , which is less than a bulk donor-supply layer thickness 121 .
  • the top portion 105 of the dielectric layer 104 has a relatively constant thickness.
  • the dielectric layer 104 may include one or many dielectric plugs 103 .
  • a height 123 of the dielectric plugs 103 may be about 3 nm to about 10 nm. In some cases, the height of the dielectric plugs 103 may depend on the thickness 121 of the donor-supply layer 111 .
  • the donor-supply layer thickness 125 under the dielectric plug 103 is at least 40% of the thickness 121 of other portions of the donor-supply layer 111 . In other embodiments, the donor-supply layer thickness 125 is at least about 15 nanometers.
  • the dielectric plug height 123 may be less than about 10 nanometers, by ensuring first that the donor-supply layer thickness 125 under the dielectric plug is at least 15 nanometers. In another example, if the bulk donor-supply layer thickness 121 is 50 nanometers, the dielectric plug thickness 123 may be up to about 30 nm, or up to about 60% of the bulk donor-supply layer thickness. Generally, the donor-supply layer 111 under the dielectric plug 103 has a thickness sufficient to avoid affecting the ability of the carrier channel 113 to conduct during operation. Yet the dielectric plug height 123 of the dielectric plug should be enough to affect the surface electric field around the gate structure 119 .
  • the dielectric plug height 123 depends on the electrical properties and the physical dimensions of the HEMT 100 .
  • short dielectric plugs 103 for example, less than 40% of the bulk donor-supply layer thickness, may be used when the bulk gallium nitride layer 109 is thick and the drift region 107 is much larger than the region between the gate structure 119 and the source 115 . In these circumstances, the breakdown voltage is naturally high and a lesser amount modulation of the surface electric field may be sufficient.
  • the dielectric plug 103 may be taller, for example, up to about 60% of the bulk donor-supply layer thickness.
  • the depletion region formed may extend past a thin gallium nitride layer 109 and interact with the underlying substrate. Similar rationale applies when the distance between the gate structure 119 and the drain 117 (drift region 107 ) is small. During operation when the drain is subjected to a high voltage, the depletion region curve may extend past a short drift region 107 . Thus, taller dielectric plugs 103 may be used to effectively modulate the surface electrical field.
  • the dielectric plug 103 is shown located a distance 127 away from the gate structure 119 .
  • Distance 127 may be between zero to several micrometers, and may be negative in some embodiments. In certain embodiments, the dielectric plug adjoins the gate structure edge. In other embodiments, the dielectric plug may even be slightly under the gate structure edge. Distance 127 is not greater than a width of the dielectric plug 103 to provide good efficacy to modulate the surface electric field at the gate structure edge.
  • the dielectric layer 104 is deposited over the donor-supply layer 111 having cavities etched therein. The etching may occur before or after the source, drain, and gate structure formations. However, the dielectric layer 104 is usually deposited after the gate structure is formed to avoid the additional step of removing a portion of the dielectric layer 104 for the gate structure 119 .
  • the dielectric layer 104 may be silicon oxide, silicon nitride, silicon oxynitride, carbon doped silicon oxide, carbon doped silicon nitride, carbon doped silicon oxynitride, zinc oxide, zirconium oxide, hafnium oxide or titanium oxide.
  • the dielectric layer is deposited using a known process such as chemical vapor deposition (CVD).
  • FIGS. 2A to 2D are top views of various dielectric plugs in accordance with various embodiments of the present disclosure.
  • the top views of the HEMT 100 of FIG. 1A cut along line 110 .
  • Line 110 separates the dielectric layer 104 into top portion 105 and dielectric plug 103 .
  • FIG. 2A shows a total of four dielectric plugs 203 in a drift region 207 .
  • four dielectric plugs 203 are dispersed between the gate structure 219 and drain 217 , although fewer or more dielectric plugs may be used.
  • Each dielectric plug 203 has a width.
  • the dielectric plug 203 proximate to the gate structure 219 has the largest width.
  • the other dielectric plugs 203 have the same width.
  • a widest of the dielectric plugs 203 is closest to the gate structure 119 . While not required for the present disclosure to reduce breakdown voltage of the transistor 100 , having wider dielectric plugs closest to the gate structure 119 result in greater reductions of breakdown voltages.
  • the dielectric plugs 203 are the same width and may be equally spaced. In examples where multiple dielectric plugs are used, the widths of dielectric plug and drift region 207 not occupied by any dielectric plug adjacent to the dielectric plug may be between about 3:1 to about 1:3, for example, width 225 to width 227 in FIG. 2A . In other embodiments, a sum of total dielectric plug widths may be about 40% to about 75% of the total drift region 207 width.
  • the features all have the same length so that the widths are a proxy for area.
  • the dielectric plugs need not have same lengths, shapes or sizes.
  • a total dielectric plug area may be about 40% to about 75% of the total drift region 207 area.
  • FIG. 2B shows checkered pattern dielectric plugs 203 .
  • This design may be used to smooth the surface electric field by interspersing the dielectric plugs 203 throughout the drift region 207 .
  • a ratio of a dielectric plug area to an adjacent area of the drift region 207 that is not occupied by a dielectric plug 203 may be about 3:1 to about 1:3. As shown in FIG. 2B , the ratio is about 1:1. In some embodiments, the dielectric plugs 203 are not the same size.
  • FIG. 2C shows trapezoidal dielectric plugs 203 .
  • the trapezoidal dielectric plugs 203 have a shorter width and a longer width.
  • FIG. 2D shows a top view having only one dielectric plug 203 .
  • the dielectric plug area as a percentage of the total drift region area is between about 5% to about 20%. In other embodiments where more than one dielectric plug is used, a total dielectric plug area may be about 40% to about 75% of the total drift region area.
  • the various dielectric plugs shown in FIGS. 2A to 2D are merely examples.
  • the dielectric plugs may be polygons, such as quadrilaterals shown in FIGS. 2A-2D .
  • the dielectric plugs may have more than four sides or may be circular or irregular.
  • FIGS. 3A to 3C are process flow charts illustrating various operations of a method to form the HEMT of the present disclosure.
  • FIGS. 3A to 3C are discussed in association with FIGS. 4A to 4E that shows a partially fabricated HEMT in various stages of manufacturing.
  • FIG. 3A illustrates a process flow 300 that describes operations to make a HEMT in accordance with various embodiments of the present disclosure.
  • a silicon substrate is provided in the first operation 301 .
  • FIGS. 4A to 4E show the silicon substrate 401 . Note that the various elements in the figures are not drawn to scale. While HEMTs are often manufactured on a substrate other than silicon substrate, such as sapphire and silicon carbide, the methods and structures disclosed herein are specific silicon fabrication processes and silicon-based structures.
  • a bulk layer of undoped gallium nitride (u-GaN) is epitaxially grown over the silicon substrate.
  • the -u-GaN layer is shown in FIGS. 4A to 4E as layer 409 over the silicon substrate 401 .
  • the bulk layer of undoped gallium nitride 409 is epitaxially grown over the silicon substrate 401 , which may include intervening layers such as a nucleation layer and/or a buffer layer.
  • the bulk layer of gallium nitride 409 does not include any dopant, but may include contaminants or impurities that are incorporated in the film unintentionally.
  • the bulk layer of gallium nitride may be referred to as an unintentionally doped gallium nitride (UID GaN) layer.
  • the UID gallium nitride may be about 0.5 microns to about 1 micron thick.
  • the UID GaN layer is grown under high temperature conditions.
  • the process may be metal organic CVD (MOCVD), metal organic vapor phase epitaxy (MOVPE), plasma enhanced CVD (PECVD), remote plasma enhanced CVD (RP-CVD), molecular beam epitaxy (MBE), hydride vapor phase epitaxy (HVPE), chloride vapor-phase epitaxy (Cl-VPE), and liquid phase epitaxy (LPE).
  • MOCVD metal organic CVD
  • MOVPE metal organic vapor phase epitaxy
  • PECVD plasma enhanced CVD
  • RP-CVD remote plasma enhanced CVD
  • MBE molecular beam epitaxy
  • HVPE hydride vapor phase epitaxy
  • the gallium-containing precursor includes trimethylgallium (TMG), triethylgallium (TEG), or other suitable chemical.
  • the nitrogen-containing precursor includes ammonia (NH 3 ), trimethylaluminum (TMA), phenyl hydrazine, or other suitable chemical.
  • a donor-supply layer is grown over the UID GaN layer.
  • the donor-supply layer is shown in FIGS. 4A to 4E as layer 411 over the UID GaN layer 409 .
  • the donor-supply layer 411 can be epitaxially grown on the GaN layer 409 by MOVPE using an aluminum-containing precursor, a gallium-containing precursor, and a nitrogen-containing precursor.
  • the aluminum-containing precursor includes TMA, TEA (triethylaluminum), or other suitable chemical.
  • the gallium-containing precursor includes TMG, TEG, or other suitable chemical.
  • the nitrogen-containing precursor includes ammonia, tertiarybutylamine (TBAm), phenyl hydrazine, or other suitable chemical.
  • the band gap discontinuity between the donor-supply layer 411 and the UID GaN layer 409 forms a carrier channel 413 at the interface between the two layers 411 and 409 .
  • the carrier channel 413 is also called a two-dimensional electron gas (2-DEG), where electrons have high mobility when the transistor is on.
  • FIG. 4B shows the source 415 and the drain 417 on the donor-supply layer 411 .
  • the source 415 and the drain 417 are intermetallic compounds or alloys.
  • the intermetallic compound may be formed by constructing a patterned metal layer over the donor supply layer 411 or fully or partially in a cavity of the donor-supply layer 411 . The patterned metal layer may then be annealed such that the metal layer, the donor-supply layer 411 and optionally the GaN layer 409 react to form the intermetallic compound.
  • the metal elements in the intermetallic compound may diffuse into the donor-supply layer 411 and the GaN layer 409 .
  • the intermetallic compound contacts the carrier channel 413 located at the interface of the donor-supply layer 411 and the GaN layer 409 .
  • the intermetallic compound may improve electrical connection and form ohmic contacts between the source/drain features and the carrier channel 413 .
  • the intermetallic compound is formed in a cavity of the donor-supply layer 411 as well as a portion of the donor-supply layer around the cavity such that the intermetallic compound follows the contour of the donor-supply layer 411 and has a non-flat top surface.
  • intermetallic compound overlies a portion of the donor supply layer 411 .
  • FIG. 3B further separates the operation 307 into detailed sub-operations.
  • a number of metal layers are deposited over the donor-supply layer, which may include openings etched in the donor-supply layer for the source and the drain.
  • the metal layers may include titanium, aluminum, and copper.
  • the metal layers are a titanium, aluminum, and titanium stack.
  • the metal layers are deposited using a physical vapor deposition (PVD) process.
  • PVD physical vapor deposition
  • a plasma is formed in a chamber proximate to a target containing the metal to be deposited. Ions in the plasma bombard the target causing metal particles to sputter off.
  • the sputtered metal particles deposit onto the substrate forming a metal layer.
  • the metal layers conform to the surface topography of the donor-supply layer.
  • a source region and a drain region of the metal layer are covered.
  • a photolithographic process is used to cover the metal layers to be protected.
  • a photoresist is deposited and exposed to a light pattern that modifies the property of the photoresist.
  • exposed portions or unexposed portions are removed by developing the photoresist, leaving only some portions of the photoresist on the metal layers.
  • the covering is used as a mask in subsequent processing.
  • Other materials such as a patterned hardmask may be used also. The hardmask would be deposited first, patterned, and etched to define the source and drain.
  • the metal layers are etched.
  • the mask or photoresist from operation 323 is an etch mask that protects the source and drain from being removed. Dry etch using plasma removes the metal layers that are not protected, down to the donor-supply layer. Suitable plasma etch techniques includes reactive ion etching (RIE) and inductively coupled plasma (ICP) etching. Depending on the selectivity of an etchant of the metal over the underlying donor-supply layer, the metal layer may be slightly over-etched to ensure a clean surface.
  • RIE reactive ion etching
  • ICP inductively coupled plasma
  • the covering over the source and the drain is removed. If the covering is photoresist, then the removal process is ashing and stripping. If the covering is a hardmask, then the removal process may involve etching with different plasma etchants from that of operation 325 . At this point the partially fabricated structure resembles the structure of FIG. 4B .
  • the source and the drain may be annealed in a rapid thermal anneal (RTA) process. Annealing can create an intermetallic compound or an alloy. In one embodiment, an alloy is created in an inert environment at about 800 to 900 degrees Celsius. In another embodiment, an intermetallic compound containing nitrogen is created in a nitrogen environment.
  • RTA rapid thermal anneal
  • annealing environments include an oxygen environment for creating some conductive oxides and ambient environment.
  • annealing the source and drain may also result in interaction of the metal layers with underlying material from the donor-supply layer.
  • the result may be a source and drain that includes material from the underlying layers.
  • a size of the source and/or drain increases because some metal diffuses into the donor-supply layer and some aluminum, gallium, and nitrogen from the donor-supply layer diffuses into the source and the drain.
  • a gate structure is formed between the source and the drain. Similar to the source/drain formation process, the gate structure is formed in a number of steps. According to some embodiments, the gate structure may be defined first by a photolithography process or the source/drain may be protected first. The gate material is then deposited in a CVD process or a metal CVD process over the donor-supply layer. As discussed, the gate material may include one or more of tungsten (W), titanium nitride (TiN) and tantalum (Ta). Excess gate material is then etched away to achieve the structure of FIG. 4C . The structure of FIG. 4C includes a gate structure 419 between a source 415 and a drain 417 over the donor-supply layer 411 .
  • a portion of the donor-supply layer in the drift region is etched.
  • a photoresist layer may be first deposited and patterned to protect the source/drain, the gate structure, and parts of the donor-supply layer that is not to be etched.
  • the etch pattern may be one of the various configurations from FIGS. 2A to 2D , or others as discussed or designed by one skilled in the art according to the inventive concepts in this disclosure.
  • the etch process and etchant material are carefully selected to prevent over etching the donor-supply layer, which would cause the HEMT to operate incorrectly and change electrical properties of the HEMT.
  • a portion of the donor-supply layer or at least a specified thickness of the donor-supply layer should not be removed.
  • a slow etch process is used to control the amount etched.
  • a chlorine-based etchant is used in a plasma to etch at a rate of about 1 nanometer per minute. At this slow rate, the amount etched can be precisely controlled.
  • a fluorine-based etchant may be used, with process parameters that tends to slow the etch rate. For example, the plasma power and pressure may be reduced in the chamber.
  • FIG. 4D shows the partially fabricated HEMT with an opening 415 in the drift region of the donor-supply layer 411 . Although only one opening 415 is shown, various embodiments include any number of at least one opening as discussed in association with FIG. 2A to 2D .
  • a dielectric layer is deposited over the donor-supply layer.
  • FIG. 3C shows this operation 313 in more detail.
  • a dielectric layer is deposited over the silicon substrate in operation 331 .
  • the dielectric fills the openings etched in operation 311 of FIG. 3A and completely covers the donor-supply layer between the source and gate structure and between the gate structure and the drain.
  • the dielectric layer is also formed over the source/drain and the gate structure.
  • the dielectric layer may be silicon oxide, silicon nitride, silicon oxynitride, carbon doped silicon oxide, carbon doped silicon nitride, carbon doped silicon oxynitride, zinc oxide, zirconium oxide, hafnium oxide or titanium oxide.
  • the dielectric layer is deposited using a known process such as chemical vapor deposition (CVD).
  • a photoresist is deposited and patterned to expose the source, the drain, and the gate structure.
  • the dielectric layer over the source, the drain, and the gate structure are removed in operation 335 with an etch process to result in the structure of FIG. 4E .
  • Dielectric layer 404 is formed over donor-supply layer 411 between the source 415 and gate structure 419 and between the gate structure 419 and drain 417 . Note that while a flat dielectric film 404 is shown, depending on the thickness of the dielectric layer 404 the top surface of the dielectric layer may have a dish shape, the edges against the metal structures being thicker than a middle portion.
  • the dielectric film 404 may be deposited to exceed the height of the metal structures ( 415 , 419 , and 417 ) and then planarized down to the same height using a chemical-mechanical polishing (CMP) process. Then a flat top dielectric layer 404 may be achieved by further plasma etching the dielectric layer 404 , if a dielectric layer thickness less than the thickness of the metal structures is sought.
  • CMP chemical-mechanical polishing
  • subsequent operations include depositing contacts and first layer of metal interconnect along with intermetallic dielectric (IMD) material using semiconductor processes and designs known in the art.
  • IMD intermetallic dielectric
  • FIG. 5 is a plot of simulated peak surface electric field as a function of position on the HEMT structures in accordance with various embodiments of the present disclosure.
  • Electric field in volts per centimeter is plotted against a distance along line across the HEMT from a distal edge of the source at distance 0, through the gate structure between about distances 1.5 and 2, to a distal edge of the drain at distance 12.5.
  • the simulation models a gate voltage of ⁇ 5 volts and drain bias of 600 volts.
  • the peak corresponds to the gate structure edge closest to the drain.
  • Line 501 is a simulated result for a HEMT without the dielectric plugs as disclosed herein.
  • the peak electric field for line 501 is about 6E6 V/cm.
  • Line 503 is a simulated result for a HEMT having one dielectric plugs between the gate structure and the drain.
  • the peak electric field for line 503 is about 3.6E6 V/cm, for a reduction of about 40%.
  • This simulated result shows that the dielectric plug structures disclosed herein do indeed reduce peak surface electric field in the HEMT. While the peak electric field value would vary depending on the structure modeled in the simulation, the relative effect of the dielectric plugs is clear.
  • One aspect of this description relates to a method including epitaxially growing gallium nitride (GaN) layer over a silicon substrate.
  • the method further includes epitaxially growing a donor-supply layer over the GaN layer.
  • the method further includes forming a source and a drain on the donor-supply layer.
  • the method further includes forming a gate structure between the source and the drain on the donor-supply layer.
  • the method further includes plasma etching a portion of a drift region of the donor-supply layer to a depth of less than 60% of a donor-supply layer thickness.
  • the method further includes depositing a dielectric layer over the donor-supply layer.
  • the method includes growing an unintentionally doped gallium nitride (UID GaN) layer over a substrate.
  • the method further includes growing an aluminum gallium nitride (AlGaN) layer over the UID GaN layer.
  • the method further includes etching the AlGaN layer to form at least one recess in the AlGaN layer.
  • the method further includes forming a drain and a source over the AlGaN layer.
  • the method further includes forming a gate over the AlGaN layer between the drain and the source. At least a portion of the gate structure is outside of each opening of the at least one opening.
  • the method further includes depositing a dielectric layer over the AlGaN layer. The dielectric layer fills the at least one opening and extends over a top surface of the AlGaN layer.
  • Still another aspect of this description relates to a method of making a high electron mobility transistor (HEMT).
  • the method includes growing a gallium nitride (GaN) layer over a substrate.
  • the method further includes growing a donor supply layer over the GaN layer.
  • the method further includes forming a gate, a drain and a source over the donor supply layer.
  • the method further includes etching the donor supply layer between the gate and the drain to form a plurality of recesses in the donor supply layer.
  • the method further includes depositing a dielectric layer over the donor supply layer. The dielectric layer fills at least one opening of the plurality of openings and extends over a top surface of the donor supply layer.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

A high electron mobility transistor (HEMT) includes a silicon substrate, an unintentionally doped gallium nitride (UID GaN) layer over the silicon substrate. The HEMT further includes a donor-supply layer over the UID GaN layer, a gate structure, a drain, and a source over the donor-supply layer. The HEMT further includes a dielectric layer having one or more dielectric plug portions in the donor-supply layer and top portions between the gate structure and the drain over the donor-supply layer. A method for making the HEMT is also provided.

Description

PRIORITY CLAIM
The present application is a continuation application of U.S. application Ser. No. 15/443,861, filed Feb. 27, 2017, issuing as U.S. Pat. No. 10,164,047, which is a continuation application of U.S. application Ser. No. 14/533,864, filed Nov. 5, 2014, now U.S. Pat. No. 9,583,588, which is a divisional application of U.S. application Ser. No. 13/650,610, filed Oct. 12, 2012, now U.S. Pat. No. 8,884,308, which claims priority from U.S. Provisional Application No. 61/564,650 filed Nov. 29, 2011, which are incorporated herein by reference in their entireties.
TECHNICAL FIELD
This disclosure relates generally to semiconductor circuit manufacturing processes and, more particularly, to a group-III group-V (III-V) compound semiconductor based transistor.
BACKGROUND
Group-III group-V compound semiconductors (often referred to as III-V compound semiconductors), such as gallium nitride (GaN) and its related alloys, have been under intense research in recent years due to their promising applications in power electronic and optoelectronic devices. The large band gap and high electron saturation velocity of many III-V compound semiconductors also make them excellent candidates for applications in high temperature, high voltage, and high-speed power electronics. Particular examples of potential electronic devices employing III-V compound semiconductors include high electron mobility transistor (HEMT) and other heterojunction bipolar transistors.
During operation, a HEMT forms a large surface electric field around a gate edge, which affects the depletion region curve in a drift region between a gate structure and a drain. While large electric field is one of the benefits of HEMT for use in power applications, the distribution of the depletion region during operation can negatively affect the breakdown voltage for the HEMT. When negative bias is applied to the gate of the HEMT, the depletion region curve is formed directly under the gate and causes high surface electric field concentration around the gate edge. The electric field concentration around the gate decreases breakdown voltage.
In order to improve breakdown voltage, a metallic field plate is sometimes added over or next to the gate structure over a passivation layer that is between the gate structure and the drain. The field plate modulates the surface electric field distribution and enhances the breakdown voltage. However, new structures with high breakdown voltage for III-V compound semiconductor based transistors and methods for forming them continue to be sought.
BRIEF DESCRIPTION OF THE DRAWINGS
For a more complete understanding of the present disclosure, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
FIG. 1A is a cross sectional view of a high electron mobility transistor (HEMT) structure in accordance with various embodiments of the present disclosure.
FIG. 1B is an expanded view of a portion of the HEMT of FIG. 1A.
FIGS. 2A to 2D are top views of a portion of the HEMT structure of FIG. 1A from view plane 110 of FIG. 1A in accordance with various embodiments of the present disclosure.
FIGS. 3A to 3C are flow charts of methods for forming a HEMT structure in accordance with certain embodiments of the present disclosure.
FIGS. 4A to 4E are cross section schematics of a HEMT in various stages of formation according to various method embodiments of the present disclosure.
FIG. 5 is a plot of simulated peak surface electric field as a function of position on the HEMT structures in accordance with various embodiments of the present disclosure.
DETAILED DESCRIPTION
The making and using of the present embodiments are discussed in detail below. It should be appreciated, however, that the present disclosure provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention and do not limit the scope of the disclosure.
A novel structure for group-III group-V (referred to as III-V hereinafter) semiconductor based transistors and methods for forming the structures are provided. Throughout the description, the term “III-V compound semiconductor” refers to compound semiconductor materials comprising at least one group III element and one group-V element. The term “III-N compound semiconductor” refers to a III-V compound semiconductor in which the group V element is nitrogen. Example stages of manufacturing an illustrative embodiment of the present disclosure are disclosed. Those skilled in the art will recognize that other manufacturing steps may take place before or after the described stages. Other stages of manufacturing that may substitute some of the example stages may be discussed. Those skilled in the art will recognize that other substitute stages or procedures may be used. Throughout the various views and illustrative embodiments of the present disclosure, like reference numbers are used to designate like elements.
The present disclosure provides a structure and a method to form III-V compound semiconductor-based transistors having high breakdown voltage. FIG. 1A shows an example power transistor device 100 according to various embodiments of the present disclosure. FIG. 1B shows an expanded view of a portion of the power transistor device 100 of FIG. 1A. The power transistor device 100 may be a high electron mobility transistor (HEMT).
The HEMT 100 is formed over a silicon substrate 101. A number of layers are grown over the silicon substrate 101 using an epitaxial process. The layers include an optional nucleation layer of aluminum nitride layer (not shown), an optional buffer layer (not shown), and a bulk gallium nitride layer 109, which may be grown over the buffer layer. The bulk gallium nitride (GaN) layer 109 is a channel layer for the HEMT 100.
HEMT 100 includes an active layer 111 on top of the bulk GaN layer 109. The active layer 111, also referred to as donor-supply layer, is grown on the channel layer 109. An interface is defined between the channel layer 109 and the donor-supply layer 111. A carrier channel 113 of two-dimensional electron gas (2-DEG) is located at the interface. In at least one embodiment, the donor-supply 111 is an aluminum gallium nitride (AlGaN) layer. The AlGaN layer has a formula of AlxGa(1-x)N, wherein x varies between about between about 0.1 and 1.0. It has a thickness in a range from about 5 nanometers to about 50 nanometers. In other embodiments, the donor-supply layer 111 may include an AlGaAs layer, or AlInP layer.
A band gap discontinuity exists between the AlGaN layer 111 and the GaN layer 109. The electrons from a piezoelectric effect in the AlGaN layer 111 drop into the GaN layer 109, creating a thin layer 113 of highly mobile conducting electrons in the GaN layer 109. This thin layer 113 is referred to as a two-dimensional electron gas (2-DEG), forming a carrier channel (also referred to as the carrier channel 113). The thin layer 113 of 2-DEG is located at an interface of the AlGaN layer 111 and the GaN layer 109. Thus, the carrier channel has high electron mobility because the GaN layer 109 is undoped or unintentionally doped, and the electrons can move freely without collision or substantially reduced collision with the impurities.
A source feature 115 and a drain feature 117 are disposed on the AlGaN layer 111 and configured to electrically connect to the carrier channel 113. Each of the source feature 115 and the drain feature 117 comprises a corresponding intermetallic compound. The intermetallic compound is may be embedded in the AlGaN layer 111 and may be further embedded in a top portion of the GaN layer 109. In one example, the intermetallic compound comprises Al, Ti, or Cu. In another example, the intermetallic compound comprises AN, TiN, Al3Ti or AlTi1N.
The HEMT 100 also includes a gate structure 119 disposed on the AlGaN layer 111 between the source feature 115 and the drain feature 117. The gate 119 includes a conductive material layer which functions as the gate electrode configured for voltage bias and electrical coupling with the carrier channel 113. In various examples, the conductive material layer may include a refractory metal or its compounds, e.g., tungsten (W), titanium nitride (TiN) and tantalum (Ta). Other commonly used metals in the conductive material layer include nickel (Ni) and gold (Au). The gate structure may include one layer or many layers.
According to various embodiments of the present disclosure, a dielectric layer 104 covers a drift region 107 of the donor-supply layer 111 between the gate structure 119 and drain 117. The dielectric layer 104 includes a dielectric plug portion and a top portion over the dielectric plug portion. FIG. 1B shows an expanded view of a portion of the HEMT 100 in the drift region 107 close to the gate structure 119. Dielectric layer 104 includes a first portion 103, also referred to as dielectric plug portion 103, and a second portion 105, also referred to as a top portion 105. The dielectric plug 103 is embedded in the donor-supply layer 111 and has a height 123. The donor-supply layer 111 under the dielectric plug 103 has a thickness 125, which is less than a bulk donor-supply layer thickness 121. The top portion 105 of the dielectric layer 104 has a relatively constant thickness.
While FIG. 1B shows one dielectric plug 103, the dielectric layer 104 may include one or many dielectric plugs 103. A height 123 of the dielectric plugs 103 may be about 3 nm to about 10 nm. In some cases, the height of the dielectric plugs 103 may depend on the thickness 121 of the donor-supply layer 111. In some embodiments, the donor-supply layer thickness 125 under the dielectric plug 103 is at least 40% of the thickness 121 of other portions of the donor-supply layer 111. In other embodiments, the donor-supply layer thickness 125 is at least about 15 nanometers. Thus, if the bulk donor-supply layer thickness 121 is 25 nanometers, then the dielectric plug height 123 may be less than about 10 nanometers, by ensuring first that the donor-supply layer thickness 125 under the dielectric plug is at least 15 nanometers. In another example, if the bulk donor-supply layer thickness 121 is 50 nanometers, the dielectric plug thickness 123 may be up to about 30 nm, or up to about 60% of the bulk donor-supply layer thickness. Generally, the donor-supply layer 111 under the dielectric plug 103 has a thickness sufficient to avoid affecting the ability of the carrier channel 113 to conduct during operation. Yet the dielectric plug height 123 of the dielectric plug should be enough to affect the surface electric field around the gate structure 119.
In other examples, the dielectric plug height 123 depends on the electrical properties and the physical dimensions of the HEMT 100. For example, short dielectric plugs 103, for example, less than 40% of the bulk donor-supply layer thickness, may be used when the bulk gallium nitride layer 109 is thick and the drift region 107 is much larger than the region between the gate structure 119 and the source 115. In these circumstances, the breakdown voltage is naturally high and a lesser amount modulation of the surface electric field may be sufficient. On the other hand, when the bulk gallium nitride layer 109 is thin or when the bulk layer is of a material with a low Ec value, the dielectric plug 103 may be taller, for example, up to about 60% of the bulk donor-supply layer thickness. During operation when the drain is subjected to a high voltage, the depletion region formed may extend past a thin gallium nitride layer 109 and interact with the underlying substrate. Similar rationale applies when the distance between the gate structure 119 and the drain 117 (drift region 107) is small. During operation when the drain is subjected to a high voltage, the depletion region curve may extend past a short drift region 107. Thus, taller dielectric plugs 103 may be used to effectively modulate the surface electrical field.
In FIG. 1B, the dielectric plug 103 is shown located a distance 127 away from the gate structure 119. Distance 127 may be between zero to several micrometers, and may be negative in some embodiments. In certain embodiments, the dielectric plug adjoins the gate structure edge. In other embodiments, the dielectric plug may even be slightly under the gate structure edge. Distance 127 is not greater than a width of the dielectric plug 103 to provide good efficacy to modulate the surface electric field at the gate structure edge.
The dielectric layer 104 is deposited over the donor-supply layer 111 having cavities etched therein. The etching may occur before or after the source, drain, and gate structure formations. However, the dielectric layer 104 is usually deposited after the gate structure is formed to avoid the additional step of removing a portion of the dielectric layer 104 for the gate structure 119. The dielectric layer 104 may be silicon oxide, silicon nitride, silicon oxynitride, carbon doped silicon oxide, carbon doped silicon nitride, carbon doped silicon oxynitride, zinc oxide, zirconium oxide, hafnium oxide or titanium oxide. The dielectric layer is deposited using a known process such as chemical vapor deposition (CVD).
FIGS. 2A to 2D are top views of various dielectric plugs in accordance with various embodiments of the present disclosure. The top views of the HEMT 100 of FIG. 1A cut along line 110. Line 110 separates the dielectric layer 104 into top portion 105 and dielectric plug 103.
FIG. 2A shows a total of four dielectric plugs 203 in a drift region 207. As shown in FIG. 2A, four dielectric plugs 203 are dispersed between the gate structure 219 and drain 217, although fewer or more dielectric plugs may be used. Each dielectric plug 203 has a width. In FIG. 2A, the dielectric plug 203 proximate to the gate structure 219 has the largest width. The other dielectric plugs 203 have the same width.
According to certain embodiments, a widest of the dielectric plugs 203 is closest to the gate structure 119. While not required for the present disclosure to reduce breakdown voltage of the transistor 100, having wider dielectric plugs closest to the gate structure 119 result in greater reductions of breakdown voltages.
In some embodiments, the dielectric plugs 203 are the same width and may be equally spaced. In examples where multiple dielectric plugs are used, the widths of dielectric plug and drift region 207 not occupied by any dielectric plug adjacent to the dielectric plug may be between about 3:1 to about 1:3, for example, width 225 to width 227 in FIG. 2A. In other embodiments, a sum of total dielectric plug widths may be about 40% to about 75% of the total drift region 207 width.
In FIG. 2A, the features all have the same length so that the widths are a proxy for area. However, the dielectric plugs need not have same lengths, shapes or sizes. A total dielectric plug area may be about 40% to about 75% of the total drift region 207 area.
FIG. 2B shows checkered pattern dielectric plugs 203. This design may be used to smooth the surface electric field by interspersing the dielectric plugs 203 throughout the drift region 207. A ratio of a dielectric plug area to an adjacent area of the drift region 207 that is not occupied by a dielectric plug 203 may be about 3:1 to about 1:3. As shown in FIG. 2B, the ratio is about 1:1. In some embodiments, the dielectric plugs 203 are not the same size.
FIG. 2C shows trapezoidal dielectric plugs 203. The trapezoidal dielectric plugs 203 have a shorter width and a longer width. FIG. 2D shows a top view having only one dielectric plug 203. In this example where only one dielectric plug 203 is used, the dielectric plug area as a percentage of the total drift region area is between about 5% to about 20%. In other embodiments where more than one dielectric plug is used, a total dielectric plug area may be about 40% to about 75% of the total drift region area.
The various dielectric plugs shown in FIGS. 2A to 2D are merely examples. The dielectric plugs may be polygons, such as quadrilaterals shown in FIGS. 2A-2D. The dielectric plugs may have more than four sides or may be circular or irregular.
FIGS. 3A to 3C are process flow charts illustrating various operations of a method to form the HEMT of the present disclosure. FIGS. 3A to 3C are discussed in association with FIGS. 4A to 4E that shows a partially fabricated HEMT in various stages of manufacturing. FIG. 3A illustrates a process flow 300 that describes operations to make a HEMT in accordance with various embodiments of the present disclosure. In the first operation 301, a silicon substrate is provided. FIGS. 4A to 4E show the silicon substrate 401. Note that the various elements in the figures are not drawn to scale. While HEMTs are often manufactured on a substrate other than silicon substrate, such as sapphire and silicon carbide, the methods and structures disclosed herein are specific silicon fabrication processes and silicon-based structures.
Referring to FIG. 3A, in operation 303, a bulk layer of undoped gallium nitride (u-GaN) is epitaxially grown over the silicon substrate. The -u-GaN layer is shown in FIGS. 4A to 4E as layer 409 over the silicon substrate 401. The bulk layer of undoped gallium nitride 409 is epitaxially grown over the silicon substrate 401, which may include intervening layers such as a nucleation layer and/or a buffer layer. The bulk layer of gallium nitride 409 does not include any dopant, but may include contaminants or impurities that are incorporated in the film unintentionally. The bulk layer of gallium nitride may be referred to as an unintentionally doped gallium nitride (UID GaN) layer. The UID gallium nitride may be about 0.5 microns to about 1 micron thick. The UID GaN layer is grown under high temperature conditions. The process may be metal organic CVD (MOCVD), metal organic vapor phase epitaxy (MOVPE), plasma enhanced CVD (PECVD), remote plasma enhanced CVD (RP-CVD), molecular beam epitaxy (MBE), hydride vapor phase epitaxy (HVPE), chloride vapor-phase epitaxy (Cl-VPE), and liquid phase epitaxy (LPE). Using metal organic vapor phase epitaxy (MOVPE) using gallium-containing precursor and nitrogen-containing precursor. The gallium-containing precursor includes trimethylgallium (TMG), triethylgallium (TEG), or other suitable chemical. The nitrogen-containing precursor includes ammonia (NH3), trimethylaluminum (TMA), phenyl hydrazine, or other suitable chemical.
Referring to FIG. 3A, in operation 305, a donor-supply layer is grown over the UID GaN layer. The donor-supply layer is shown in FIGS. 4A to 4E as layer 411 over the UID GaN layer 409. The donor-supply layer 411 can be epitaxially grown on the GaN layer 409 by MOVPE using an aluminum-containing precursor, a gallium-containing precursor, and a nitrogen-containing precursor. The aluminum-containing precursor includes TMA, TEA (triethylaluminum), or other suitable chemical. The gallium-containing precursor includes TMG, TEG, or other suitable chemical. The nitrogen-containing precursor includes ammonia, tertiarybutylamine (TBAm), phenyl hydrazine, or other suitable chemical.
The band gap discontinuity between the donor-supply layer 411 and the UID GaN layer 409 forms a carrier channel 413 at the interface between the two layers 411 and 409. The carrier channel 413 is also called a two-dimensional electron gas (2-DEG), where electrons have high mobility when the transistor is on.
Referring to FIG. 3A, in operation 307 a source and a drain is formed on the donor-supply layer. FIG. 4B shows the source 415 and the drain 417 on the donor-supply layer 411. In some embodiments, the source 415 and the drain 417 are intermetallic compounds or alloys. The intermetallic compound may be formed by constructing a patterned metal layer over the donor supply layer 411 or fully or partially in a cavity of the donor-supply layer 411. The patterned metal layer may then be annealed such that the metal layer, the donor-supply layer 411 and optionally the GaN layer 409 react to form the intermetallic compound. Because of the anneal, the metal elements in the intermetallic compound may diffuse into the donor-supply layer 411 and the GaN layer 409. The intermetallic compound contacts the carrier channel 413 located at the interface of the donor-supply layer 411 and the GaN layer 409. The intermetallic compound may improve electrical connection and form ohmic contacts between the source/drain features and the carrier channel 413. In one example, the intermetallic compound is formed in a cavity of the donor-supply layer 411 as well as a portion of the donor-supply layer around the cavity such that the intermetallic compound follows the contour of the donor-supply layer 411 and has a non-flat top surface. In another example, intermetallic compound overlies a portion of the donor supply layer 411.
FIG. 3B further separates the operation 307 into detailed sub-operations. In operation 321, a number of metal layers are deposited over the donor-supply layer, which may include openings etched in the donor-supply layer for the source and the drain. The metal layers may include titanium, aluminum, and copper. In one example, the metal layers are a titanium, aluminum, and titanium stack. The metal layers are deposited using a physical vapor deposition (PVD) process. A plasma is formed in a chamber proximate to a target containing the metal to be deposited. Ions in the plasma bombard the target causing metal particles to sputter off. The sputtered metal particles deposit onto the substrate forming a metal layer. The metal layers conform to the surface topography of the donor-supply layer.
In operation 323, a source region and a drain region of the metal layer are covered. In some embodiments, a photolithographic process is used to cover the metal layers to be protected. A photoresist is deposited and exposed to a light pattern that modifies the property of the photoresist. Depending on the type of photoresist, exposed portions or unexposed portions are removed by developing the photoresist, leaving only some portions of the photoresist on the metal layers. The covering is used as a mask in subsequent processing. Other materials such as a patterned hardmask may be used also. The hardmask would be deposited first, patterned, and etched to define the source and drain.
In operation 325, the metal layers are etched. The mask or photoresist from operation 323 is an etch mask that protects the source and drain from being removed. Dry etch using plasma removes the metal layers that are not protected, down to the donor-supply layer. Suitable plasma etch techniques includes reactive ion etching (RIE) and inductively coupled plasma (ICP) etching. Depending on the selectivity of an etchant of the metal over the underlying donor-supply layer, the metal layer may be slightly over-etched to ensure a clean surface.
In operation 327, the covering over the source and the drain is removed. If the covering is photoresist, then the removal process is ashing and stripping. If the covering is a hardmask, then the removal process may involve etching with different plasma etchants from that of operation 325. At this point the partially fabricated structure resembles the structure of FIG. 4B. Thereafter in operation 329, the source and the drain may be annealed in a rapid thermal anneal (RTA) process. Annealing can create an intermetallic compound or an alloy. In one embodiment, an alloy is created in an inert environment at about 800 to 900 degrees Celsius. In another embodiment, an intermetallic compound containing nitrogen is created in a nitrogen environment. Other annealing environments include an oxygen environment for creating some conductive oxides and ambient environment. As discussed, annealing the source and drain may also result in interaction of the metal layers with underlying material from the donor-supply layer. The result may be a source and drain that includes material from the underlying layers. In effect, in some embodiments, a size of the source and/or drain increases because some metal diffuses into the donor-supply layer and some aluminum, gallium, and nitrogen from the donor-supply layer diffuses into the source and the drain.
Referring back to FIG. 3A, in operation 309, a gate structure is formed between the source and the drain. Similar to the source/drain formation process, the gate structure is formed in a number of steps. According to some embodiments, the gate structure may be defined first by a photolithography process or the source/drain may be protected first. The gate material is then deposited in a CVD process or a metal CVD process over the donor-supply layer. As discussed, the gate material may include one or more of tungsten (W), titanium nitride (TiN) and tantalum (Ta). Excess gate material is then etched away to achieve the structure of FIG. 4C. The structure of FIG. 4C includes a gate structure 419 between a source 415 and a drain 417 over the donor-supply layer 411.
Referring back to FIG. 3A, in operation 311, a portion of the donor-supply layer in the drift region is etched. A photoresist layer may be first deposited and patterned to protect the source/drain, the gate structure, and parts of the donor-supply layer that is not to be etched. The etch pattern may be one of the various configurations from FIGS. 2A to 2D, or others as discussed or designed by one skilled in the art according to the inventive concepts in this disclosure. The etch process and etchant material are carefully selected to prevent over etching the donor-supply layer, which would cause the HEMT to operate incorrectly and change electrical properties of the HEMT. As discussed, a portion of the donor-supply layer or at least a specified thickness of the donor-supply layer should not be removed. Thus, a slow etch process is used to control the amount etched. In some embodiments, a chlorine-based etchant is used in a plasma to etch at a rate of about 1 nanometer per minute. At this slow rate, the amount etched can be precisely controlled. In other embodiments, a fluorine-based etchant may be used, with process parameters that tends to slow the etch rate. For example, the plasma power and pressure may be reduced in the chamber. FIG. 4D shows the partially fabricated HEMT with an opening 415 in the drift region of the donor-supply layer 411. Although only one opening 415 is shown, various embodiments include any number of at least one opening as discussed in association with FIG. 2A to 2D.
Once the openings or cavities are formed in the donor-supply layer, the photoresist protecting the source/drain, the gate structure, and the parts of the donor-supply layer is removed. In operation 313, a dielectric layer is deposited over the donor-supply layer. FIG. 3C shows this operation 313 in more detail. A dielectric layer is deposited over the silicon substrate in operation 331. The dielectric fills the openings etched in operation 311 of FIG. 3A and completely covers the donor-supply layer between the source and gate structure and between the gate structure and the drain. The dielectric layer is also formed over the source/drain and the gate structure. The dielectric layer may be silicon oxide, silicon nitride, silicon oxynitride, carbon doped silicon oxide, carbon doped silicon nitride, carbon doped silicon oxynitride, zinc oxide, zirconium oxide, hafnium oxide or titanium oxide. The dielectric layer is deposited using a known process such as chemical vapor deposition (CVD).
In operation 333, a photoresist is deposited and patterned to expose the source, the drain, and the gate structure. The dielectric layer over the source, the drain, and the gate structure are removed in operation 335 with an etch process to result in the structure of FIG. 4E. Dielectric layer 404 is formed over donor-supply layer 411 between the source 415 and gate structure 419 and between the gate structure 419 and drain 417. Note that while a flat dielectric film 404 is shown, depending on the thickness of the dielectric layer 404 the top surface of the dielectric layer may have a dish shape, the edges against the metal structures being thicker than a middle portion. In some embodiments, the dielectric film 404 may be deposited to exceed the height of the metal structures (415, 419, and 417) and then planarized down to the same height using a chemical-mechanical polishing (CMP) process. Then a flat top dielectric layer 404 may be achieved by further plasma etching the dielectric layer 404, if a dielectric layer thickness less than the thickness of the metal structures is sought. After the basic HEMT structure is formed, subsequent operations include depositing contacts and first layer of metal interconnect along with intermetallic dielectric (IMD) material using semiconductor processes and designs known in the art.
FIG. 5 is a plot of simulated peak surface electric field as a function of position on the HEMT structures in accordance with various embodiments of the present disclosure. Electric field in volts per centimeter is plotted against a distance along line across the HEMT from a distal edge of the source at distance 0, through the gate structure between about distances 1.5 and 2, to a distal edge of the drain at distance 12.5. The simulation models a gate voltage of −5 volts and drain bias of 600 volts. The peak corresponds to the gate structure edge closest to the drain. Line 501 is a simulated result for a HEMT without the dielectric plugs as disclosed herein. The peak electric field for line 501 is about 6E6 V/cm. Line 503 is a simulated result for a HEMT having one dielectric plugs between the gate structure and the drain. The peak electric field for line 503 is about 3.6E6 V/cm, for a reduction of about 40%. This simulated result shows that the dielectric plug structures disclosed herein do indeed reduce peak surface electric field in the HEMT. While the peak electric field value would vary depending on the structure modeled in the simulation, the relative effect of the dielectric plugs is clear.
The embodiments of the present disclosure may have other variations. For example, the dielectric plugs may include more than one material, such as a layer of nickel oxide over a layer of gallium nitride. Certain embodiments of the present disclosure have several advantageous features. The use of various doping species allows fine-tuning of the dielectric plugs, and hence the breakdown voltage, while minimizing adverse effects to other electrical properties, such as maximum forward current or leakage current.
One aspect of this description relates to a method including epitaxially growing gallium nitride (GaN) layer over a silicon substrate. The method further includes epitaxially growing a donor-supply layer over the GaN layer. The method further includes forming a source and a drain on the donor-supply layer. The method further includes forming a gate structure between the source and the drain on the donor-supply layer. The method further includes plasma etching a portion of a drift region of the donor-supply layer to a depth of less than 60% of a donor-supply layer thickness. The method further includes depositing a dielectric layer over the donor-supply layer.
Another aspect of this description relates to a method of making a high electron mobility transistor (HEMT). The method includes growing an unintentionally doped gallium nitride (UID GaN) layer over a substrate. The method further includes growing an aluminum gallium nitride (AlGaN) layer over the UID GaN layer. The method further includes etching the AlGaN layer to form at least one recess in the AlGaN layer. The method further includes forming a drain and a source over the AlGaN layer. The method further includes forming a gate over the AlGaN layer between the drain and the source. At least a portion of the gate structure is outside of each opening of the at least one opening. The method further includes depositing a dielectric layer over the AlGaN layer. The dielectric layer fills the at least one opening and extends over a top surface of the AlGaN layer.
Still another aspect of this description relates to a method of making a high electron mobility transistor (HEMT). The method includes growing a gallium nitride (GaN) layer over a substrate. The method further includes growing a donor supply layer over the GaN layer. The method further includes forming a gate, a drain and a source over the donor supply layer. The method further includes etching the donor supply layer between the gate and the drain to form a plurality of recesses in the donor supply layer. The method further includes depositing a dielectric layer over the donor supply layer. The dielectric layer fills at least one opening of the plurality of openings and extends over a top surface of the donor supply layer.
Although the present disclosure and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the disclosure as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, and composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the present disclosure, processes, machines, manufacture, compositions of matter, means, methods, or steps that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present disclosure. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (20)

What is claimed is:
1. A method of fabricating a high electron mobility transistor (HEMT), comprising:
epitaxially growing a first semiconductor layer over a substrate;
epitaxially growing a second semiconductor layer over the first semiconductor layer, wherein the second semiconductor layer is grown to have a top surface;
forming a source and a drain on a first region and a second region of the second semiconductor layer, respectively;
forming a gate structure between the source and the drain on a third region of the second semiconductor layer, the gate structure being disposed on the top surface;
after forming the gate structure, performing an etching process to form a plurality of recesses in a portion of the second semiconductor layer, wherein each of the plurality of recesses interposes the third region and the second region of the second semiconductor layer, and wherein a portion of the top surface of the second semiconductor layer interposes each of the plurality of recesses; and
depositing a dielectric layer over the top surface of the second semiconductor layer and in the plurality of recesses to form a plurality of dielectric plugs interposing the second region and the gate structure.
2. The method of claim 1, wherein the epitaxially growing the first semiconductor layer includes growing an unintentionally doped gallium nitride composition.
3. The method of claim 1, wherein the performing the etching process to form the plurality of recesses includes forming a plurality of recesses each having an edge vertically aligned with an edge of the gate structure.
4. The method of claim 1, wherein the depositing the dielectric layer over exposed portions of the second semiconductor layer comprises:
depositing a dielectric composition over the substrate;
patterning a masking element over the dielectric composition to expose the gate structure, the source, and the drain; and
removing the dielectric composition over the gate structure, the source, and the drain to form the dielectric layer.
5. The method of claim 1, wherein the depositing the dielectric layer includes depositing a composition selected from a group consisting of zinc oxide, zirconium oxide, hafnium oxide, titanium oxide, silicon oxide, silicon nitride, silicon oxynitride, carbon doped silicon oxide, carbon doped silicon nitride, carbon doped silicon oxynitride, and combinations thereof.
6. The method of claim 1, wherein the etching comprises exposing the substrate to a chlorine-based plasma etchant.
7. The method of claim 1, wherein the etching the plurality of recesses includes forming a plurality of recesses that are trapezoidal in shape.
8. The method of claim 1, wherein the etching the plurality of recesses includes forming a plurality of recesses in a checkered pattern.
9. The method of claim 1, wherein the forming the gate structure is performed prior to the etching.
10. The method of claim 1, wherein the etching the plurality of recesses includes forming a single recess of the plurality of recesses having an edge vertically aligned with an edge of the gate structure.
11. A method of making a high electron mobility transistor (HEMT), the method comprising:
growing a first semiconductor layer over a substrate;
growing second semiconductor layer over the first semiconductor layer, wherein the first and second semiconductor layer have a band gap discontinuity;
forming a drain and a source over the second semiconductor layer, wherein the forming the drain and the source includes depositing a patterned metal layer on a first region of the second semiconductor layer;
after forming the drain and the source, etching the second semiconductor layer to form a plurality of recesses in the second semiconductor layer, the plurality of recesses having a bottom surface defined by the second semiconductor layer, wherein a second region of the second semiconductor layer is disposed abutting the plurality of recesses on a first side and a third region of the second semiconductor layer is disposed abutting the plurality of recesses on a second side, opposite the first side;
forming a gate over a fourth region of the second semiconductor layer between the drain and the source, wherein the plurality of recesses, the third region, and the second region each are disposed between the gate and the drain; and
depositing a dielectric layer over the second semiconductor layer, wherein the dielectric layer fills the plurality of recesses and extends over the second region of the second semiconductor layer.
12. The method of claim 11, wherein the plurality of recesses includes a first recess and a second recess that have a different length, shape or size.
13. The method of claim 11, wherein growing the first semiconductor layer comprises growing an unintentionally doped gallium nitride layer using metal organic chemical vapor deposition (MOCVD), metal organic vapor phase epitaxy (MOVPE), plasma enhanced chemical vapor deposition (PECVD), remote plasma enhanced chemical vapor deposition (RP-CVD), molecular beam epitaxy (MBE), hydride vapor phase epitaxy (HVPE) chloride vapor-phase epitaxy (Cl-VPE), or liquid phase epitaxy (LPE).
14. The method of claim 11, wherein forming the source and the drain includes:
depositing the patterned metal layer using physical vapor deposition; and
annealing the patterned metal layer, wherein annealing the patterned metal layer diffuses the patterned metal layer into the first region of the second semiconductor layer to form an intermetallic compound.
15. The method of claim 14, wherein annealing the patterned metal layer diffuses the patterned metal layer into the first semiconductor layer and the intermetallic compound extends into the first semiconductor layer.
16. The method of claim 11, wherein the etching the second semiconductor layer includes forming a plurality of recesses in a checkered-pattern.
17. The method of claim 11, wherein etching the second semiconductor layer comprises plasma etching using a chlorine-based etchant or a fluorine-based etchant.
18. A method of making a transistor, the method comprising:
growing a first semiconductor layer over a substrate;
growing a second semiconductor layer over the first semiconductor layer;
forming a gate, a drain and a source over the second semiconductor layer, wherein the gate has a width less than a length, the length extending in a first direction parallel a top surface of the substrate;
etching the second semiconductor layer between a sidewall of the gate nearest the drain and the drain to form a plurality of recesses in the second semiconductor layer, wherein a first recess of the plurality of recesses is discontinuous with a second recess of the plurality of recesses, wherein etching the second semiconductor layer comprises forming the first recess having a varying width in the first direction; and
depositing a dielectric layer over the second semiconductor layer, wherein the dielectric layer fills the first recess of the plurality of recesses.
19. The method of claim 18, wherein the first recess of the plurality of recesses that is discontinuous with the second recess is spaced a distance in a first direction, and wherein the gate extends in a second direction.
20. The method of claim 18, wherein the forming the plurality of recesses includes forming recesses having different areas.
US16/219,705 2011-11-29 2018-12-13 High electron mobility transistor structure Active US10868135B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/219,705 US10868135B2 (en) 2011-11-29 2018-12-13 High electron mobility transistor structure

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161564650P 2011-11-29 2011-11-29
US13/650,610 US8884308B2 (en) 2011-11-29 2012-10-12 High electron mobility transistor structure with improved breakdown voltage performance
US14/533,864 US9583588B2 (en) 2011-11-29 2014-11-05 Method of making high electron mobility transistor structure
US15/443,861 US10164047B2 (en) 2011-11-29 2017-02-27 High electron mobility transistor structure
US16/219,705 US10868135B2 (en) 2011-11-29 2018-12-13 High electron mobility transistor structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/443,861 Continuation US10164047B2 (en) 2011-11-29 2017-02-27 High electron mobility transistor structure

Publications (2)

Publication Number Publication Date
US20190131427A1 US20190131427A1 (en) 2019-05-02
US10868135B2 true US10868135B2 (en) 2020-12-15

Family

ID=48466006

Family Applications (4)

Application Number Title Priority Date Filing Date
US13/650,610 Active US8884308B2 (en) 2011-11-29 2012-10-12 High electron mobility transistor structure with improved breakdown voltage performance
US14/533,864 Active US9583588B2 (en) 2011-11-29 2014-11-05 Method of making high electron mobility transistor structure
US15/443,861 Active 2033-02-27 US10164047B2 (en) 2011-11-29 2017-02-27 High electron mobility transistor structure
US16/219,705 Active US10868135B2 (en) 2011-11-29 2018-12-13 High electron mobility transistor structure

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US13/650,610 Active US8884308B2 (en) 2011-11-29 2012-10-12 High electron mobility transistor structure with improved breakdown voltage performance
US14/533,864 Active US9583588B2 (en) 2011-11-29 2014-11-05 Method of making high electron mobility transistor structure
US15/443,861 Active 2033-02-27 US10164047B2 (en) 2011-11-29 2017-02-27 High electron mobility transistor structure

Country Status (2)

Country Link
US (4) US8884308B2 (en)
CN (1) CN103137682B (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8884308B2 (en) * 2011-11-29 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor structure with improved breakdown voltage performance
US8921893B2 (en) * 2011-12-01 2014-12-30 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit structure having islands between source and drain
US8680535B2 (en) 2011-12-23 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor structure with improved breakdown voltage performance
US9972710B2 (en) * 2015-12-17 2018-05-15 Nichia Corporation Field effect transistor
CN108511440B (en) * 2017-02-24 2020-12-01 联华电子股份有限公司 Semiconductor structure with capacitance connecting pad and manufacturing method of capacitance connecting pad
US10700188B2 (en) 2017-11-02 2020-06-30 Rohm Co., Ltd. Group III nitride semiconductor device with first and second conductive layers
US11121229B2 (en) 2017-12-28 2021-09-14 Vanguard International Semiconductor Corporation Methods of fabricating semiconductor structures and high electron mobility transistors
US20200083360A1 (en) * 2018-09-10 2020-03-12 Intel Corporation Iii-n transistors with polarization modulation
US10937873B2 (en) * 2019-01-03 2021-03-02 Cree, Inc. High electron mobility transistors having improved drain current drift and/or leakage current performance
CN112133739B (en) * 2019-06-25 2024-05-07 联华电子股份有限公司 High electron mobility transistor and method for adjusting electron density of two-dimensional electron gas
KR20220138756A (en) * 2021-04-06 2022-10-13 삼성전자주식회사 Power device and method of manufacturing the same

Citations (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6586781B2 (en) 2000-02-04 2003-07-01 Cree Lighting Company Group III nitride based FETs and HEMTs with reduced trapping and method for producing the same
US20040144991A1 (en) * 2003-01-15 2004-07-29 Fujitsu Limited Compound semiconductor device and method for fabricating the same
US20040201038A1 (en) * 2003-01-27 2004-10-14 Tokuharu Kimura Compound semiconductor device and its manufacture
US6849882B2 (en) 2001-05-11 2005-02-01 Cree Inc. Group-III nitride based high electron mobility transistor (HEMT) with barrier/spacer layer
US20050236365A1 (en) 2004-04-27 2005-10-27 Eudyna Devices, Inc. Dry etching method and semiconductor device
US7030428B2 (en) 2001-12-03 2006-04-18 Cree, Inc. Strain balanced nitride heterojunction transistors
US7030426B2 (en) 2004-03-16 2006-04-18 Ixys Semiconductor Gmbh Power semiconductor component in the planar technique
US7038252B2 (en) * 2004-02-27 2006-05-02 Kabushiki Kaisha Toshiba Semiconductor device using a nitride semiconductor
US20060220065A1 (en) * 2005-03-31 2006-10-05 Eudyna Devices Inc. Semiconductor device and fabrication method therefor
US7229903B2 (en) 2004-08-25 2007-06-12 Freescale Semiconductor, Inc. Recessed semiconductor device
US7230284B2 (en) 2001-07-24 2007-06-12 Cree, Inc. Insulating gate AlGaN/GaN HEMT
US7517737B2 (en) 2007-02-07 2009-04-14 Macronix International Co., Ltd. Structures for and method of silicide formation on memory array and peripheral logic devices
US20090173968A1 (en) 2005-12-14 2009-07-09 Nec Corporation Field Effect Transistor
US7569870B2 (en) 2003-01-17 2009-08-04 Sanken Electric Co., Ltd. Gallium-nitride-based compound semiconductor device
US20090230331A1 (en) * 2008-03-12 2009-09-17 Alexei Koudymov Device having active region with lower electron concentration
US20090315075A1 (en) * 2008-06-23 2009-12-24 Sanken Electric Co., Ltd. Semiconductor device
US7709269B2 (en) 2006-01-17 2010-05-04 Cree, Inc. Methods of fabricating transistors including dielectrically-supported gate electrodes
US20100219452A1 (en) 2009-02-27 2010-09-02 Brierley Steven K GaN HIGH ELECTRON MOBILITY TRANSISTOR (HEMT) STRUCTURES
US7851825B2 (en) 2007-12-10 2010-12-14 Transphorm Inc. Insulated gate e-mode transistors
US7859014B2 (en) 2004-06-24 2010-12-28 Nec Corporation Semiconductor device
US7875910B2 (en) 2003-03-03 2011-01-25 Cree, Inc. Integrated nitride and silicon carbide-based devices
US20110057257A1 (en) * 2009-09-08 2011-03-10 Samsung Electro-Mechanics Co., Ltd. Semiconductor device and method for manufacturing the same
US7928475B2 (en) 2003-09-09 2011-04-19 Cree, Inc. Wide bandgap transistor devices with field plates
US20110147798A1 (en) * 2009-12-23 2011-06-23 Marko Radosavljevic Conductivity improvements for iii-v semiconductor devices
US20110241088A1 (en) * 2009-03-31 2011-10-06 Furukawa Electric Co., Ltd. Field effect transistor, method of manufacturing field effect transistor, and method of forming groove
US8188515B2 (en) 2008-12-22 2012-05-29 Sanken Electric Co., Ltd. Semiconductor device
US8404508B2 (en) 2009-04-08 2013-03-26 Efficient Power Conversion Corporation Enhancement mode GaN HEMT device and method for fabricating the same
US8445942B2 (en) 2008-09-30 2013-05-21 Sanken Electric Co., Ltd. Semiconductor device having metal oxide film
US8476125B2 (en) 2006-12-15 2013-07-02 University Of South Carolina Fabrication technique for high frequency, high power group III nitride electronic devices
US8513672B2 (en) 2004-01-22 2013-08-20 Cree, Inc. Wafer precursor prepared for group III nitride epitaxial growth on a composite substrate having diamond and silicon carbide layers, and semiconductor laser formed thereon
US8674407B2 (en) 2008-03-12 2014-03-18 Renesas Electronics Corporation Semiconductor device using a group III nitride-based semiconductor
US8680536B2 (en) 2012-05-23 2014-03-25 Hrl Laboratories, Llc Non-uniform two dimensional electron gas profile in III-Nitride HEMT devices
US9583588B2 (en) * 2011-11-29 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making high electron mobility transistor structure

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7432142B2 (en) * 2004-05-20 2008-10-07 Cree, Inc. Methods of fabricating nitride-based transistors having regrown ohmic contact regions
CN101162695A (en) * 2006-10-09 2008-04-16 西安能讯微电子有限公司 Process for gallium nitride HEMT device surface passivation and improving device electric breakdown strength
TWI380377B (en) * 2009-12-23 2012-12-21 Intersil Inc Methods for manufacturing enhancement-mode hemts with self-aligned field plate

Patent Citations (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6586781B2 (en) 2000-02-04 2003-07-01 Cree Lighting Company Group III nitride based FETs and HEMTs with reduced trapping and method for producing the same
US6849882B2 (en) 2001-05-11 2005-02-01 Cree Inc. Group-III nitride based high electron mobility transistor (HEMT) with barrier/spacer layer
US7230284B2 (en) 2001-07-24 2007-06-12 Cree, Inc. Insulating gate AlGaN/GaN HEMT
US20070205433A1 (en) 2001-07-24 2007-09-06 Cree, Inc. Insulating gate AlGaN/GaN HEMTs
US7030428B2 (en) 2001-12-03 2006-04-18 Cree, Inc. Strain balanced nitride heterojunction transistors
US20040144991A1 (en) * 2003-01-15 2004-07-29 Fujitsu Limited Compound semiconductor device and method for fabricating the same
US7569870B2 (en) 2003-01-17 2009-08-04 Sanken Electric Co., Ltd. Gallium-nitride-based compound semiconductor device
US20040201038A1 (en) * 2003-01-27 2004-10-14 Tokuharu Kimura Compound semiconductor device and its manufacture
US7875910B2 (en) 2003-03-03 2011-01-25 Cree, Inc. Integrated nitride and silicon carbide-based devices
US7928475B2 (en) 2003-09-09 2011-04-19 Cree, Inc. Wide bandgap transistor devices with field plates
US8513672B2 (en) 2004-01-22 2013-08-20 Cree, Inc. Wafer precursor prepared for group III nitride epitaxial growth on a composite substrate having diamond and silicon carbide layers, and semiconductor laser formed thereon
US7038252B2 (en) * 2004-02-27 2006-05-02 Kabushiki Kaisha Toshiba Semiconductor device using a nitride semiconductor
US7030426B2 (en) 2004-03-16 2006-04-18 Ixys Semiconductor Gmbh Power semiconductor component in the planar technique
US20050236365A1 (en) 2004-04-27 2005-10-27 Eudyna Devices, Inc. Dry etching method and semiconductor device
US7859014B2 (en) 2004-06-24 2010-12-28 Nec Corporation Semiconductor device
US7229903B2 (en) 2004-08-25 2007-06-12 Freescale Semiconductor, Inc. Recessed semiconductor device
US20060220065A1 (en) * 2005-03-31 2006-10-05 Eudyna Devices Inc. Semiconductor device and fabrication method therefor
US20090173968A1 (en) 2005-12-14 2009-07-09 Nec Corporation Field Effect Transistor
US7709269B2 (en) 2006-01-17 2010-05-04 Cree, Inc. Methods of fabricating transistors including dielectrically-supported gate electrodes
US8476125B2 (en) 2006-12-15 2013-07-02 University Of South Carolina Fabrication technique for high frequency, high power group III nitride electronic devices
US7517737B2 (en) 2007-02-07 2009-04-14 Macronix International Co., Ltd. Structures for and method of silicide formation on memory array and peripheral logic devices
US7851825B2 (en) 2007-12-10 2010-12-14 Transphorm Inc. Insulated gate e-mode transistors
US8674407B2 (en) 2008-03-12 2014-03-18 Renesas Electronics Corporation Semiconductor device using a group III nitride-based semiconductor
US20090230331A1 (en) * 2008-03-12 2009-09-17 Alexei Koudymov Device having active region with lower electron concentration
US20090315075A1 (en) * 2008-06-23 2009-12-24 Sanken Electric Co., Ltd. Semiconductor device
US8445942B2 (en) 2008-09-30 2013-05-21 Sanken Electric Co., Ltd. Semiconductor device having metal oxide film
US8188515B2 (en) 2008-12-22 2012-05-29 Sanken Electric Co., Ltd. Semiconductor device
US20100219452A1 (en) 2009-02-27 2010-09-02 Brierley Steven K GaN HIGH ELECTRON MOBILITY TRANSISTOR (HEMT) STRUCTURES
US20110241088A1 (en) * 2009-03-31 2011-10-06 Furukawa Electric Co., Ltd. Field effect transistor, method of manufacturing field effect transistor, and method of forming groove
US8404508B2 (en) 2009-04-08 2013-03-26 Efficient Power Conversion Corporation Enhancement mode GaN HEMT device and method for fabricating the same
US20110057257A1 (en) * 2009-09-08 2011-03-10 Samsung Electro-Mechanics Co., Ltd. Semiconductor device and method for manufacturing the same
US20110147798A1 (en) * 2009-12-23 2011-06-23 Marko Radosavljevic Conductivity improvements for iii-v semiconductor devices
US9583588B2 (en) * 2011-11-29 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making high electron mobility transistor structure
US8680536B2 (en) 2012-05-23 2014-03-25 Hrl Laboratories, Llc Non-uniform two dimensional electron gas profile in III-Nitride HEMT devices

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
Kim, Minki, et al., "High Breakdown Voltage A1 GaN/GaN HEMTs Employing Recessed Gate Edge Structure", DS Mantech Conference, May 17-20, 2010, Portland Oregon, pp. 237-240.
Saito, Wataru et al., "High Breakdown Voltage A1GaN-GaN Power-HEMT Design and High Current Density Switching Behavior", IEEE Transactions on Electron Devices, vol. 50, No. 12, December 3002, pp. 2528-2531.
Saito, Wataru et al., "High Breakdown Voltage A1GaN—GaN Power-HEMT Design and High Current Density Switching Behavior", IEEE Transactions on Electron Devices, vol. 50, No. 12, December 3002, pp. 2528-2531.

Also Published As

Publication number Publication date
CN103137682A (en) 2013-06-05
US8884308B2 (en) 2014-11-11
US9583588B2 (en) 2017-02-28
US20190131427A1 (en) 2019-05-02
US20150056766A1 (en) 2015-02-26
US10164047B2 (en) 2018-12-25
CN103137682B (en) 2015-10-07
US20170170295A1 (en) 2017-06-15
US20130134435A1 (en) 2013-05-30

Similar Documents

Publication Publication Date Title
US10522630B2 (en) High electron mobility transistor structure and method of making the same
US10868135B2 (en) High electron mobility transistor structure
US11804538B2 (en) Method of forming a high electron mobility transistor
US10020361B2 (en) Circuit structure having islands between source and drain and circuit formed
US10096690B2 (en) Circuit structure, transistor and semiconductor device
US9570598B2 (en) Method of forming a semiconductor structure
CN103296077A (en) Semiconductor structure and forming method thereof

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YU, CHEN-JU;HSIUNG, CHIH-WEN;YAO, FU-WEI;AND OTHERS;SIGNING DATES FROM 20121012 TO 20121015;REEL/FRAME:047838/0810

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YU, CHEN-JU;HSIUNG, CHIH-WEN;YAO, FU-WEI;AND OTHERS;SIGNING DATES FROM 20121012 TO 20121015;REEL/FRAME:047838/0810

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4