TWM542244U - Three dimensional integrated circuit - Google Patents

Three dimensional integrated circuit Download PDF

Info

Publication number
TWM542244U
TWM542244U TW105202534U TW105202534U TWM542244U TW M542244 U TWM542244 U TW M542244U TW 105202534 U TW105202534 U TW 105202534U TW 105202534 U TW105202534 U TW 105202534U TW M542244 U TWM542244 U TW M542244U
Authority
TW
Taiwan
Prior art keywords
layer
integrated circuit
substrate
interconnect structure
layers
Prior art date
Application number
TW105202534U
Other languages
Chinese (zh)
Inventor
席爾多E 馮
麥克I 古倫
Original Assignee
矽基因股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 矽基因股份有限公司 filed Critical 矽基因股份有限公司
Publication of TWM542244U publication Critical patent/TWM542244U/en

Links

Landscapes

  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

A three-dimensional integrated circuit (3DIC) structure comprises a first substrate having dielectric structures and conductive structures. Ions are implanted into the first substrate, the ions traveling through the dielectric structures and the conductive structures to define a cleave plane in the first substrate. The first substrate is cleaved at the cleave plane to obtain a cleaved layer having the dielectric structure and the conductive structures. The cleaved layer is used to form the 3DIC device having a plurality of stacked integrated circuit (IC) layers, the cleaved layer being one of the stacked IC layers.

Description

三維積體電路 Three-dimensional integrated circuit 【相關申請案的相互參照】[Reciprocal reference of related applications]

本案揭露的內容欲主張下列臨時專利申請案的優惠利益,該臨時專利申請案所揭露的整體內容併入於此以作為參考:美國第62/120,265號,於2015年2月24日申請。 The disclosure of the present invention is intended to claim the benefit of the following provisional patent application, the entire disclosure of which is hereby incorporated by reference in its entirety in its entirety in its entirety in its entirety in

本創作一般係關於積體電路裝置的製造。更具體地說,本創作係提供用於堆疊及互連使用異質與不均勻層(例如完成製造的積體電路)之三維裝置的最終裝置。 This creation is generally related to the manufacture of integrated circuit devices. More specifically, the present disclosure provides a final device for stacking and interconnecting three-dimensional devices that use heterogeneous and non-uniform layers, such as integrated circuits that are manufactured.

舉例而言,積體電路可包含諸如記憶體裝置、處理器裝置、數位訊號處理裝置、特定應用裝置、控制器裝置、通訊裝置及其他裝置。 For example, an integrated circuit can include, for example, a memory device, a processor device, a digital signal processing device, a specific application device, a controller device, a communication device, and other devices.

根據本創作,其技術一般係關於積體電路裝置的製造。具體而言,本創作係提供用於堆疊及互連使用異質與不均勻層(例如完成製造的 積體電路)之三維裝置的裝置。舉例而言,積體電路可包含諸如記憶體裝置、處理器裝置、特定應用裝置、控制器裝置、通訊裝置及其他裝置。 According to the present creation, the technique is generally related to the manufacture of an integrated circuit device. In particular, this creation provides for the use of heterogeneous and non-uniform layers for stacking and interconnecting (eg, for manufacturing) A device for a three-dimensional device of an integrated circuit). For example, an integrated circuit can include, for example, a memory device, a processor device, a specific application device, a controller device, a communication device, and other devices.

本創作係提供具有介電結構與導電結構的第一基板。離子係植入第一基板。離子通過介電結構與導電結構以在第一基板定義劈開面。第一基板在劈開面被劈開以獲得具有介電結構與導電結構的劈開層。劈開層係形成為三維積體電路裝置的複數堆疊積體電路層的其中之一。 The present invention provides a first substrate having a dielectric structure and a conductive structure. The ion system is implanted into the first substrate. The ions pass through the dielectric structure and the electrically conductive structure to define a cleavage plane on the first substrate. The first substrate is cleaved at the cleavage plane to obtain a cleave layer having a dielectric structure and a conductive structure. The cleavage layer is formed as one of a plurality of stacked integrated circuit layers of the three-dimensional integrated circuit device.

本創作係提供異質與不均勻層(例如完成製造的積體電路)的三維堆疊及互連。技術包含實質減少中間層分離並增加可用的中間層連接密度,使訊號帶寬及系統功能增加。 This creation provides three-dimensional stacking and interconnection of heterogeneous and non-uniform layers, such as integrated circuits that are manufactured. The technology involves substantially reducing intermediate layer separation and increasing the available intermediate layer connection density, resulting in increased signal bandwidth and system functionality.

於一例子中,裝置包含具有介電結構、導電結構以及第一互連結構的第一基板。第一基板包含位在相反於第一互連結構之一側的劈開面。裝置進一步包含氧化物接合層以及包含第二互連結構接合於該第一基板且與該第一互連結構連通以形成具有複數堆疊積體電路層(堆疊IC層)之三維積體電路裝置的第二基板。第一基板係堆疊IC層中之其中一層,且第二基板係堆疊IC層中之另一層。 In one example, the device includes a first substrate having a dielectric structure, a conductive structure, and a first interconnect structure. The first substrate includes a cleavage plane located on a side opposite to one of the first interconnect structures. The device further includes an oxide bonding layer and a three-dimensional integrated circuit device including the second interconnect structure bonded to the first substrate and in communication with the first interconnect structure to form a plurality of stacked integrated circuit layers (stacked IC layers) The second substrate. The first substrate is one of the IC layers stacked, and the second substrate is another layer of the IC layer.

100‧‧‧第一基板 100‧‧‧First substrate

102‧‧‧轉換裝置互連層 102‧‧‧Transition device interconnection layer

104‧‧‧轉換裝置電晶體層 104‧‧‧Transformer transistor layer

106‧‧‧中間層金屬連接 106‧‧‧Intermediate metal connection

108‧‧‧劈開面 108‧‧‧Open face

110‧‧‧冷卻劑流道 110‧‧‧ coolant flow path

112‧‧‧(第一基板)「底」 112‧‧‧(first substrate) "bottom"

200‧‧‧第二基板/下方裝置層 200‧‧‧Second substrate/lower device layer

202‧‧‧下方裝置互連層 202‧‧‧ below device interconnection layer

204‧‧‧下方裝置電晶體層 204‧‧‧The lower transistor layer

212‧‧‧(第二基板)「頂」 212‧‧‧(second substrate) "top"

300‧‧‧CVD氧化物接合層 300‧‧‧CVD oxide bonding layer

302‧‧‧接合墊 302‧‧‧ Bonding mat

400‧‧‧轉換基板 400‧‧‧ Conversion substrate

401‧‧‧圖案化光阻層 401‧‧‧ patterned photoresist layer

402‧‧‧均勻圖案化光阻層 402‧‧‧Uniformly patterned photoresist layer

403‧‧‧金屬互連層 403‧‧‧Metal interconnect layer

404‧‧‧電晶體層 404‧‧‧Transistor layer

406‧‧‧中間層金屬連接 406‧‧‧Intermediate metal connection

410‧‧‧中間層冷卻劑流道 410‧‧‧Intermediate coolant flow path

412‧‧‧轉換裝置「底」 412‧‧‧Conversion device "bottom"

414‧‧‧轉換裝置「頂」 414‧‧‧Conversion device "top"

500‧‧‧高導熱熱擴散層 500‧‧‧High thermal conductivity thermal diffusion layer

P‧‧‧質子佈植 P‧‧‧Proton Planting

S‧‧‧H剖面 S‧‧‧H profile

圖1為本創作的簡化剖視圖,轉換裝置的「底」係接合下層裝置的「頂」層。 Figure 1 is a simplified cross-sectional view of the creation, with the "bottom" of the conversion device engaging the "top" layer of the lower device.

圖2係於一示例繪示異質結構,包含電晶體裝置層、金屬與低介電常數材料的上方網路、用於透過額外的圖案化光阻層佈植的中間層冷卻劑通道。 2 is an illustration of a heterostructure comprising a transistor device layer, an upper network of metal and low dielectric constant material, and an intermediate layer coolant channel for implantation through an additional patterned photoresist layer.

圖3係顯示在適當位置結合冷卻劑通道的圖案化高熱傳層的簡化剖視圖。 3 is a simplified cross-sectional view showing a patterned high heat transfer layer incorporating a coolant passage in place.

圖4係顯示在三維積體電路堆疊中,轉換裝置層和下方裝置層接合的頂對頂金屬層之簡化剖視圖。 Figure 4 is a simplified cross-sectional view showing the top-to-top metal layer joined by the converter layer and the lower device layer in a three-dimensional integrated circuit stack.

根據本創作,其技術一般係關於積體電路裝置的製造。具體而言,本創作係提供用於堆疊及互連使用異質與不均勻層(例如完成製造的積體電路)之三維裝置的裝置。舉例而言,積體電路可包含諸如記憶體裝置、處理器裝置、數位訊號處理裝置、特定應用裝置、控制器裝置、通訊裝置及其他裝置。 According to the present creation, the technique is generally related to the manufacture of an integrated circuit device. In particular, the present disclosure provides means for stacking and interconnecting three-dimensional devices that use heterogeneous and non-uniform layers, such as integrated circuits that are manufactured. For example, an integrated circuit can include, for example, a memory device, a processor device, a digital signal processing device, a specific application device, a controller device, a communication device, and other devices.

於一例子中,本創作係建立並延伸兩大技術領域的性能。用於形成均質層接合堆(例如目前所使用形成絕緣層覆矽(Silicon On Insulator,SOI)晶圓)的層轉換,以及通過使用複雜中介層與用於裝置間連接的金屬孔稀疏陣列以發展形成電子裝置的三維堆疊。 In one example, this creation establishes and extends the performance of two major technical areas. Layer transitions for forming homogeneous layer junction stacks (such as the current use of Silicon Insulator (SOI) wafers), and by using a complex interposer and a sparse array of metal holes for inter-device connections A three-dimensional stack of electronic devices is formed.

於一例子中,本創作係提供具有簡化接合的不同電與機電層之堆疊和互連,以及具有較目前可得的中介層/矽穿孔方法相距10倍或更小的物理尺度之互連結構,並提供大幅增加的中間裝置電連接路徑之數量,使資料轉換頻帶及三維裝置功能大幅擴展。本創作亦提供敏感裝置保護,免於與使用高能質子束線有關的紫外輻射之傷害,並提供建設冷卻劑流道的中間層網路,用以從大量啟動、運作中的三維裝置堆疊中將熱移除。本創作的進一步細節可從本說明書及以下內容得知。 In one example, the present invention provides stacking and interconnecting of different electrical and electromechanical layers with simplified bonding, and interconnect structures having physical dimensions 10 times or less from currently available interposer/germanium perforation methods. And to provide a greatly increased number of intermediate device electrical connection paths, so that the data conversion frequency band and three-dimensional device functions are greatly expanded. This creation also provides sensitive device protection from UV radiation associated with the use of high-energy proton beam lines and provides an intermediate layer network for the construction of coolant flow channels for stacking from a large number of start-up, operational 3D devices. Hot removal. Further details of this creation can be found in the present specification and the following.

實施例可相容於各種IC製造方法,包含用於製造互補式金氧半導體(complementary metal oxide semiconductor,CMOS)及隨機存取記憶體(Random Access Memory,RAM)等等。 Embodiments are compatible with various IC fabrication methods, including fabrication of complementary metal oxide semiconductor (CMOS) and random access memory (RAM).

以百萬電子伏特能量進行佈值允許通過整個裝置層(10μms) 進行較厚的佈植。故可以轉換整個CMOS裝置層,而非部分層。 Spreading in millions of electron volts allows passage through the entire device layer (10μms) Carry out thicker planting. Therefore, the entire CMOS device layer can be converted instead of a partial layer.

特定的實施例可利用具有相對應互連深度、位置、密度之面對背堆疊與面對面堆疊接合的變化。 Particular embodiments may utilize variations in face-to-back stacking and face-to-face stacking engagement with corresponding interconnect depths, locations, densities.

在一些實施例,即使是透過連接的高密度中間裝置,可使總裝置層元件變薄(不需中介層)及減少RC損失。 In some embodiments, the total device layer components can be thinned (without intervening layers) and reduced RC losses, even through a connected high density intermediate device.

在不同的實施例,可經由與面積進一步減少的「禁止佈線」區連接而降低來自Cu/Si應力的應力。 In various embodiments, the stress from the Cu/Si stress can be reduced by connecting to the "disabled wiring" region where the area is further reduced.

圖1為本創作之一實施例的簡化剖視圖。包含形成於半導體材料(通常是矽)的電晶體的異質層以及由低介電常數電絕緣材料分開的複數密集金屬(通常是銅與用於線或孔的各種其他金屬)網路層之上方裝置層係於以氫佈植及相應劈開而成形之後與半導體晶圓分開。在質子佈植時,轉換裝置結構係被覆蓋以足夠厚度與保護性質的均勻光阻層,以保護裝置層免於受質子束線電漿中復合而產生的紫外線照射損傷。如圖1所示,轉換裝置層亦鍍有圖案化第二光阻層以調整質子束的深度以及沿著用以從大量完整三維裝置堆疊中移除熱的冷卻劑流道110網路路徑的最終劈開面108。導電結構包含在基板中的電晶體接面以及與電晶體層接觸的金屬互連網路。 Figure 1 is a simplified cross-sectional view of one embodiment of the present invention. A heterogeneous layer comprising a transistor formed of a semiconductor material (usually germanium) and a plurality of dense metals (usually copper and various other metals for wires or holes) separated by a low dielectric constant electrically insulating material The device layer is separated from the semiconductor wafer after being formed by hydrogen implantation and corresponding cleavage. During proton implantation, the conversion device structure is covered with a uniform photoresist layer of sufficient thickness and protective properties to protect the device layer from UV radiation damage caused by recombination in the proton beam plasma. As shown in FIG. 1, the conversion device layer is also plated with a patterned second photoresist layer to adjust the depth of the proton beam and along the network path of the coolant flow path 110 to remove heat from a plurality of complete three-dimensional device stacks. The face 108 is finally opened. The electrically conductive structure includes a transistor junction in the substrate and a metal interconnect network in contact with the transistor layer.

當上方裝置層固定於暫時接合操作晶圓上之後,轉換裝置劈開的下表面係在劈開面108的區域進行佈植損傷的移除,並調整轉換裝置基板層的厚度。接著CVD氧化物層係沉積在下表面以提供有效接合面,且提供用於冷卻劑流道110(若有)的電絕緣鈍化面。接著蝕刻下方裝置面並填充金屬,經由基板及厚度數量級在1μm或1μm以上的沉積氧化物層以形成中間層電連接到轉換裝置互連層102。在上方轉換裝置層的中間層金屬線係以具有與沉積氧化物接合層同平面之接合面的金屬接合墊作為終點。 After the upper device layer is fixed on the temporary bonding operation wafer, the lower surface of the switching device is cleaved to remove the implant damage in the region of the cleavage surface 108, and the thickness of the conversion device substrate layer is adjusted. A CVD oxide layer is then deposited on the lower surface to provide an effective joint surface and an electrically insulating passivation surface for the coolant flow path 110, if any. The lower device face is then etched and filled with metal, electrically connected to the converter interconnect layer 102 via a substrate and a deposited oxide layer having a thickness on the order of 1 μm or more to form an intermediate layer. The intermediate layer metal line in the upper switching device layer is terminated by a metal bonding pad having a bonding surface that is flush with the deposited oxide bonding layer.

類似的沉積氧化物係形成於下方裝置頂面以提供有效接合。穿孔的網路係被蝕刻並被填充金屬以提供電連接到下方裝置互連層202。下方金屬線係以與下方沉積氧化面同平面的金屬接合墊作為終點。 A similar deposited oxide is formed on the top surface of the lower device to provide effective bonding. The perforated network is etched and filled with metal to provide electrical connection to the underlying device interconnect layer 202. The lower metal wire is terminated by a metal bond pad that is flush with the underlying oxide surface.

兩組金屬接合墊係以精確接合裝置對齊並進行接合退火,完成如圖1所示之兩層堆疊(具有冷卻劑流道110)。 The two sets of metal bond pads are aligned with a precision joint and bonded to anneal to complete a two layer stack (with coolant flow path 110) as shown in FIG.

圖2繪示在層轉換到下方裝置層200後的圖案化光阻層401及裝置層。在圖2中,異質結構包含電晶體裝置層、提供積體電路互連的金屬與低介電常數材料的上方網路係被鍍以均勻光阻層,其中選擇阻值特性和厚度以提供敏感IC層和介面合適的保護,避免暴露於因在質子加速束線電漿下復合效應而產生紫外光(波長小於400nm)輻射。均勻光阻層的阻擋和厚度亦可被選擇以調整質子束的範圍到IC裝置電晶體及空乏層下方所需的深度。 FIG. 2 illustrates the patterned photoresist layer 401 and the device layer after the layer is transferred to the lower device layer 200. In FIG. 2, the heterostructure comprises a transistor device layer, the upper network of the metal providing the integrated circuit interconnection and the low dielectric constant material is plated with a uniform photoresist layer, wherein the resistance characteristics and thickness are selected to provide sensitivity The IC layer and interface are suitably protected from exposure to ultraviolet light (wavelength less than 400 nm) radiation due to the composite effect under proton accelerated beamline plasma. The barrier and thickness of the uniform photoresist layer can also be selected to adjust the range of proton beams to the desired depth below the IC device transistor and depletion layer.

在圖2中,第二圖案化光阻層係增加至均勻光阻層上,第二光阻層的阻擋和厚度係被選擇以區域性地調整質子佈植分佈深度而提供非平面材料濺鍍面。在光阻層移除和暫時接合至支撐層之後,當轉換裝置層接合下方裝置層200,非平面濺鍍面提供反映上方光阻層圖案的網路通道給完成的IC裝置中的冷卻劑流,以於堆疊中移除裝置運作時的熱。 In FIG. 2, the second patterned photoresist layer is added to the uniform photoresist layer, and the barrier and thickness of the second photoresist layer are selected to regionally adjust the proton implantation depth to provide non-planar material sputtering. surface. After the photoresist layer is removed and temporarily bonded to the support layer, when the switching device layer is bonded to the lower device layer 200, the non-planar sputter surface provides a network channel reflecting the upper photoresist layer pattern to the coolant flow in the completed IC device In order to remove heat from the operation of the device in the stack.

雖然圖2係以吸收材作為光阻,但並非是必須的。在其它實施例,吸收材可為其它材料,包含但不限於氧化物及/或氮化物。 Although FIG. 2 uses an absorbing material as a photoresist, it is not essential. In other embodiments, the absorbent material can be other materials including, but not limited to, oxides and/or nitrides.

亦如圖1~2所示,中間層金屬孔、轉接墊、氧化物接合介面係在上方轉換裝置層接合到下方裝置層200之前增加到上方轉換裝置層的下方區。 As also shown in Figures 1-2, the intermediate layer metal vias, the transfer pads, and the oxide bonding interface are added to the lower region of the upper switching device layer before the upper switching device layer is bonded to the lower device layer 200.

一般而言,高性能邏輯裝置在邏輯核的高切換活動區產生熱。這些切換熱源在複雜系統單晶片及中央處理單元裝置中是熟知的設計 問題。記憶體裝置中的資料保存係隨著溫度增加而普遍退化,因此邏輯與記憶體層的整合堆疊遭受這些熱問題的挑戰。當三維裝置堆疊的密度和分散性增加,熱控制變得更加重要。 In general, high performance logic devices generate heat in the high switching active area of the logic core. These switching heat sources are well known designs in complex system single-chip and central processing unit devices. problem. Data storage in memory devices is generally degraded as temperature increases, so the integrated stacking of logic and memory layers suffers from these thermal issues. As the density and dispersion of three-dimensional device stacks increase, thermal control becomes more important.

雖然有利於熱接合效率,由於SiO2相對低的熱傳導率,在接合堆疊中使用氧化層作為熱轉換層可能受到限制。使用高熱傳率、電絕緣材料做為中間層結構可從局部裝置熱源區中增加熱轉換。 Although advantageous in thermal bonding efficiency, the use of an oxide layer as a thermal conversion layer in the bonding stack may be limited due to the relatively low thermal conductivity of SiO 2 . The use of a high heat transfer rate, electrically insulating material as an intermediate layer structure can increase heat transfer from the local device heat source zone.

因此,在某些實施例較佳可在熱產生裝置層之間增加結構高熱傳導率層,以促進熱擴散並從裝置堆疊中移除熱。具體而言,使用高能質子佈植、低熱積存層劈開與轉換接合,可促進從局部裝置結構"熱點"擴散熱,並且有效地透過使用局部冷卻劑流移除裝置熱能。 Accordingly, in certain embodiments it is preferred to add a structural high thermal conductivity layer between the heat generating device layers to promote heat diffusion and remove heat from the device stack. In particular, the use of high energy proton implantation, low heat accumulation layer splitting and switching engagement promotes the diffusion of heat from the "hot spot" of the local device structure and effectively removes thermal energy from the device by using a local coolant flow.

下列為幾種常見半導體及絕緣膜的熱傳導率(單位:W/m-K) The following are the thermal conductivity of several common semiconductors and insulating films (unit: W/m-K)

Si:130(W/m-K) Si: 130 (W/m-K)

SiO2:1.3(W/m-K) SiO 2 : 1.3 (W/mK)

SiC:120(W/m-K) SiC: 120 (W/m-K)

Ge:58(W/m-K) Ge: 58 (W/m-K)

GaAs:52(W/m-K) GaAs: 52 (W/m-K)

Al2O3:30(W/m-K) Al 2 O 3 : 30 (W/mK)

中間熱擴散層的厚度約等於0.5至2μm,可預期有效的熱流動。圖3顯示包含在適當位置結合冷卻劑通道的高熱傳導層的簡化剖視圖。 The thickness of the intermediate thermal diffusion layer is approximately equal to 0.5 to 2 μm, and effective heat flow can be expected. Figure 3 shows a simplified cross-sectional view of a high thermal conductivity layer comprising a coolant channel in place.

包含半導體、介電質及金屬材料分散層的積體電路裝置可在製造時產生實質內應力。未解決地,這些應力可能夠高而將厚度大於700微米的矽晶圓整個厚度翹曲變形成各種凹、凸、或複雜的馬鈴薯晶片形狀。 這些變形可能夠大而足以在裝置製造時的微細線徑微影光學產生問題。 The integrated circuit device including the semiconductor, dielectric, and metal material dispersion layers can generate substantial internal stress at the time of manufacture. Unresolved, these stresses can be high to warp the entire thickness of the germanium wafer having a thickness greater than 700 microns into various concave, convex, or complex potato wafer shapes. These deformations can be large enough to cause problems in the micro-diameter lithography optics at the time of device fabrication.

若將在分離的薄(例如:幾個微米)基板上的具應力裝置層以未支撐方式放置在平面表面上,晶圓尺寸組合上的應力誘發變形可對接合到平面基板表面構成挑戰。因為這些效應,薄裝置層在他們自初始基板晶圓分離之前可貼附到硬接合結構,可維持具應力層貼附的平面接合介面。 Stress-induced deformation on a wafer size combination can pose a challenge to bonding to a planar substrate surface if a stressed device layer on a separate thin (eg, a few microns) substrate is placed on a planar surface in an unsupported manner. Because of these effects, the thin device layers can be attached to the hard-bonded structure before they are separated from the original substrate wafer, maintaining the planar bonding interface to which the stress layer is attached.

即使使用硬暫時接合支撐將具應力層形成適合接合的平面形式,在複雜接合堆疊中的未補償應力會因隨後製造步驟及裝置運作時的熱應力,導致接合失敗及IC裝置退化。 Even if a hard temporary joint support is used to form the stressed layer into a planar form suitable for bonding, the uncompensated stress in the complex joint stack can cause joint failure and degradation of the IC device due to subsequent manufacturing steps and thermal stresses during operation of the device.

因此,實施例可在應力裝置薄轉換層的後側提供增加應力補償層以促進接合,包含改善的中間層裝置及接合墊對齊,並且補償隨後製造與裝置運作熱循環的有害效應。 Thus, embodiments may provide an increased stress compensation layer on the back side of the stress device thin conversion layer to facilitate bonding, including improved interlayer handling and bond pad alignment, and compensate for the deleterious effects of subsequent manufacturing and device operation thermal cycling.

後側應力補償材料可選擇對裝置層具互補熱膨脹特性且有厚度足以抵銷裝置結構內應力之變形效應的材料。 The backside stress compensating material may be selected from materials having complementary thermal expansion characteristics to the device layer and having a thickness sufficient to counteract the deformation effects of stresses within the device structure.

當轉換裝置層貼附至暫時接合結構時,應力補償層可藉由直接層轉換至轉換裝置層背側而形成。在某些情況,應力補償層可藉由CVD或其他方法沈積。 When the conversion device layer is attached to the temporary bonding structure, the stress compensation layer can be formed by direct layer conversion to the back side of the conversion device layer. In some cases, the stress compensation layer can be deposited by CVD or other methods.

注意平面、應力補償、轉換層可在晶圓級接合時提供用於達成高等級接合墊對齊的所需幾何,其係用於三維積體電路製造成功的晶圓級接合的一個考量問題。 Note that the planar, stress-compensated, and conversion layers provide the required geometry for achieving high-level bond pad alignment at wafer level bonding, which is a consideration for wafer-level bonding for successful 3D integrated circuit fabrication.

特定的實施例可利用單晶層轉換到化學或機械「弱」分離層。具體而言,可允許將高純度、單晶矽材料層貼附至暫時支撐層,其係足夠堅固以於IC或其他裝置製造方法的熱、化學及機械應力下存留,但又足夠「弱」在直接化學或機械作用下形成分離路徑。 Particular embodiments may utilize a single crystal layer to convert to a chemical or mechanical "weak" separation layer. In particular, it is permissible to attach a layer of high purity, single crystal germanium material to the temporary support layer, which is sufficiently strong to survive the thermal, chemical and mechanical stresses of the IC or other device manufacturing process, but is sufficiently "weak" The separation path is formed under direct chemical or mechanical action.

這些弱暫時分離層的例子可包含但不限於(1)藉由熱成長、 CVD沈積或藉由直接氧氣佈植與隨後的熱處理所形成的氧化層,其可藉由選擇性蝕刻的化學反應(例如HF轟擊覆蓋SiO2層),在覆層下形成分離路徑;以及(2)在選擇性蝕刻或機械轟擊下易於形成分離路徑之各種形式的多晶矽或多孔形式的基板材料。直接機械轟擊的形式可包含但不限於(1)先藉由在分散楔型工具上之橫向定向力的應力輔助破裂成形;以及(2)藉由橫向定位流射入機械弱層(例如多孔基板材料區)的動力攻擊。 Examples of such weak temporary separation layers may include, but are not limited to, (1) an oxide layer formed by thermal growth, CVD deposition, or by direct oxygen implantation followed by heat treatment, which may be subjected to a selective etching chemical reaction ( For example, HF bombardment covers the SiO 2 layer), forming a separation path under the coating; and (2) various forms of polycrystalline germanium or porous form of the substrate material that are susceptible to forming a separation path under selective etching or mechanical bombardment. The form of direct mechanical bombardment may include, but is not limited to, (1) stress assisted fracture formation by lateral orientation forces on the dispersion wedge tool; and (2) injection into a mechanically weak layer (eg, porous substrate by laterally positioned flow) Dynamic attack of the material area).

化學或機械弱分散層的一些形式可能缺乏有助於製造高性能半導體裝置之高純度與高品質晶矽上方層磊晶所需的高階晶矽介面。 Some forms of chemically or mechanically weakly dispersed layers may lack the high order crystalline germanium interface required to facilitate the fabrication of high purity and high quality crystalline germanium epilayers for high performance semiconductor devices.

利用高能質子佈植以沿著明確定義的劈開面形成用於機械、室溫分離的富含氫層。實施例可被用於分離與接合整個裝置結構,包含完整形成電晶體層,以及用於之後製造與裝置整合製造所適當選擇的暫時分離層之上的多層金屬互連網路。這之後可接續進行自承載基板分離。 High energy proton implantation is utilized to form a hydrogen-rich layer for mechanical, room temperature separation along a well-defined cleaved surface. Embodiments can be used to separate and bond the entire device structure, including the complete formation of the transistor layer, and the multilayer metal interconnect network for subsequent fabrication of a suitably separated temporary separation layer from the device integrated fabrication. This can be followed by separation of the self-supporting substrate.

實施例亦可被用於分離與接合形成於電、機械或光學裝置中的均勻、高純度與晶矽層,接著可進行與承載基板分離。 Embodiments can also be used to separate and bond a uniform, high purity and crystalline layer formed in an electrical, mechanical or optical device, which can then be separated from the carrier substrate.

實施例亦可提供可用於於高敏感CMOS裝置結構之分離及層轉換堆疊的質子佈植。如前所述,實施例利用高能質子佈植以於結合厚度下方幾微米處形成富含氫劈開面,且停止光阻或CVD電介質頂層與多層金屬互連網路及電晶體層組合之功率效應。 Embodiments may also provide proton implantation that can be used for separation and layer switching stacking of highly sensitive CMOS device structures. As previously mentioned, the embodiment utilizes high energy proton implantation to form a hydrogen-rich open face at a few microns below the bond thickness and to stop the power effect of the photoresist or CVD dielectric top layer combined with the multilayer metal interconnect network and the transistor layer.

因高劑量、高能質子束通過金屬互連與電晶體層的輻射損傷效應在可控制的層級下,可藉由在適當溫度之標準退火循環恢復。此外,當對於特定的輻射損傷效應有特別考量時,實施例可包含迴避裝置介電層中輻射損傷效應問題的執行方式。 The radiation damage effect of the high-dose, high-energy proton beam through the metal interconnect and the transistor layer is at a manageable level and can be recovered by a standard annealing cycle at the appropriate temperature. Moreover, embodiments may include an implementation of the problem of radiation damage effects in the dielectric layer of the avoidance device when particular consideration is given to a particular radiation damage effect.

與在高劑量、高能質子佈植至CMOS裝置層與其相關的金屬互連網路層時可能輻射損傷有關的一個問題係於各種介電層中的接合破 壞效應。接合破壞效應可起因於來自高能質子束通過或是由離子-電子弛豫之紫外光輻射造成的電子阻擋事件以及在其後加速器束線中復合事件。 One problem associated with possible radiation damage when implanting high-dose, high-energy protons into the metal interconnect network layer associated with the CMOS device layer is the breakdown of the various dielectric layers. Bad effect. The joint failure effect can result from an electron blocking event from the passage of a high energy proton beam or by ultraviolet-radiation of ion-electron relaxation and a recombination event in the accelerator beam line thereafter.

當高劑量、高能質子佈植係於CMOS裝置製造期間在特定時點執行,可實質避免來自質子束的輻射效應。在CMOS裝置製造之一時點可為與CMOS接面摻雜活化有關的高溫(例如大於500℃)完成之後,且在敏感閘極堆疊氧化物沉積與後續在金屬互連網路中結合中間層介電質之前。 When high-dose, high-energy proton implants are performed at a particular point in time during CMOS device fabrication, the radiation effects from the proton beam can be substantially avoided. At one point in the fabrication of the CMOS device, the high temperature (eg, greater than 500 ° C) associated with the doping activation of the CMOS junction can be completed, and the interlayer oxide is deposited in the sensitive gate stack and subsequently bonded to the intermediate dielectric in the metal interconnect network. prior to.

在此CMOS製造的時點,在裝置晶圓的主要材料為在摻雜接面具有填充橫向絕緣區之多晶矽的晶矽,以及基板晶圓。在主要矽材料中,唯一實質、長期輻射損傷效應係與因質子減速的原子核阻擋組件所引起的晶格損傷有關。 At the time of this CMOS fabrication, the main material of the device wafer is a wafer having a polysilicon filling a lateral insulating region on the doped junction, and a substrate wafer. Among the main tantalum materials, the only substantial, long-term radiation damage effect is related to the lattice damage caused by the atomic core blocking component of proton deceleration.

對於高能質子束的晶格損傷事件可位在質子束流剖面的頂峰附近。根據實施例,頂峰可位於電晶體層CMOS接面以下幾微米處,並且用以在層分離時劈開面的定位提供氫捕獲關鍵位置。CMOS電晶體層與其有關的載子空乏層之間的幾微米分離,與在後續層分離的區域之質子誘發晶格損傷可足以避免質子晶格損傷層因有害裝置效應的風險。 The lattice damage event for a high-energy proton beam can be located near the peak of the proton beam profile. According to an embodiment, the peak may be located a few microns below the CMOS junction of the transistor layer and to provide a hydrogen capture critical location for positioning of the cleave plane during layer separation. The separation of a few microns between the CMOS transistor layer and its associated carrier depletion layer, and the proton induced lattice damage in the region separated by the subsequent layer may be sufficient to avoid the risk of harmful device effects in the proton lattice damage layer.

在許多先進CMOS裝置中,閘極堆疊區係先以暫時膜與取代結構來定義,在完成高溫熱循環之後,取代結構會被包含高介電常數「high-k」閘極氧化物與多層金屬閘極電極的最終裝置結構所取代。在「取代閘極」製造循環後,最終閘極材料特性與中間金屬層(「low-k」)介電質限制用於最終CMOS裝置製造允許的熱循環要低於500℃。 In many advanced CMOS devices, the gate stacking region is defined by a temporary film and a substituted structure. After the high temperature thermal cycle is completed, the replacement structure will be filled with a high dielectric constant "high-k" gate oxide and multiple layers. The final device structure of the metal gate electrode is replaced. After the "replacement gate" fabrication cycle, the final gate material properties and intermediate metal layer ("low-k") dielectric constraints are used for final CMOS device fabrication with thermal cycling below 500 °C.

在「取代閘極」製造之前的時點執行高劑量質子佈植可避免對最終裝置閘極與中間金屬層介電質傷害的風險,並且不暴露於500℃或更高溫的熱循環;暴露於上述熱循環中可能,在該高溫在層分離所需非熱分 離完成之前以及在轉換裝置層製造完成之後,會造成自發的層分離。 Performing high-dose proton implantation at the time before the "replacement gate" is manufactured avoids the risk of dielectric damage to the gate and intermediate metal layers of the final device and is not exposed to thermal cycling at 500 ° C or higher; exposure to the above In the thermal cycle, it is possible to separate the non-thermal points required at the high temperature in the layer separation. Spontaneous layer separation is caused before completion and after the conversion device layer is completed.

根據實施例利用裝置可允許藉由堆疊次序與中間層厚度調整中間層頻帶。具體而言,三維積體電路的主要目標係提供可選的路徑以增加用於裝置之間訊號處理通訊的帶寬。 Utilizing the device according to an embodiment may allow the intermediate layer band to be adjusted by the stacking order and the thickness of the intermediate layer. In particular, the primary goal of a three-dimensional integrated circuit is to provide an optional path to increase the bandwidth used for signal processing communication between devices.

帶寬係由資料訊號頻率產生,通常以CPU時脈頻率以及外部通訊頻道數量估計。就其歷史而言,積體電路發展已聚焦於增加CPU與其他資料處理晶片週期頻率,可能造成晶片電力使用的增加。通訊通道數量已被沿著平面裝置周圍的接合墊密度所限制。 Bandwidth is generated by the data signal frequency and is usually estimated by the CPU clock frequency and the number of external communication channels. As far as its history is concerned, the development of integrated circuits has focused on increasing the cycle frequency of CPUs and other data processing chips, which may result in an increase in the use of wafer power. The number of communication channels has been limited by the density of bond pads around the planar device.

以中間層通訊線的密度來估算,三維積體電路堆疊的發展已增加垂直通道的可能數量。中間層連接之密度的方便測量係通訊接腳分散(或「節距」)的倒數平方。具體而言,輸入輸出(IO)密度=1/(接腳節距)2Estimating the density of the intermediate layer communication lines, the development of three-dimensional integrated circuit stacks has increased the number of vertical channels possible. A convenient measurement of the density of the intermediate layer connections is the reciprocal square of the dispersion (or "pitch") of the communication pins. Specifically, the input and output (IO) density = 1 / (pin pitch) 2 .

最小金屬通道或「接腳」節距,取決於各種裝置考量。一個因素是中間層金屬通道的外觀比(aspect ratio,AR):金屬線徑與要填充通孔之長度的比值。傳統「矽穿孔」(Through Silicon Via,TSV)結構可具有外觀比約5到20。此為明顯高於用於IC裝置的高密度金屬化穿孔典型設計法則(外觀比通常小於2)。 The minimum metal channel or "pin" pitch depends on various device considerations. One factor is the aspect ratio (AR) of the intermediate layer metal channel: the ratio of the metal wire diameter to the length of the through hole to be filled. A conventional "Through Silicon Via" (TSV) structure can have an appearance ratio of about 5 to 20. This is a typical design rule that is significantly higher than the high density metallization perforation for IC devices (appearance ratio is typically less than 2).

一個影響傳統TSV結構堆積密度的裝置考量係因微米尺寸銅柱與矽裝置材料之相異熱膨脹裝置所產生的內應力。在緊鄰銅穿孔線周圍不要的局部應力可造成定義微米尺寸「禁止佈線」區的設計法則,其中活性電路元件係自鄰近銅穿孔轉接墊之處排除。這會影響電路密度、表現及效能。 A device that affects the bulk density of a conventional TSV structure is an internal stress generated by a differential thermal expansion device of a micron-sized copper column and a crucible device material. Unwanted local stresses in the immediate vicinity of the copper perforation lines can result in a design rule defining a micron-sized "wire-free" region in which the active circuit components are excluded from the adjacent copper via transition pads. This can affect circuit density, performance and performance.

因此,特定實施例可提供一個或更多個程序以局部增加中間層金屬通到密度以及鄰接裝置層之間相應的通訊帶寬。使用高能、高劑量質子佈植,通過實質完整金屬互連網路與完全形成CMOS電晶體層,用於 非熱層分離且接合至三維積體電路堆疊而形成富含氫區域,提供幾微米(對於在埋藏氧化物的絕緣層覆矽之上的裝置層或具有最小載子空乏層厚度的其他裝置類型,或者會更少)的中間層分離。相對典型現有TSV與中介層堆疊的幾十微米,此實施例允許實質較少的中間層分離。實施例所提供的較薄的中間裝置矽層與移除中介層及相關黏著層允許製造更短更薄的中間層金屬訊號連接,且大幅減少現有幾微米厚之銅TSV通道因熱應力產生的「死區」效應。 Thus, certain embodiments may provide one or more programs to locally increase the intermediate layer metal to density and the corresponding communication bandwidth between adjacent device layers. Use high-energy, high-dose proton implants, through a substantially complete metal interconnect network and fully form a CMOS transistor layer for The non-thermal layer separates and joins to the three-dimensional integrated circuit stack to form a hydrogen-rich region, providing a few microns (for device layers over the insulating layer of buried oxide or other device types with minimal carrier depletion layer thickness) Or less will be separated by the middle layer. This embodiment allows for substantially less intermediate layer separation than the typical tens of microns of typical existing TSV and interposer stacks. The thinner intermediate device layer and the removal of the interposer and associated adhesive layer provided by the embodiments allow for a shorter and thinner intermediate metal signal connection and greatly reduce the existing thermal stress caused by a few micrometer thick copper TSV channels. "Dead zone" effect.

當需要高中間層帶寬時(例如自CMOS影像感測器層與訊號處理裝置之連接),一些實施例可利用各種層轉換技術將轉換裝置之金屬互連網路的頂層對齊及接合至三維積體電路堆疊中下方裝置層的金屬網路的頂層的中間層連接通道。 When high intermediate layer bandwidth is required (eg, from the connection of the CMOS image sensor layer to the signal processing device), some embodiments may utilize various layer switching techniques to align and bond the top layer of the metal interconnect network of the conversion device to the three dimensional integrated circuit. An intermediate layer connection channel of the top layer of the metal network of the lower device layer in the stack.

藉由此特定程序,中間層通訊通道密度可預期與兩裝置層(具接腳節距幾個微米或更少的數量級)中的頂層金屬層內的接腳密度相似。此種「頂對頂」層接合產生較現有2.5D或3D晶片堆疊技術高100到1000倍的中間層連接密度,且相應增加帶寬。 With this particular procedure, the intermediate layer communication channel density can be expected to be similar to the pin density in the top metal layer in the two device layers (on the order of a few micrometers or less of the pin pitch). This "top-to-top" layer bonding produces an intermediate layer connection density that is 100 to 1000 times higher than existing 2.5D or 3D wafer stacking techniques, and correspondingly increases bandwidth.

圖4係顯示在三維積體電路堆疊中,轉換裝置層和下方裝置層200接合的頂對頂金屬層之簡化剖視圖。此方法可提供中間層金屬連接106通道密度,且相應增加帶寬,相似於CMOS裝置之頂金屬層的通孔密度。 4 is a simplified cross-sectional view showing the top-to-top metal layer in which the converter layer and the lower device layer 200 are joined in a three-dimensional integrated circuit stack. This method provides an intermediate layer metal connection 106 channel density and a corresponding increase in bandwidth, similar to the via density of the top metal layer of a CMOS device.

根據實施例,三維積體電路結構的特定例子,其特徵可為IO密度(單位:pins/cm2)大約在1.0E+06至1.0E+08,且接腳節距範圍(單位:nm)大約在1.0E+02至1.0E+04。於一實施例,對於TSV深度為1μm,外觀比(深度:最小寬度或直徑)可為10至1,且矽穿孔的直徑大約在0.1至1μm。 According to an embodiment, a specific example of a three-dimensional integrated circuit structure may be characterized by an IO density (unit: pins/cm 2 ) of approximately 1.0E+06 to 1.0E+08, and a pitch pitch range (unit: nm). It is about 1.0E+02 to 1.0E+04. In one embodiment, for a TSV depth of 1 μm, the aspect ratio (depth: minimum width or diameter) may be 10 to 1, and the diameter of the pupil perforation is approximately 0.1 to 1 μm.

如上所述,根據實施例,質子佈植形成三維積體電路結構, 可進行於1MeV的能量,包含能量大約在300keV至5MeV,500keV至3MeV,700keV至2MeV,或800keV至1MeV。 As described above, according to an embodiment, proton implantation forms a three-dimensional integrated circuit structure, Energy can be performed at 1 MeV, including energy of approximately 300 keV to 5 MeV, 500 keV to 3 MeV, 700 keV to 2 MeV, or 800 keV to 1 MeV.

需注意的是,在如此高能範圍,用於絕緣層覆矽晶圓製造的層轉換的特點為,氫離子的佈植特性可能有40keV之間的能量變化。初級描述係為可反應離散度(<△X>)的質子束流剖面「半寬」對「投影範圍」束流剖面(<X>)的深度的比值。 It should be noted that in such a high energy range, the layer conversion for the insulating layer overlay wafer fabrication is characterized in that the implantation characteristics of hydrogen ions may have an energy variation between 40 keV. The primary description is the ratio of the "half width" of the proton beam profile of the reactive dispersion (<ΔX>) to the depth of the "projection range" beam profile (<X>).

比較<△X>/<X>的例子如下:質子佈植能量40keV:<△X>/<X>=0.1960.2 An example of comparing <ΔX>/<X> is as follows: proton implantation energy 40keV: <△X>/<X>=0.196 0.2

質子佈植能量1MeV:<△X>/<X>=0.0480.05 Proton planting energy 1MeV: <△X>/<X>=0.048 0.05

因此,1MeV質子束流剖面約比40keV束流剖面尖銳4倍。 Therefore, the 1 MeV proton beam profile is approximately 4 times sharper than the 40 keV beam profile.

雖然以上為特定實施例的完整描述,可提出各種修改、變型及相等性的安排。因此,並非以上述說明與描述內容對隨附的申請專利範圍所定義之本創作的範疇加以限制。 Although the above is a complete description of the specific embodiments, various modifications, variations and equivalent arrangements are possible. Therefore, the scope of the present invention as defined by the scope of the appended claims is not limited by the foregoing description and description.

100‧‧‧第一基板 100‧‧‧First substrate

102‧‧‧轉換裝置互連層 102‧‧‧Transition device interconnection layer

104‧‧‧轉換裝置電晶體層 104‧‧‧Transformer transistor layer

106‧‧‧中間層金屬連接 106‧‧‧Intermediate metal connection

108‧‧‧劈開面 108‧‧‧Open face

110‧‧‧冷卻劑流道 110‧‧‧ coolant flow path

112‧‧‧(第一基板)「底」 112‧‧‧(first substrate) "bottom"

200‧‧‧第二基板/下方裝置層 200‧‧‧Second substrate/lower device layer

202‧‧‧下方裝置互連層 202‧‧‧ below device interconnection layer

204‧‧‧下方裝置電晶體層 204‧‧‧The lower transistor layer

212‧‧‧(第二基板)「頂」 212‧‧‧(second substrate) "top"

300‧‧‧CVD氧化物接合層 300‧‧‧CVD oxide bonding layer

302‧‧‧接合墊 302‧‧‧ Bonding mat

Claims (10)

一種積體電路,包含:一第一基板,具有介電結構、導電結構以及一第一互連結構,該第一基板包含劈開面,位在相反於該第一互連結構之一側;一氧化物接合層;以及一第二基板,包含一第二互連結構接合於該第一基板且與該第一互連結構連通以形成具有複數堆疊積體電路層之一三維積體電路裝置,該第一基板係該些堆疊積體電路層之其中一層,且該第二基板係該些堆疊積體電路層中之另一層,其中該氧化物接合層係設置在該第一基板與該第二基板之間。 An integrated circuit comprising: a first substrate having a dielectric structure, a conductive structure, and a first interconnect structure, the first substrate comprising a cleavage surface opposite to one side of the first interconnect structure; An oxide bonding layer; and a second substrate including a second interconnect structure bonded to the first substrate and in communication with the first interconnect structure to form a three-dimensional integrated circuit device having a plurality of stacked integrated circuit layers, The first substrate is one of the stacked integrated circuit layers, and the second substrate is another layer of the stacked integrated circuit layers, wherein the oxide bonding layer is disposed on the first substrate and the first substrate Between the two substrates. 如請求項1所述之積體電路,其中該第二互連結構係接合於該劈開面。 The integrated circuit of claim 1, wherein the second interconnect structure is bonded to the split surface. 如請求項1所述之積體電路,其中該第二互連結構係接合於該第一互連結構。 The integrated circuit of claim 1, wherein the second interconnect structure is bonded to the first interconnect structure. 如請求項1所述之積體電路,其中該三維積體電路裝置具有一輸入/輸出密度(單位:pins/cm2)大約在1.0E+06至1.0E+08,且接腳節距範圍(單位:nm)大約在1.0E+02至1.0E+04。 The integrated circuit of claim 1, wherein the three-dimensional integrated circuit device has an input/output density (unit: pins/cm 2 ) of approximately 1.0E+06 to 1.0E+08, and a pitch pitch range (Unit: nm) is approximately 1.0E+02 to 1.0E+04. 如請求項1所述之積體電路,其中該劈開面的至少一區域定義一冷卻劑流道陣列。 The integrated circuit of claim 1, wherein at least one region of the cleavage plane defines an array of coolant flow paths. 如請求項1所述之積體電路,其中該第一基板包含一矽穿孔,其具有一外觀比(深度:直徑的最小寬度)大約在10至1,且矽穿孔的直徑大約在0.1至1μm。 The integrated circuit of claim 1, wherein the first substrate comprises a meandering perforation having an appearance ratio (depth: a minimum width of the diameter) of about 10 to 1, and the diameter of the perforated perforation is about 0.1 to 1 μm. . 如請求項1所述之積體電路,其中該劈開面係富含氫。 The integrated circuit of claim 1, wherein the split surface is rich in hydrogen. 如請求項7所述之積體電路,其中該氫具有離散質子範圍比小於或等於0.1。 The integrated circuit of claim 7, wherein the hydrogen has a discrete proton range ratio of less than or equal to 0.1. 如請求項1所述之積體電路,其中該劈開面包含佈植破壞。 The integrated circuit of claim 1, wherein the cleavage surface comprises implant destruction. 如請求項1所述之積體電路,更包含一高熱傳導層。 The integrated circuit of claim 1 further comprising a high thermal conduction layer.
TW105202534U 2015-02-24 2016-02-23 Three dimensional integrated circuit TWM542244U (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201562120265P 2015-02-24 2015-02-24

Publications (1)

Publication Number Publication Date
TWM542244U true TWM542244U (en) 2017-05-21

Family

ID=59370308

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105202534U TWM542244U (en) 2015-02-24 2016-02-23 Three dimensional integrated circuit

Country Status (1)

Country Link
TW (1) TWM542244U (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10651157B1 (en) 2018-12-07 2020-05-12 Nanya Technology Corporation Semiconductor device and manufacturing method thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10651157B1 (en) 2018-12-07 2020-05-12 Nanya Technology Corporation Semiconductor device and manufacturing method thereof
TWI694491B (en) * 2018-12-07 2020-05-21 南亞科技股份有限公司 Semiconductor device and manufacturing method thereof

Similar Documents

Publication Publication Date Title
US11626392B2 (en) Method of forming semiconductor device using range compensating material
US9704835B2 (en) Three dimensional integrated circuit
US10049915B2 (en) Three dimensional integrated circuit
US10804252B2 (en) Three dimensional integrated circuit
TWI716864B (en) Forming method of three dimensional integrated circuit
US8816489B2 (en) Integrated circuit structures, semiconductor structures, and semiconductor die
US10388592B2 (en) Semiconductor device and manufacturing method thereof
US11901351B2 (en) Three dimensional integrated circuit with lateral connection layer
US20100090219A1 (en) Method for fabrication of semiconductor device
CN109712961B (en) Three-dimensional integrated circuit and method of manufacturing the same
TWM542244U (en) Three dimensional integrated circuit
US20120112358A1 (en) Stack-type semiconductor device and method for manufacturing the same
KR100833250B1 (en) Manufacturing method of integrated circuit having multilayer structure and the integreted circuit
KR101841359B1 (en) Monolithic 3D Semiconductor Structure and Method of Fabricating The Same
CN117497407B (en) IGBT device forming method and IGBT device
CN112582338B (en) Technological method for realizing back extraction
CN113937053A (en) Manufacturing method of shallow trench isolation structure and manufacturing method of semiconductor device