TWI841170B - 半導體裝置結構及其形成方法 - Google Patents

半導體裝置結構及其形成方法 Download PDF

Info

Publication number
TWI841170B
TWI841170B TW112100159A TW112100159A TWI841170B TW I841170 B TWI841170 B TW I841170B TW 112100159 A TW112100159 A TW 112100159A TW 112100159 A TW112100159 A TW 112100159A TW I841170 B TWI841170 B TW I841170B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric
dielectric material
forming
fin
Prior art date
Application number
TW112100159A
Other languages
English (en)
Other versions
TW202335061A (zh
Inventor
黃偵晃
鄭郁陵
楊舜惠
魏安祺
陳嘉仁
黃上碩
林佳儀
洪志昌
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/672,216 external-priority patent/US20230260993A1/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202335061A publication Critical patent/TW202335061A/zh
Application granted granted Critical
Publication of TWI841170B publication Critical patent/TWI841170B/zh

Links

Images

Abstract

本揭露描述了一種半導體裝置結構及其形成方法。所述結構包括:設置於基板之上的第一通道區;與第一通道區相鄰設置的第二通道區;設置於第一及第二通道區中的閘極層;與閘極層相鄰設置的第一介電部件。所述第一介電部件包括具有第一厚度的第一介電材料。所述結構更包括設置於第一及第二通道區之間的第二介電部件,其中第二介電部件包括具有實質上小於第一厚度之第二厚度的第二介電材料。所述第二厚度範圍為約1 nm至約20 nm。

Description

半導體裝置結構及其形成方法
本揭露實施例是關於半導體技術,特別是關於一種半導體裝置結構及其形成方法。
半導體積體電路(integrated circuit, IC)產業經歷了指數性地成長。IC材料及設計的技術進展產生了數個IC世代,且每一世代的電路都比上一世代更小且複雜。積體電路演進期間,功能密度(亦即,單位晶片面積的互連裝置數目)通常會增加而幾何尺寸(亦即,可使用製程生產的最小元件(或線))則減少。此微縮化的過程通常會藉由增加生產效率與降低相關成本而提供助益。此微縮化也會伴隨著更為複雜的IC製程與製造。
因此,需要改良IC的製程及製造。
本揭露提供一種半導體裝置結構,包括:第一通道區,設置於基板之上;第二通道區,與第一通道區相鄰設置;閘極層,設置於第一及第二通道區中;第一介電部件,與閘極層相鄰設置,其中第一介電部件包括具有第一厚度的第一介電材料;以及第二介電部件,設置於第一通道區及第二通道區之間,其中第二介電部件包括具有實質上小於第一厚度之第二厚度的第二介電材料,其中第二厚度範圍為約1 nm至約20 nm。
本揭露提供一種半導體裝置結構的形成方法,包括:自基板形成第一及第二鰭片,其中第一鰭片包括複數個第一半導體層,且第二鰭片包括複數個第二半導體層;形成第一及第二介電部件,其中第一介電部件與第一鰭片相鄰設置、第二介電部件設置於第一及第二鰭片之間、第一介電部件包括第一介電材料、且第二介電部件包括第二介電材料;在第一鰭片、第二鰭片、第一介電部件、以及第二介電部件之上,形成犧牲閘極層;凹蝕犧牲閘極層至第二介電材料的頂表面上方之高度;在第一介電部件之上形成遮罩結構;移除設置於第二介電材料上的犧牲閘極層的一部分,以露出第二介電材料;移除遮罩結構;以及凹蝕第二介電材料。
本揭露提供一種半導體裝置結構的形成方法,包括:自基板形成第一及第二鰭片,其中第一鰭片包括複數個第一半導體層,且第二鰭片包括複數個第二半導體層;在第一及第二鰭片之間形成介電部件,其中介電部件包括第一介電材料;在基板之上形成層間介電層;形成閘極層以環繞第一及第二半導體層;凹蝕閘極層至第一介電材料的頂表面的高度;在閘極層、第一介電材料、及層間介電層上,形成導電層;移除設置於層間介電層之上的導電層的一部分;在導電層上形成遮罩結構;在遮罩結構中形成開口,以露出設置於第一介電材料上的導電層的一部分;移除導電材料露出的部分,以露出第一介電材料;以及在第一介電材料上形成第二介電材料。
以下揭露提供了許多的實施例或範例,用於實施所提供的標的之不同元件。各元件和其配置的具體範例描述如下,以簡化本揭露實施例之說明。當然,這些僅僅是範例,並非用以限定。舉例而言,敘述中若提及第一元件形成在第二元件上或之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本揭露實施例可能在各種範例中重複參考數字以及/或字母。如此重複是為了簡明和清晰之目的,而非用以表示所討論的不同實施例及/或配置之間的關係。
再者,其中可能用到與空間相對用詞,例如「在…之下」、「下方」、「較低的」、「上方」、「之上」、「上」、「頂」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
第1-33E圖是根據一些實施例,繪示製造半導體裝置結構100之一系列製程的範例。須理解的是,為了所述方法之額外的實施例,可在第1-33E圖所示之製程之前、之中或之後提供額外的步驟,且一些所示步驟可能被取代或省略。所述步驟/製程的順序可互相替換。
第1-16圖是根據一些實施例,繪示出製造一種半導體裝置結構之各種階段的透視圖。如第1圖所示,在基板101之上形成半導體層之堆疊104。基板101可為半導體基板。在一些實施例中,基板101至少在基板101的表面上包括單晶半導體層。基板101可包括之單晶半導體材料,例如但不限於:矽(Si)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、銻化銦(InSb)、磷化鎵(GaP)、銻化鎵(GaSb)、砷化銦鋁(InAlAs)、砷化銦鎵(InGaAs)、磷化銻鎵(GaSbP)、砷銻化鎵(GaAsSb)、磷化銦(InP)。在此實施例中,基板101係由矽所形成。在一些實施例中,基板101係絕緣層上覆矽(silicon-on-insulator, SOI)基板,其包括設置在兩個矽層之間的絕緣層(未繪示)。在一個面向中,所述絕緣層為氧化物。
基板101可包括在基板101表面上的一或多個緩衝層(buffer layer)(未繪示)。所述緩衝層可用於將晶格常數(lattice constant)從基板的晶格常數,逐漸轉變為將在基板101上成長的源極/汲極區(source /drain region, S/D region)的晶格常數。所述緩衝層可形成自磊晶成長之單晶半導體材料,例如但不限於:Si、Ge、鍺錫(GeSn)、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、及InP。在一實施例中,基板101包括磊晶成長在矽基板101上的SiGe緩衝層。SiGe緩衝層的鍺原子濃度,可從最底部緩衝層的30鍺原子百分比上升至最頂部緩衝層的70鍺原子百分比。
基板101可包括被合適地摻雜雜質(impurities)(如:p型或n型雜質)之各種區域。摻質(dopant)可例如為用於p型場效電晶體(field effect transistor, FET)的硼,及用於n型FET的磷。
半導體層之堆疊104包括第一半導體層106及第二半導體層108。第一半導體層106及第二半導體層108,係由具有不同蝕刻選擇性及/或氧化速率的半導體材料形成。例如,第一半導體層106係由矽形成且第二半導體層108係由SiGe形成。在一些實施例中,半導體層之堆疊104包括交替的第一及第二半導體層106、108。第一半導體層106或其部分,可能在之後的階段中形成半導體裝置結構100的奈米結構通道(nanostructure channel)。半導體裝置結構100可包括奈米結構電晶體。本文使用之用語「奈米結構(nanostructure)」係指具有奈米級、或甚至微米級尺寸的任何材料部分,且具有細長的形狀(elongate shape),不論此部分的剖面形狀為何。因此,此術語指圓形以及實質上為圓形的剖面細長材料部份,以及具有例如圓柱形或實質上矩形剖面的樑狀(beam)或條狀(bar-shaped)材料部分。半導體裝置結構100的奈米結構通道可被閘極層環繞。奈米結構電晶體可指奈米片(nanosheet)電晶體、奈米線(nanowire)電晶體、全繞式閘極(gate-all-around, GAA)電晶體、多橋通道(multi-bridge channel, MBC)電晶體、或具有閘極環繞通道的任何電晶體。以下將進一步探討利用第一半導體層106定義半導體裝置結構100之一或多個通道。在一些實施例中,第一及第二半導體層106、108被取代為連接基板101的單一半導體材料,且所述裝置為FinFET。奈米結構電晶體可用於任何合適之應用。在一些實施例中,奈米結構電晶體可形成靜態隨機存取記憶體(static random-access memory, SRAM)。
須注意的是,如第1圖所繪示之3層第一半導體層106與3層第二半導體層108的交替排列,僅是為了例示之目的而非旨在限制超出請求項中具體敘述之內容。須理解的是,可在半導體層之堆疊104中形成任何數量的第一及第二半導體層106、108;所述層的數量取決於半導體裝置結構100之預先決定的通道數量。在一些實施例中,第一半導體層106的數量(即:通道的數量)為3至8之間。
可透過任何合適之沉積製程形成第一及第二半導體層106、108,如:磊晶法。舉例而言,可透過下列方法進行半導體層之堆疊104之膜層的磊晶成長:分子束磊晶(molecular beam epitaxy, MBE)製程、 有機金屬化學氣相沉積(metalorganic chemical vapor deposition, MOCVD)製程、及/或其他合適之磊晶成長製程。
遮罩結構110形成在半導體層之堆疊104之上。遮罩結構110可能包括含氧層112及含氮層114。含氧層112可能為墊氧化層(pad oxide layer),如:SiO 2層。含氮層114可能為墊氮化層(pad nitride layer),如:Si 3N 4。可透過進行任何合適之沉積製程形成遮罩結構110,例如:化學氣相沉積(chemical vapor deposition, CVD)製程。
第2圖是根據一些實施例,繪示出製造半導體裝置結構100之其中一個階段的透視圖。如第2圖所示,形成了鰭片202a及202b。在一些實施例中,每一個鰭片202a、202b包括從基板101形成的基板部分102a、102b;部分半導體層之堆疊104;以及部分遮罩結構110。可利用合適之製程製造鰭片202a、202b,包括:雙重圖案化(double-patterning)或多重圖案化(multi-patterning processes)。一般來說,雙重圖案化或多重圖案化製程結合了微影製程與自對準製程,以創建出例如,比使用單一、直接微影製程所得的節距更小的圖案。例如,在一實施例中,在基板上方形成犧牲層,並使用微影製程對其進行圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。之後去除犧牲層,然後可以使用剩餘的間隔物或心軸作為遮罩,並透過蝕刻半導體層之堆疊104及基板101,以圖案化鰭片鰭片202a、202b。所述蝕刻製程包括乾蝕刻、濕蝕刻、反應離子蝕刻(reactive ion etch, RIE)、及/或其他合適之製程。如第2圖所示,形成了兩個鰭片,但鰭片的數量並不限制為兩個。
在一些實施例中,可利用合適之製程製造鰭片202a、202b,包括:微影及蝕刻製程。所述微影製程可包括在遮罩結構110之上形成光阻層(未繪示),將光阻曝光至一圖案,進行曝光後烘烤製程,以及顯影光阻以形成圖案化的光阻。在一些實施例中,可利用電子束(electron beam, e-beam)微影製程,進行圖案化光阻以形成圖案化的光阻。當蝕刻製程穿過遮罩結構110、半導體層之堆疊104、以及至基板101,以在未受保護的區域中形成溝槽204時,所述圖案化的光阻可接著用於保護基板101及形成在其上膜層區,從而留下延伸的鰭片202a、202b。可利用乾蝕刻(如:RIE)、濕蝕刻、及/或上述之組合,蝕刻溝槽204。
第3圖是根據一些實施例,繪示出製造半導體裝置結構100之其中一個階段的透視圖。如第3圖所示,在基板101及鰭片202a、202b之上形成襯層304。在一些實施例中,可在基板101 及鰭片202a、202b上形成可選的襯層302,並在可選的襯層302上形成襯層304。襯層304可能係由半導體材料所形成,如:Si。在一些實施例中,襯層304係由與基板101相同的材料所形成。可選的襯層302可能係由含氧材料所形成,如:氧化物。襯層304可能為透過保形製程(conformal process)所形成的保形層,例如:原子層沉積(atomic layer deposition, ALD)製程。用語「保形(conformal)」,可於本文中使用來方便說明在各種區域之上具有實質上相同厚度的膜層。可選的襯層302可能為透過保形製程所形成的保形層,如:ALD製程。
第4圖是根據一些實施例,繪示出製造半導體裝置結構100之其中一個階段的透視圖。如第4圖所示,在基板101上形成絕緣材料402。絕緣材料402填充了溝槽204(第2圖)。絕緣材料402可能先形成在基板101之上,使得鰭片202a、202b嵌入(embedded in)絕緣材料402中。接著,如第4圖所示,進行平坦化製程,如:化學機械拋光(chemical mechanical polishing, CMP)製程及/或回蝕刻(etch-back)製程,使得鰭片202a、202b(例如:襯層304)的頂表面從絕緣材料402露出。絕緣材料402可能係由含氧材料所形成,如:氧化矽或摻氟矽酸鹽玻璃(fluorine-doped silicate glass, FSG);含氮材料,如:氮化矽、氮氧化矽(SiON)、氮氧化碳矽(SiOCN)、氮碳化矽(SiCN);低k介電材料;或其他合適之介電材料。可透過任何合適之方法形成絕緣材料402,如:低壓化學氣相沉積(low-pressure chemical vapor deposition, LPCVD)、電漿增強CVD(plasma enhanced CVD, PECVD)、或流動式CVD(flowable CVD, FCVD)。
接著,如第5圖所示,可透過移除位於鄰近鰭片202a、202b之間的部分絕緣材料402,凹蝕絕緣材料402以形成溝槽502。可透過任何合適之移除製程形成溝槽502,如:選擇性地移除絕緣材料402但不移除襯層304之半導體材料的乾蝕刻或濕蝕刻。 凹蝕的絕緣材料402可為淺溝槽隔離(shallow trench isolation, STI)。絕緣材料402包括頂表面504,其可等高於或低於與基板101的基板部分102a、102b接觸之第二半導體層108的表面。
接著,如第6圖所示,在襯層304(第5圖)的露出表面上形成被覆層602,且為了清晰而省略了可選的襯層302。在被覆層602的形成期間,襯層304可擴散至被覆層602。因此,如第6圖所示,在一些不存在可選的襯層302的實施例中,被覆層602與半導體層之堆疊104接觸。在一些實施例中,被覆層602包括半導體材料。被覆層602在半導體材料上成長,但不在介電材料上成長。例如,被覆層602包括SiGe且在襯層304的矽上成長,但不在絕緣材料402的介電材料上成長。在一些實施例中,可先在襯層304及絕緣材料402上形成半導體層,隨後透過蝕刻製程移除形成在絕緣材料402上的部分半導體層,以形成被覆層602。所述蝕刻製程可移除形成在鰭片202a、202b頂部上的一些半導體層,且形成在鰭片202a、202b頂部上的被覆層602可能具有曲狀的輪廓,而非平坦的輪廓。 在一些實施例中,被覆層602與第二半導體層108包括相同的材料,且具有相同的蝕刻選擇性。例如,被覆層602與第二半導體層108包括SiGe。後續可移除被覆層602與第二半導體層108,以形成用於閘極層的空間。
接著,如第7圖所示,在被覆層602及絕緣材料402的頂表面504上形成襯層702。襯層702可包括SiO 2、SiN、SiCN、 SiOC、SiOCN、或低k介電材料(例如:具有低於SiO 2之k值的材料)。可透過保形製程形成襯層702,如:ALD製程。襯層702可具有約1 nm至約6 nm的厚度範圍。襯層702可作為一殼(shell),以保護將於後續移除被覆層602期間形成在溝槽502(第5圖)中的可流動氧化材料(flowable oxide material)。因此,如果襯層702的厚度小於約1 nm,所述可流動氧化材料可能無法受到充分地保護。另一方面,如果襯層702的厚度大於約6 nm,溝槽502(第5圖)可能被填滿。
如第7圖所示,在溝槽502(第5圖)中及襯層702上,形成介電材料704。介電材料704可能為含氧層(如:氧化物),且可透過FCVD形成。所述含氧材料可能具有小於約7的k值,例如小於約3。可進行平坦化製程(如:CVD製程),以移除形成在鰭片202a、202b之上的部分襯層702A及介電材料704。在平坦化製程後,可露出設置在含氮層114上的部分被覆層602。
接著,如第8圖所示,凹蝕襯層702及介電材料704至第一半導體層106的高度。例如,在一些實施例中,在凹蝕製程後,介電材料702可能包括與最頂部之第一半導體層106的頂表面804實質上同高的頂表面802。最頂部之第一半導體層106的頂表面804,可接觸遮罩結構110,如:接觸含氧層112。可凹蝕襯層702至與介電材料704同高。可透過任何合適之製程進行襯層702及介電材料704的凹蝕,如:乾蝕刻、濕蝕刻、或上述之組合。在一些實施例中,可進行第一蝕刻製程以凹蝕介電材料704,隨後進行第二蝕刻製程以凹蝕襯層702。所述蝕刻製程可為選擇性蝕刻製程,其不移除被覆層602的半導體材料。因所述凹刻製程,溝槽806形成在鰭片202a與202b之間。
如第9圖所示,在溝槽806(第8圖)中,以及介電材料704與襯層702上,形成介電材料904。介電材料904可包括SiO、SiN、SiC、SiCN、SiON、SiOCN、AlO、AlN、AlON、ZrO、ZrN、ZrAlO、HfO、或其他合適之介電材料。在一些實施例中,介電材料904包括高k介電材料(例如:具有高於SiO 2之k值的材料)。可透過任何合適之製程形成介電材料904,如:CVD、PECVD、FCVD、或ALD製程。介電材料904可具有約5 nm至約20 nm的厚度範圍。介電材料904可填充溝槽806(第8圖)。因此,如果介電材料904的厚度小於約5 nm,可能無法填充溝槽806。另一方面,如果介電材料904的厚度大於約20 nm,製造成本將增加但卻無顯著的優點。
如第9圖所示,進行平坦化製程以露出遮罩結構110的含氮層114。所述平坦化製程可為任何合適之製程,如:CMP製程。所述平坦化製程移除了設置於遮罩結構110之上的部分介電材料904及被覆層602。襯層702、介電材料704、及介電材料904一起被稱作介電部件906。介電部件906包括具有殼(即:襯層702)及核(core)(即:介電材料704)的底部部分908。介電部件更包括頂部部分(top portion),即:介電材料904。介電部件906可能係將鄰近的源極/汲極磊晶部件1502(第15圖)與鄰近的閘極層2006(第20F圖)分開的介電鰭片。
接著,如第10圖所示,凹蝕被覆層602並移除遮罩結構110。可透過任何合適之製程進行被覆層602的凹蝕,如:乾蝕刻、濕蝕刻、或上述之組合。可控制凹蝕製程,使得剩餘的被覆層602與半導體層之堆疊104中最頂部之第一半導體層106的頂表面804實質上同高。所述蝕刻製程可為選擇性蝕刻製程,其不移除介電材料904。可透過任何合適之製程進行遮罩結構110的移除,如:乾蝕刻、濕蝕刻、或上述之組合。遮罩結構110的移除,露出了半導體層之堆疊104中最頂部之第一半導體層106的頂表面804。
介電部件906(例如:介電材料904)的頂部部分可具有沿Z方向的高度H1。高度H1的範圍為約15 nm至約50 nm。介電材料904可設置在介電材料704的頂表面802上,且頂表面802可能與半導體層之堆疊104中最頂部之第一半導體層106的頂表面804共平面。
接著,如第11圖所示,在半導體裝置結構100上形成一或多個犧牲閘極之堆疊1102。犧牲閘極之堆疊1102可包括犧牲閘極介電層1104、犧牲閘極層1106、以及遮罩結構1108。犧牲閘極介電層1104可包括一或多層的介電材料,如:SiO 2、SiN、高k介電材料、及/或其他合適之介電材料。在一些實施例中,犧牲閘極介電層1104包括與介電材料904不同的材料。在一些實施例中,可透過CVD製程、次常壓CVD(sub-atmospheric CVD, SACVD)製程、FCVD製程、ALD製程、物理氣相沉積(physical vapor deposition, PVD)製程、或其他合適之製程,沉積犧牲閘極介電層1104。犧牲閘極層1106可包括多晶矽(複晶矽)。遮罩結構1108可包括含氧層1110及含氮層1112。在一些實施例中,可透過各種製程,如:膜層沉積,例如:CVD(包括LPCVD及PECVD)、PVD、ALD、熱氧化(thermal oxidation)、電子束蒸鍍(e-beam evaporation)、或其他合適之沉積技術、或上述之組合,形成犧牲閘極層1106及遮罩結構1108。
可先沉積犧牲閘極介電層1104、犧牲閘極層1106、及遮罩結構1108的毯覆層(blanket layer),之後進行圖案化及蝕刻製程,以形成犧牲閘極之堆疊1102。例如,圖案化製程包括微影製程(例如:光微影或電子束微影),其進一步包括:光阻塗佈(photoresist coating)(例如:旋轉塗佈(spin-on coating))、軟烤(soft baking)、遮罩對準(mask aligning)、曝光(exposure)、曝光後烘烤(post-exposure baking)、光阻顯影(photoresist developing)、浸洗(rinsing)、乾燥(例如:旋轉乾燥(spin-drying)及/或硬烤(hard baking))、其他合適之微影技術、及/或上述之組合。在一些實施例中,蝕刻製程可包括乾蝕刻(例如:RIE)、濕蝕刻、其他蝕刻方法、及/或上述之組合。透過圖案化犧牲閘極之堆疊1102,鰭片202a及202b的半導體層之堆疊104,在犧牲閘極之堆疊1102的兩側部分地露出。如第11圖所示,形成了兩個犧牲閘極之堆疊1102,但犧牲閘極之堆疊1102的數量不限於兩個。在一些實施例中,多於兩個犧牲閘極之堆疊1102沿Y方向排列。
如第12圖所示,在犧牲閘極之堆疊1102的側壁上形成多個間隔物1202。間隔物1202之形成,可透過先沉積保形層,後續再回蝕刻所述保形層以形成側壁之間隔物1202。例如,可在半導體裝置結構100的露出表面上保形地沉積間隔物材料層。可透過ALD製程形成所述保形的間隔物材料層。接著,可在間隔物材料層上進行非等向性蝕刻(anisotropic etch),例如:利用RIE。在非等向性蝕刻製程期間,大部分的間隔物材料層從水平表面被移除,如:鰭片202a、202b、被覆層602、介電材料904的頂部,而將間隔物1202留在垂直表面上,如:犧牲閘極之堆疊1102的側壁。 間隔物1202可由介電材料所形成,如:氧化矽、氮化矽、碳化矽、氮氧化矽、SiCN、碳氧化矽、SiOCN、及/或上述之組合。在一些實施例中,間隔物1202包括多個層,如:主要間隔牆(main spacer wall)、襯層、及其類似物。
接著,可利用一或多個合適的蝕刻製程,如:乾蝕刻、濕蝕刻、或上述的組合,選擇性地凹蝕沒有被犧牲閘極之堆疊1102及間隔物1202覆蓋之鰭片202a、202b的露出部分(exposed portion)、被覆層602的露出部分、以及介電材料904的露出部分。在一些實施例中,係分別移除鰭片202a、202b之半導體層之堆疊104的露出部分,及露出部分基板部分102a、102b。如第12圖所示,凹蝕鰭片202a、202b的露出部分至等高或低於絕緣材料402的頂表面504。所述凹蝕製程,可包括凹蝕鰭片202a、202b之露出部分及被覆層602之露出部分的凹蝕製程。
在一些實施例中,如第12圖所示,所述凹蝕製程可將介電部件906露出之頂部部分(例如:介電材料904)的高度從H1減少至H2。因此,在犧牲閘極之堆疊1102及間隔物1202下方之介電材料904的第一部分1204,具有高度H1,而位於源極/汲極磊晶部件1502(第15圖)之間之介電材料904的第二部分1206,具有小於高度H1的高度H2。
在此階段,犧牲閘極之堆疊1102及間隔物1202下方之半導體層之堆疊104末端部分(end portion),具有實質上平坦的表面,且其與對應的間隔物1202齊平。在一些實施例中,犧牲閘極之堆疊1102及間隔物1202下方之半導體層之堆疊104的末端部分,被輕微地水平蝕刻。
接著,如第13圖所示,移除每一個第二半導體層108的邊緣部分(edge portion)及每一個被覆層602的邊緣部分,以形成空隙1302。在一些實施例中,透過不移除第一半導體層106之選擇性的濕蝕刻製程,移除部分第二半導體層108及被覆層602。例如,在第二半導體層108係由SiGe所形成,且第一半導體層係由矽所形成的情形下,選擇性的濕蝕刻包括利用氨水-氫氧水混合物(ammonia and hydrogen peroxide mixtures, APM)。
接著,如第14圖所示,在空隙1302中形成介電間隔物1402。在一些實施例中,介電間隔物1402可由低k介電材料所形成,如:SiON、SiCN、SiOC、SiOCN、或SiN。在一些實施例中,可透過利用保形沉積製程(如:ALD)先形成保形介電層,之後透過非等向性蝕刻移除介電間隔物1402之外的部分保形介電層,以形成介電間隔物1402。在非等向性蝕刻製程期間,可透過第一半導體層106及間隔物1202保護介電間隔物1402。在一些實施例中,介電間隔物1402與間隔物1202齊平。
接著,如第15圖所示,在鰭片202a、202b之基板部分102a、102b上,形成源極/汲極磊晶部件1502。源極/汲極磊晶部件1502可包括用於n-通道FET之一或多層的Si、SiP、SiC、及SiCP,或用於p-通道FET的Si、SiGe、Ge。源極/汲極磊晶部件1502可垂直地及水平地成長,以形成刻面(facet),其可對應用於基板部分102a、102b之材料的晶面(crystalline plane)。可透過利用CVD、ALD或MBE的磊晶成長方法,形成源極/汲極磊晶部件1502。源極/汲極磊晶部件1502與第一半導體層106及介電間隔物1402(第14圖)接觸。源極/汲極磊晶部件1502可為源極/汲極區。在此揭露中,源極及汲極可相互替換使用,且其結構實質上相同。
接著,如第16圖所示,可在源極/汲極磊晶部件1502、介電部件906及鄰近的間隔物1202上,形成接觸蝕刻停止層(contact etch stop layer, CESL)1602。接觸蝕刻停止層1602可包括含氧材料或含氮材料,如:氮化矽、氮碳化矽、氮氧化矽、氮化碳、氧化矽、碳氧化矽、其類似物、或上述之組合。可透過CVD、PECVD、ALD、或任何合適之沉積技術,形成接觸蝕刻停止層1602。在一些實施例中,接觸蝕刻停止層1602為透過ALD製程形成的保形層。可在接觸蝕刻停止層1602上形成層間介電層(interlayer dielectric layer, ILD layer)1604。用於層間介電層1604的材料,可包括由下列各者形成之氧化物:四乙基正矽酸鹽(tetraethylorthosilicate, TEOS)氧化物、未摻雜矽酸鹽玻璃(un-doped silicate glass)、或摻雜之氧化矽,如:硼磷矽酸鹽玻璃(borophosphosilicate glass, BPSG)、熔融矽酸鹽玻璃(fused silica glass, FSG)、磷矽酸鹽玻璃(phosphosilicate glass, PSG)、硼摻雜矽玻璃(boron doped silicon glass, BSG)、及/或其他合適之介電材料。可透過PECVD或其他合適之沉積技術,沉積層間介電層1604。在一些實施例中,在形成層間介電層1604之後,半導體裝置結構100可經過熱製程以退火層間介電層1604。
如第16圖所示,可進行平坦化製程以露出犧牲閘極層1106。可透過任何合適之製程進行所述平坦化製程,如:CMP製程。所述平坦化製程移除設置在犧牲閘極之堆疊1102上的部分層間介電層1604及接觸蝕刻停止層1602。所述平坦化製程亦可移除遮罩結構1108(第11圖)。如第16圖所示,可凹蝕層間介電層1604至低於犧牲閘極層1106的頂部,且可在凹蝕的層間介電層1604上形成含氮層1606,如:SiCN。含氮層1606可在後續的時刻製程期間保護層間介電層1604。
第17A-19B圖是根據一些實施例,繪示出製造半導體裝置結構100之各種階段的透視圖。第17B-19B圖是根據一些實施例,繪示出製造半導體裝置結構100之各種階段中,沿著第17A圖剖線A-A截取的剖面圖。第17A圖繪示出第16圖之半導體裝置結構100的透視圖,且第17A圖顯示半導體層之堆疊104與犧牲閘極層1106的剖面,及絕緣材料402與介電部件906的剖面。第17B圖是沿著第17A圖剖線A-A截取之半導體裝置結構100的剖面透視圖。如第17A圖所示,複數個犧牲閘極之堆疊1102設置在鰭片202a、202b之上。在第17A-19A圖中,為了清晰而省略了含氮層1606。
如第18A圖所示,移除一或多個犧牲閘極層1106,且亦移除設置在上述犧牲閘極層1106下方之部分半導體層之堆疊104及部分鰭片202a、202b。所述移除製程可為一或多個蝕刻製程。在一些實施例中,因為犧牲閘極層1106、部分半導體層之堆疊104、及部分鰭片202a、202b皆係由半導體材料所形成,所以可進行單一蝕刻製程以移除犧牲閘極層1106、部分半導體層之堆疊104、以及部分鰭片202a、202b。在一些實施例中,可進行多個蝕刻製程以移除犧牲閘極層1106、部分半導體層之堆疊104、及部分鰭片202a、202b。可在其他犧牲閘極層1106上設置遮罩(未繪示),以在移除製程中保護它們。在移除犧牲閘極層1106、部分半導體層之堆疊104、及部分鰭片202a、202b而形成的開口中,形成介電材料1804。介電材料1804可為任何合適之介電材料,如:SiN。在一些實施例中,在開口中形成襯層1802,且在襯層1802上形成介電材料1804。介電材料1804的功能為隔離裝置,如:一組電晶體。
在形成襯層1802及介電材料1804之後,移除遮罩(未繪示)以露出剩餘的犧牲閘極層1106。如第18A及18B圖所示,凹蝕犧牲閘極層1106至恰好高於(just above)介電材料904的頂表面1808。在一些實施例中, 設置在介電材料904之頂表面1808上的部分凹蝕的犧牲閘極層1106具有厚度T1。厚度T1可與介電材料904(第12圖)的高度H1相同或小於之,如:從約0.5倍H1至約1.5倍H1。如第18A圖所示,由於犧牲閘極層1106的凹蝕,溝槽1806形成在鄰近的部分層間介電層1604之間。
如第19A及19B圖所示,在半導體裝置結構100上形成遮罩結構1902。在一些實施例中,遮罩結構1902為三層光阻。 例如,遮罩結構1902可包括底層1904及設置在底層1904上的中間層1906。底層1904及中間層1906係由不同的材料所形成,使得底層1904及中間層1906的光學特性及/或蝕刻特性與彼此不同。 在一些實施例中,底層1904可能為吸收層(absorber layer),如:鉻層,且中間層1906可能為富矽層(silicon-rich layer),以設計來在中間層1906及底層1904之間提供蝕刻選擇性。遮罩結構1902更包括可為化學放大光阻層(chemically amplified photoresist layer)的光阻層1908,且可為正型光阻(positive tone photoresist)或負型光阻(negative tone photoresist)。光阻層1908可包括聚合物,如:酚醛樹脂(phenol formaldehyde resin)、聚(降莰烯)-co-順丁烯二酸酐(poly(norbornene)-co-malaic anhydride;COMA)聚合物、聚(4-羥基苯乙烯)(poly(4-hydroxystyrene);PHS)聚合物、酚醛(phenol-formaldehyde)(電木(bakelite))聚合物、聚乙烯(polyethylene, PE)聚合物、聚丙烯(polypropylene, PP)聚合物、聚碳酸酯(polycarbonate)聚合物、聚酯(polyester)聚合物、或丙烯酸酯基聚合物(acrylate-based polymer)、如:聚甲基丙烯酸甲酯(poly (methyl methacrylate);PMMA)聚合物、或聚丙烯酸(poly (methacrylic acid);PMAA)。可透過旋轉塗佈形成光阻層1908。
如第19A及19B圖所示,圖案化光阻層1908,使得一或多個開口1910形成在光阻層1908中。在一或多個介電部件906之介電材料904之上,形成一或多個開口1910。光阻層1908設置在其他介電部件906之上。換句話說,至少一個介電部件906設置在開口1910之下,且剩下的介電部件906設置在光阻層1908之下。部分中間層1906在開口1910中露出。
第20A-20I圖是根據一些實施例,繪示出製造半導體裝置結構100之各種階段中,沿著第17A圖剖線A-A截取的剖面透視圖。如第20A圖所示,可透過任何合適之製程,移除中間層1906的露出部分及設置在其下的部分底層1904。部分中間層1906及底層1904的移除,在中間層1906及底層1904中延伸了開口1910,以露出部分犧牲閘極層1106。
如第20B圖所示,透過任何合適之製程移除犧牲閘極層1106的露出部分,且介電材料904的頂表面1808在開口1910中露出。如以上就第18B圖所描述的,凹蝕犧牲閘極層1106,使得設置在介電材料904之頂表面1808上的部分犧牲閘極層1106,具有厚度T1,厚度T1實質上小於凹蝕前設置在介電材料904之頂表面1808上的部分犧牲閘極層1106的厚度。厚度T1的範圍為約0.5倍H1至約1.5倍H1,以增加用於第20B中描述之製程的製程窗口(processing window)。例如,如果犧牲閘極層1106沒有凹蝕至如第18B圖所示之高度,第20B圖所示之移除部分犧牲閘極層1106的製程可能較久。因此,犧牲閘極層1106可能被過蝕刻(over etching),且第一半導體層106可能受到損害。藉由第18B圖所示之凹蝕的犧牲閘極層1106,第20B圖所示之移除部分犧牲閘極層1106的製程,因為厚度T1實質上小於凹蝕製程前犧牲閘極層1106的厚度,而具有更大的製程窗口。因此,可阻止犧牲閘極層1106的過蝕刻。
如第20C圖所示,透過任何合適之製程移除遮罩結構1902。在一些實施例中,透過多個蝕刻製程移除遮罩結構1902。每一個蝕刻製程不會實質上影響犧牲閘極層1106及介電材料904。接著,如第20D圖所示,透過任何合適之製程移除露出的介電材料904。在一些實施例中,介電材料904包括高k介電材料,如: HfO 2,且進行氯基(chlorine based)乾蝕刻製程以移除介電材料904。例如,所述乾蝕刻製程,係在腔壓(chamber pressure)範圍為約5 mTorr至約40 mTorr的蝕刻腔(etch chamber)中進行。製程溫度範圍為約80攝氏度至約150攝氏度。變壓器耦合電漿(transformer coupled plasma, TCP)功率範圍為約200 W至約2000 W,且偏壓(bias voltage)範圍為約0 V至約200 V。蝕刻劑(etchant)可為具有流速(flow rate)範圍為約10 sccm至約250 sccm的BCl 3,或約0 sccm至約200 sccm的Cl 2。其他氣體,如:Ar、He、及/或N 2,可能與含氯氣體一起流送。Ar、He、及N 2的流速範圍可為約50 sccm至約200 sccm。藉由以上描述的製程條件,具有含氯蝕刻劑之HfO 2蝕刻製程,可實現對於Si及SiN的高選擇性。
在一些實施例中,如第20E圖所示,露出的介電材料904被凹蝕但未完全移除。剩餘的介電材料904可具有範圍為約1 nm至約20 nm的厚度T2。如果厚度T2小於約1 nm,會增加過蝕刻的風險,且介電材料704可能受到凹蝕製程損害。另一方面,如果厚度T2大於約20 nm,剩餘的介電材料904可能會非意圖地(unintentionally)切斷(cutting off)閘極層,如:閘極層2006(第20F圖)。透過犧牲閘極層1106保護的介電材料904,具有範圍為約15 nm至約50 nm的厚度T3。厚度T3可與高度H1(第10圖)相同。厚度T3實質上大於厚度T2。剩餘的介電材料904的頂表面及剩餘的介電材料904的側表面,可形成銳角A。在一些實施例中,銳角A範圍為約10度至約80度。
如第20F圖(即:第20E圖所示之部分半導體裝置結構100的放大圖)所示,移除剩餘的犧牲閘極層1106、犧牲閘極介電層1104、被覆層602、及第二半導體層108,且形成含氧層2002、閘極介電層2004、及閘極層2006。例如,先移除犧牲閘極層1106及犧牲閘極介電層1104,以露出被覆層602及半導體層之堆疊104。接著,移除被覆層602及第二半導體層108。所述移除製程露出介電間隔物1402及第一半導體層106。所述移除製程可為任何合適之製程,如:乾蝕刻、濕蝕刻、或上述之組合。所述蝕刻製程可為選擇性蝕刻製程,其移除被覆層602及第二半導體層108,但不移除間隔物1202、接觸蝕刻停止層1602、含氮層1606、介電材料904、及第一半導體層106。接著,可在第一半導體層106的露出表面及基板部分102a、102b周圍形成含氧層2002。如第20F圖所示,接著在含氧層2002及介電部件906上形成閘極介電層2004。含氧層2002可為氧化層,且閘極介電層2004可包括與犧牲閘極介電層1104(第11圖)相同的材料。在一些實施例中,閘極介電層2004包括高k介電材料。可透過任何合適之製程形成含氧層2002及閘極介電層2004,如:ALD製程。在一些實施例中,可透過保形製程形成含氧層2002及閘極介電層2004。
接著,在閘極介電層2004上形成閘極層2006。在閘極介電層2004上形成閘極層2006,以環繞每一個第一半導體層106的一部分。閘極層2006包括一或多層的導電材料,如:多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金屬合金、其他合適之材料、及/或上述之組合。可透過PVD、CVD、ALD、電鍍、或其他合適之方式,形成閘極層2006。
接著,如第20F圖所示,凹蝕閘極層2006至低於未凹蝕之介電材料904的頂表面2008。在如第20E圖所描述之凹蝕但不完全移除介電材料904的實施例中,閘極層2006設置在凹蝕的介電材料904之上。因為所述凹蝕製程,一些鄰近的通道區(第一半導體層106)可能共享(share)閘極層2006,而其他鄰近的通道區可能包括單獨的閘極層2006。例如,從鰭片202a及鰭片202b形成的通道區共享閘極層2006,而從鰭片202b及鄰近鰭片202b的鰭片(未繪示,在介電部件906的另一側)形成的通道區包括單獨的閘極層2006。如果閘極層2006透過鄰近的通道區共享,傳送至閘極層2006的單一信號(即:電流)可控制兩個鄰近的通道區。如果閘極層2006被未凹蝕的介電部件906切斷,接著獨立的信號(即:獨立電流)可傳送至每一個閘極層2006,以分別控制每一個鄰近的通道區。如上方所描述的,凹蝕的介電材料904可具有範圍為1 nm至約20 nm的厚度T2。如果厚度T2大於約20 nm,閘極層2006可能被介電材料904非意圖的切斷。為了共享閘極層2006之目的,可透過第20D圖所描述的製程移除或凹蝕介電材料904。
可透過任何合適之製程凹蝕閘極層2006,如:乾蝕刻、濕蝕刻、或上述之組合。在一些實施例中,所述凹蝕製程可為選擇性的乾蝕刻製程,其不會實質上地影響含氮層1606、間隔物1202、以及接觸蝕刻停止層1602。由於所述凹蝕製程,一些鄰近的閘極層2006被介電部件906分開或切斷。
第20G圖是根據替代的實施例所繪示之半導體裝置結構100,其如第20D圖所描述之介電材料904被完全地移除。如第20G圖所示,閘極介電層2004設置在襯層702及介電材料704上。
如第20H圖所示,在閘極層2006上選擇性地形成導電層2010。導電層2010可包括任何合適之金屬,如:無氟鎢(fluorine-free tungsten, FFW), 其選擇地成長在閘極層2006上,但不成長在閘極介電層2004上。如第20I圖所示,在介電部件906及導電層2010之上形成介電材料2012。介電材料2012可包括SiO、HfSi、SiOC、AlO、ZrSi、AlON、ZrO、HfO、TiO、ZrAlO、ZnO、TaO、LaO、YO、TaCN、SiN、SiOCN、ZrN、或SiCN。  可透或任何合適之製程形成介電材料2012,如:PECVD。可穿過介電材料2012形成導電部件2014,並使其接觸導電層2010。導電部件2014可包括具有下列一或多者的材料:Ru、Mo、Co、Ni、W、Ti、Ta、Cu、Al、TiN、及TaN。導電部件2014可提供信號(如:電流)至被鰭片202a、202b所形成的通道區共享的閘極層2006。
第20A-20I圖所示之半導體裝置結構100,繪示了利用介電部件906之介電材料904切斷閘極層2006的製程。一或多個介電部件906可具有移除或凹蝕的介電材料904,以在鄰近的通道區中共享閘極層2006。在一些實施例中,提供了切斷及共享閘極層2006的不同方式。
第21A-32A圖是根據一些實施例,繪示出製造半導體裝置結構100之各種階段中,沿著第16圖剖線B-B截取的剖面透視圖。第21B-32B圖是根據一些實施例,繪示出製造半導體裝置結構100之各種階段中,沿著第16圖剖線C-C截取的剖面透視圖。第22C-32C圖是根據一些實施例,繪示出製造半導體裝置結構100之各種階段中,沿著第16圖剖線D-D截取的剖面透視圖。
如第21A及21B圖所示,如第16圖所示之平坦化製程露出犧牲閘極層1106及形成含氮層1606之後,移除犧牲閘極層1106、犧牲閘極介電層1104、被覆層602、及第二半導體層108。第18A至20E圖所描述的製程被省略。可透過一或多個蝕刻製程,進行犧牲閘極層1106、犧牲閘極介電層1104、被覆層602、及第二半導體層108的移除。所述一或多個蝕刻製程可移除部分介電材料904,如:移除部分介電材料904的第一部分1204。在一些實施例中,剩餘的第一部分1204具有高度H3,其實質上小於高度H1但實質上大於高度H2。在一些實施例中,高度H3的範圍為約20 nm至約24 nm。在犧牲閘極層1106、犧牲閘極介電層1104、被覆層602、 及第二半導體層108的移除期間,設置在間隔物1202之下的部分介電材料904被間隔物1202保護。因此,設置在間隔物1202之下的部分介電材料904具有高度H1。
如第22A-22C圖所示,形成含氧層2002、閘極介電層2004、及閘極層2006。凹蝕閘極層2006至與介電材料904之第一部分1204的頂表面同高。閘極層2006的凹蝕形成了溝槽2202。  溝槽2202包括具有不同底部的各種區段(section),如:第22A圖所示之介電部件906的第一部分1204及第22B圖所示之閘極層2006。第22C圖沿著X方向,繪示了在溝槽2202下方的部分半導體裝置結構100。在一些實施例中,如第22C圖所示,閘極層2006的頂表面與介電材料904的頂表面為實質上共平面。因此,多個閘極層2006並不相連,且被介電部件906分開。換句話說,在此階段,每一個通道區(第一半導體層106)包括單獨的閘極層2006。
如第22C圖所示,可從基鈑101形成額外的鰭片202c、202d、202e。鰭片202a、202b、202c、202d、202e可能具有不同的寬度。例如,各鰭片202a、202b具有的寬度,小於各鰭片202c、 202d、202e的寬度。較寬的鰭片產生較寬的通道,且不同的裝置可能具有不同的通道寬度。例如,具有較寬通道的裝置可能更適合用於高速(high-speed)應用,如:反及裝置(Not-AND device, NAND device)。具有較窄通道的裝置可能更適合用於低功率(low-power)及低漏流(low-leakage)應用,如:反向裝置(inverter device)。鄰近閘極層2006間的距離可能不同。換句話說,介電部件906的寬度可能不同。例如,如第22C圖所示,基板部分102a之上的閘極層2006與基板部分102e之上的閘極層2006之間設置的介電部件906,寬於基板部分102a之上的閘極層2006與基板部分102b之上的閘極層2006之間設置的介電部件906。
如第23A-23C圖所示,在含氮層1606、鄰近的間隔物1202、及溝槽2202的底部(如:介電材料904及閘極層2006)上,形成導電層2302。導電層2302可包括與導電層2010相同的材料。然而,導電層2302形成在半導體裝置結構100的所有露出表面上,但導電層2010選擇性地形成在閘極層2006上。可透過任何合適之製程,如:ALD、CVD、PECVD、或PVD,形成導電層2302。 在一些實施例中,導電層2302係透過PVD形成,且因為係較低保形性的沉積製程,所以在水平表面(如:含氮層1606、介電材料904、及閘極層2006)上形成的部分導電層2302,可能比在垂直表面(如:間隔物1202)上形成的部分導電層2302還要厚。
接著,如第24A-24C圖所示,移除與間隔物1202相鄰設置的部分導電層2302。可透過任何合適之製程,如:濕蝕刻,進行所述移除。所述濕蝕刻移除設置在垂直表面上的部分導電層2302,以露出間隔物1202。因為設置在垂直表面上的部分導電層2302比設置在水平表面上的部分導電層2302還要薄,所以設置在水平表面上的部分導電層2302沒有被完全移除。設置在溝槽2202底部上的剩餘導電層2302,可能具有範圍為約2 nm至約10 nm的厚度。
接著,如第25A-25C圖所示,在溝槽2022之中及含氮層1606之上形成遮罩2502。遮罩2502可包括含氧材料及/或含氮材料。在一些實施例中,遮罩2502為光阻。如第26A-26C圖所示,可移除設置在含氮層1606之上的導電層2302上的部分遮罩2502。可透過任何合適之製程移除部分遮罩2502,如:乾蝕刻、濕蝕刻、或上述之組合。在溝槽2202中的部分遮罩2502沒有受到移除製程影響。所述移除製程露出設置在含氮層1606上的部分導電層2302。
接著,如第27A-27C圖所示,移除設置在含氮層1606上的部分導電層2302,之後移除在溝槽2202中的遮罩2502,以露出形成在溝槽2202底部上的部分導電層2302。可透過任何合適之製程移除設置在含氮層1606上的部分導電層2302,如:乾蝕刻、濕蝕刻、或上述之組合。在一些實施例中,如第26A-26B及27A-27B圖所示,可透過兩個蝕刻製程移除部分遮罩2502及設置在含氮層1606上的部分導電層2302。替代地,可透過平坦化製程,如:CMP製程,移除部分遮罩2502及設置在含氮層1606上的部分導電層2302。
可透過任何合適之製程,移除設置在溝槽2202中的部分遮罩2502,如:乾蝕刻、濕蝕刻、或上述之組合。部分遮罩2502的移除可為選擇性的,因為不同的蝕刻選擇性,所以設置在溝槽2202底部上的含氮層1606、間隔物1202、及導電層2302不會受到實質上影響。如第27C圖所示,多個閘極層2006電性連接至導電層2302。
接著,如第28A-28C圖所示,在溝槽2202中形成遮罩結構2802。遮罩結構2802包括底層2804、中間層2806、及光阻層2808。遮罩結構2802可為遮罩結構1902、底層2804可為底層1904、中間層2806可為中間層1906、且光阻層2808可為光阻層1908。如第29A-29C圖所示,在遮罩結構2802中形成開口2902。可透過多個製程形成開口2902。開口2902露出設置在一個介電部件906上的部分導電層2302。
如第30A-30C圖所示,透過任何合適之製程移除導電層2302的露出部分,並露出介電材料904。在一些實施例中,亦可露出部分閘極層2006。在一些實施例中,導電層2302包括FFW,並進行氟基(fluorine-based)乾蝕刻製程以移除導電層2302的露出部分。例如,所述乾蝕刻製程,係在腔壓範圍為約3 mTorr至約20 mTorr的蝕刻腔中進行。製程溫度範圍為約10攝氏度至約30攝氏度。蝕刻劑可為具有流速範圍為約0 sccm至約50 sccm的SF 6、流動率範圍為約0 sccm至約50 sccm的NF 3、及/或流動率範圍為約0 sccm至約50 sccm的CF 4。其他氣體,如:Ar及/或N 2,可能與含氟氣體一起流送。Ar的流動率範圍可為約50 sccm至約200 sccm ,且N 2的流動率範圍可為約50 sccm至約140 sccm。藉由以上描述的製程條件,具有含氟蝕刻劑之FFW蝕刻製程,可實現對於閘極層2006的材料(如:TiN)、介電材料904的材料(如:HfO 2)、及間隔物1202的材料(如:SiN)高選擇性。在一些實施例中,所述乾蝕刻製程移除間隔物的厚度約小於80%、閘極層2006約小於10%、及介電材料約小於10%。
如第31A-31C圖所示,在開口2902中及介電材料904上,形成介電材料3102。介電材料3102可包括任何合適之介電材料,如:SiN。接著,如第32A-32C圖所示,移除遮罩結構2802,且在溝槽2202中形成介電材料3202。介電材料3202可包括與介電材料3102相同的材料。如第32C圖所示,導電層2302可能被分開成區段(segment)。例如,導電層2302的第一區段與設置在鰭片202a及202e之上的閘極層2006電性連接,且導電層2302的第二區段與設置在鰭片202b、202c、及202d之上的閘極層2006電性連接。導電層2302的第一及第二區段透過介電材料3102分開。 因此,相較於第20A-20I圖所示之製程,第21A-32C圖是根據替代的實施例,繪示出切斷及共享閘極層2006的製程。
第33A-33E圖是根據一些實施例,繪示出製造半導體裝置結構100之各種階段中,沿著第16圖剖線B-B截取的剖面透視圖。在一些實施例中,如第32A圖所示,可透過保形製程(如:ALD製程)形成導電層2302,且導電層2302可為保形層。接著,如第33B圖所示,可在溝槽2202中形成犧牲層3302。犧牲層3302可包括與導電層2302、間隔物1202、及含氮層1606具有不同蝕刻選擇性的任何材料。在一些實施例中,犧牲層3302為底層抗反射塗膜(bottom anti-reflective coating, BARC)層。
如第33C圖所示,凹蝕犧牲層3302至露出設置在含氮層1606上及每一個部分間隔物1202上的部分導電層2302。接著,如第33D圖所示,透過任何合適之製程移除導電層2302的露出部分,如:第30A-30C圖所描述之乾蝕刻製程。如第33E圖所示,接著透過任何合適之製程移除剩餘的犧牲層3302。剩餘的導電層2302可能在Y-Z平面具有「U」形剖面,而非第27A圖所示之條(bar)形剖面。可在導電層2302上進行後續製程(如第28A-32C圖所描述之製程),以切斷及共享閘極層2006。
本揭露在各種實施例中提供一種半導體裝置結構100,包括:第一通道區(複數個第一半導體層106);與第一通道區相鄰設置的第二通道區(複數個第一半導體層106);設置在第一及第二通道區中的閘極層2006;與閘極層2006相鄰設置的第一介電部件906;以及設置在第一及第二通道區之間的第二介電部件906。第一介電部件906包括具有第一厚度T3的第一介電材料904,且第二介電部件906包括具有實質上小於第一厚度T3之第二厚度的第二介電材料904。一些實施例可實現優勢。例如,具有厚度T3的第一介電材料904可分開閘極層2006,而具有厚度T2的第二介電材料904可允許鄰近的通道區共享閘極層2006。
本揭露之一實施例係關於一種半導體裝置結構。所述半導體裝置結構,包括:第一通道區,設置於基板之上;第二通道區,與第一通道區相鄰設置;閘極層,設置於第一及第二通道區中;第一介電部件,與閘極層相鄰設置,其中第一介電部件包括具有第一厚度的第一介電材料;以及第二介電部件,設置於第一通道區及第二通道區之間,其中第二介電部件包括具有實質上小於第一厚度之第二厚度的第二介電材料,其中第二厚度範圍為約1 nm至約20 nm。在一些實施例中,所述半導體裝置結構更包括:複數個第一半導體層,設置於第一通道區中,其中閘極層環繞第一半導體層;以及複數個第二半導體層,設置於第二通道區中,其中閘極層環繞第二半導體層。在一些實施例中,所述半導體裝置結構更包括:第一源極/汲極磊晶部件,與第一半導體層接觸;以及第二源極/汲極磊晶部件,與第二半導體層接觸。在一些實施例中,第二介電部件設置於第一源極/汲極磊晶部件與第二源極/汲極磊晶部件之間。在一些實施例中,第二介電部件更包括第三介電材料及襯層。在一些實施例中,第二介電材料包括高k介電材料。在一些實施例中,所述半導體裝置結構,更包括設置於閘極層上的導電層。
本揭露另一實施例係關於一種半導體裝置結構的形成方法。所述方法包括:自基板形成第一及第二鰭片,其中第一鰭片包括複數個第一半導體層,且第二鰭片包括複數個第二半導體層;形成第一及第二介電部件,其中第一介電部件與第一鰭片相鄰設置、第二介電部件設置於第一及第二鰭片之間、第一介電部件包括第一介電材料、且第二介電部件包括第二介電材料;在第一鰭片、第二鰭片、第一介電部件、以及第二介電部件之上,形成犧牲閘極層;凹蝕犧牲閘極層至第二介電材料的頂表面上方之高度;在第一介電部件之上形成遮罩結構;移除設置於第二介電材料上的犧牲閘極層的一部分,以露出第二介電材料;移除遮罩結構;以及凹蝕第二介電材料。在一些實施例中,所述方法更包括移除犧牲閘極層。在一些實施例中,所述方法更包括:在第一介電材料及第二介電材料上形成閘極介電層,並環繞第一及第二半導體層。在一些實施例中,所述方法更包括在閘極介電層上形成閘極層,其中閘極層的頂表面低於第一介電材料的頂表面,且閘極層形成在第二介電材料之上。在一些實施例中,形成第二介電部件的步驟包括:在第一及第二鰭片之間形成襯層;在襯層上形成第三介電材料;以及在襯層及第三介電材料上形成第二介電材料。在一些實施例中,所述方法更包括移除第二介電材料,以露出襯層及第三介電材料。在一些實施例中,所述方法更包括:在第一介電材料、襯層、及第三介電材料上形成閘極介電層,並環繞第一及第二半導體層。在一些實施例中,所述方法更包括在閘極介電層上形成閘極層,其中閘極層的頂表面低於第一介電材料的頂表面,且閘極層形成在襯層及第三介電材料之上。
本揭露進一步之實施例係關於一種半導體裝置結構的形成方法。所述方法包括:自基板形成第一及第二鰭片,其中第一鰭片包括複數個第一半導體層,且第二鰭片包括複數個第二半導體層;在第一及第二鰭片之間形成介電部件,其中介電部件包括第一介電材料;在基板之上形成層間介電層;形成閘極層以環繞第一及第二半導體層;凹蝕閘極層至第一介電材料的頂表面的高度;在閘極層、第一介電材料、及層間介電層上,形成導電層;移除設置於層間介電層之上的導電層的一部分;在導電層上形成遮罩結構;在遮罩結構中形成開口,以露出設置於第一介電材料上的導電層的一部分;移除導電材料露出的部分,以露出第一介電材料;以及在第一介電材料上形成第二介電材料。在一些實施例中,所述方法更包括在形成閘極層的步驟之前,在基板上形成多個間隔物,且導電層形成在間隔物上。在一些實施例中,所述方法更包括在移除設置於層間介電層之上的導電層的部分的步驟之前,移除設置於間隔物上的導電層的一部分。在一些實施例中,所述方法更包括:在移除設置於層間介電層之上的導電層的一部分的步驟之前,在導電層上形成犧牲層;凹蝕犧牲層;在移除設置於層間介電層之上的導電層的部分時,移除設置於間隔物上的導電層的一部分;以及移除犧牲層。在一些實施例中,所述方法更包括移除遮罩結構,以及在閘極層之上的導電層上形成第三介電材料。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可更易理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解到,此類等效的製程和結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍之下,做各式各樣的改變、取代和替換。
100:半導體裝置結構 101:基板 102a, 102b, 102c, 102d, 102e:基板部分 104:半導體層之堆疊 106:第一半導體層 108:第二半導體層 110:遮罩結構 112:含氧層 114:含氮層 202a, 202b, 202c, 202d, 202e:鰭片 204:溝槽 302:可選的襯層 304:襯層 402:絕緣材料 502:溝槽 504:頂表面 602:被覆層 702:襯層 704:介電材料 802, 804:頂表面 806:溝槽 904:介電材料 906:介電部件 908:底部部分 1102:犧牲閘極之堆疊 1104:犧牲閘極介電層 1106:犧牲閘極層 1108:遮罩結構 1110:含氧層 1112:含氮層 1202:間隔物 1204:第一部分 1206:第二部分 1302:空隙 1502:源極/汲極磊晶部件 1602:接觸蝕刻停止層(contact etch stop layer, CESL) 1604:層間介電(interlayer dielectric, ILD)層 1606:含氮層 1802:襯層 1804:介電材料 1806:溝槽 1808:頂表面 1902:遮罩結構 1904:底層 1906:中間層 1908:光阻層 1910:開口 2002:含氧層 2004:閘極介電層 2006:閘極層 2008:頂表面 2010:導電層 2012:介電材料 2014:導電部件 2202:溝槽 2302:導電層 2502:遮罩 2802:遮罩結構 2804:底層 2806:中間層 2808:光阻層 3102, 3202:介電材料 3302:犧牲層 A:銳角 A-A, B-B, C-C, D-D:剖線 H1, H2, H3:高度 T1, T2, T3:厚度
配合所附圖式及以下之詳細描述,可以最好地理解本揭露之各個方面。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製。事實上,為了討論上的清晰,各種特徵之尺寸可任意地放大或縮小。 第1-16圖是根據一些實施例,繪示出製造一種半導體裝置結構之各種階段的透視圖。 第17A-19A圖是根據一些實施例,繪示出製造一種半導體裝置結構之各種階段的透視圖。 第17B-19B圖是根據一些實施例,繪示出製造一種半導體裝置結構之各種階段中,沿著第17A圖剖線A-A截取的剖面圖。 第20A-20I圖是根據一些實施例,繪示出製造一種半導體裝置結構之各種階段中,沿著第17A圖剖線A-A截取的剖面圖。 第21A-32A圖是根據一些實施例,繪示出製造一種半導體裝置結構之各種階段中,沿著第16圖剖線B-B截取的剖面圖。 第21B-32B圖是根據一些實施例,繪示出製造一種半導體裝置結構之各種階段中,沿著第16圖剖線C-C截取的剖面圖。 第22C-32C圖是根據一些實施例,繪示出製造一種半導體裝置結構之各種階段中,沿著第16圖剖線D-D截取的剖面圖。 第33A-33E圖是根據替代的實施例,繪示出製造一種半導體裝置結構之各種階段中,沿著第16圖剖線B-B截取的剖面圖。
100:半導體裝置結構
102a,102b:基板部分
106:第一半導體層
202a,202b:鰭片
402:絕緣材料
702:襯層
704,904:介電材料
906:介電部件
2002:含氧層
2004:閘極介電層
2006:閘極層
2010:導電層
2012:介電材料
2014:導電部件

Claims (10)

  1. 一種半導體裝置結構,包括:一第一通道區,設置於一基板之上;一第二通道區,與該第一通道區相鄰設置;一閘極層,設置於該第一通道區及該第二通道區中;一第一介電部件,與該閘極層相鄰設置,其中該第一介電部件包括具有一第一厚度的一第一介電材料;以及一第二介電部件,設置於該第一通道區及該第二通道區之間,其中該第二介電部件包括具有實質上小於該第一厚度之一第二厚度的一第二介電材料,其中該第二厚度範圍為約1nm至約20nm。
  2. 如請求項1之半導體裝置結構,更包括:複數個第一半導體層,設置於該第一通道區中,其中該閘極層環繞該些第一半導體層;以及複數個第二半導體層,設置於該第二通道區中,其中該閘極層環繞該些第二半導體層。
  3. 如請求項1或2之半導體裝置結構,其中該第二介電部件更包括一第三介電材料及一襯層。
  4. 一種半導體裝置結構的形成方法,包括:自一基板形成一第一鰭片及一第二鰭片,其中該第一鰭片包括複數個第一半導體層,且該第二鰭片包括複數個第二半導體層;形成一第一介電部件及一第二介電部件,其中該第一介電部件與該第一鰭片相鄰設置、該第二介電部件設置於該第一鰭片及該第二鰭片之間,該第一介電 部件包括一第一介電材料、以及該第二介電部件包括一第二介電材料;在該第一鰭片、該第二鰭片、該第一介電部件、以及該第二介電部件之上,形成一犧牲閘極層;凹蝕該犧牲閘極層至該第二介電材料的頂表面上方之高度;在該第一介電部件之上形成一遮罩結構;移除設置於該第二介電材料上的該犧牲閘極層的一部分,以露出該第二介電材料;移除該遮罩結構;以及凹蝕該第二介電材料。
  5. 如請求項4之半導體裝置結構的形成方法,更包括:在該第一介電材料及該第二介電材料上形成一閘極介電層,並環繞該些第一半導體層及該些第二半導體層。
  6. 如請求項5之半導體裝置結構的形成方法,更包括在該閘極介電層上形成一閘極層,其中該閘極層的頂表面低於該第一介電材料的頂表面,且該閘極層形成在該第二介電材料之上。
  7. 如請求項4~6中任一項之半導體裝置結構的形成方法,其中形成該第二介電部件的步驟包括:在該第一鰭片及該第二鰭片之間形成一襯層;在該襯層上形成一第三介電材料;以及在該襯層及該第三介電材料上形成該第二介電材料。
  8. 一種半導體裝置結構的形成方法,包括:自一基板形成一第一鰭片及一第二鰭片,其中該第一鰭片包括複數個第一半 導體層,且該第二鰭片包括複數個第二半導體層;在該第一鰭片及該第二鰭片之間形成一介電部件,其中該介電部件包括一第一介電材料;在該基板之上形成一層間介電層;形成一閘極層以環繞該些第一半導體層及第二半導體層;凹蝕該閘極層至該第一介電材料的頂表面的高度;在該閘極層、該第一介電材料、及該層間介電層上,形成一導電層;移除設置於該層間介電層之上的該導電層的一部分;在該導電層上形成一遮罩結構;在該遮罩結構中形成一開口,以露出設置於該第一介電材料上的該導電層的一部分;移除該導電層露出的該部分,以露出該第一介電材料;以及在該第一介電材料上形成一第二介電材料。
  9. 如請求項8之半導體裝置結構的形成方法,更包括在形成該閘極層的步驟之前,在該基板之上形成多個間隔物,且該導電層形成在該些間隔物上。
  10. 如請求項8或9之半導體裝置結構的形成方法,更包括移除該遮罩結構,以及在該閘極層之上的該導電層上形成一第三介電材料。
TW112100159A 2022-02-15 2023-01-04 半導體裝置結構及其形成方法 TWI841170B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/672,216 US20230260993A1 (en) 2022-02-15 2022-02-15 Semiconductor device structure and methods of forming the same
US17/672,216 2022-02-15

Publications (2)

Publication Number Publication Date
TW202335061A TW202335061A (zh) 2023-09-01
TWI841170B true TWI841170B (zh) 2024-05-01

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210184001A1 (en) 2019-12-13 2021-06-17 Intel Corporation Nanoribbon thick gate devices with differential ribbon spacing and width for soc applications

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210184001A1 (en) 2019-12-13 2021-06-17 Intel Corporation Nanoribbon thick gate devices with differential ribbon spacing and width for soc applications

Similar Documents

Publication Publication Date Title
US11967594B2 (en) Semiconductor device structure and methods of forming the same
US20230369125A1 (en) Semiconductor device structure and methods of forming the same
US20240153958A1 (en) Semiconductor device structure and methods of forming the same
US20230290780A1 (en) Semiconductor device structure and methods of forming the same
TW202201506A (zh) 半導體裝置及其製造方法
TWI841170B (zh) 半導體裝置結構及其形成方法
US20230260993A1 (en) Semiconductor device structure and methods of forming the same
US11302693B2 (en) Semiconductor device structure and methods of forming the same
US11676864B2 (en) Semiconductor device structure and methods of forming the same
US20230402521A1 (en) Semiconductor device structure and methods of forming the same
US11581437B2 (en) Semiconductor device structure and methods of forming the same
US11830912B2 (en) Semiconductor device structure and methods of forming the same
US11942478B2 (en) Semiconductor device structure and methods of forming the same
US20230369463A1 (en) Semiconductor devices with fin-top hard mask and methods for fabrication thereof
TW202347514A (zh) 半導體裝置及其形成方法