TWI836378B - Semiconductor device with sealed tsv and method for producing thereof - Google Patents

Semiconductor device with sealed tsv and method for producing thereof Download PDF

Info

Publication number
TWI836378B
TWI836378B TW111109873A TW111109873A TWI836378B TW I836378 B TWI836378 B TW I836378B TW 111109873 A TW111109873 A TW 111109873A TW 111109873 A TW111109873 A TW 111109873A TW I836378 B TWI836378 B TW I836378B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
hole
semiconductor device
rear surface
Prior art date
Application number
TW111109873A
Other languages
Chinese (zh)
Other versions
TW202306085A (en
Inventor
彼德 傑拉貝克
喬格 帕特德
Original Assignee
奧地利商Ams有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 奧地利商Ams有限公司 filed Critical 奧地利商Ams有限公司
Publication of TW202306085A publication Critical patent/TW202306085A/en
Application granted granted Critical
Publication of TWI836378B publication Critical patent/TWI836378B/en

Links

Images

Abstract

A semiconductor device (1) comprises a substrate (2). A metal layer (4) is arranged on or above a main surface (2’) of the substrate (2). The semiconductor device (1) further comprises a through-substrate-via (12), TSV. A via hole (5) of the TSV (12) reaches from a rear surface (2”) of the substrate (2) to the metal layer (4). An insulation layer (6) is arranged on a sidewall (7) of the via hole (5) between the substrate (2) and a metallization layer (8) of the TSV (12), the metallization layer (8) being configured to electrically contact the metal layer (4) from the rear surface (2”) of the substrate (2). A thickness of the insulation layer (6) increases towards the rear surface (2”), such that the via hole (5) is narrowed and is sealed by the metallization layer (8) and/or by a sealing layer (15, 16), thus forming a cavity (10).

Description

具有密封TSV之半導體裝置及其製造方法 Semiconductor device with sealed TSV and manufacturing method thereof

本發明係關於半導體裝置、包括半導體裝置的感測器裝置以及半導體裝置的製造方法。該半導體裝置包括密封的基板通孔。 The present invention relates to a semiconductor device, a sensor device including a semiconductor device, and a method for manufacturing a semiconductor device. The semiconductor device includes a sealed substrate through hole.

為了半導體裝置的三維整合,使用了基板通孔(through-substrate-vias,TSVs)。TSV為通過半導體基板的電性互連。其包括貫穿基板的通孔及配置在通孔中的金屬化層。 For three-dimensional integration of semiconductor devices, through-substrate-vias (TSVs) are used. TSV is an electrical interconnection through a semiconductor substrate. It includes a through hole penetrating the substrate and a metallization layer disposed in the through hole.

TSV的製造係首先在基板之主表面上的介電絕緣層中形成金屬層。接著從後表面通過基板蝕刻通孔,直到觸及到介電絕緣層。絕緣層配置在通孔之側壁和底部。藉由非等向性蝕刻(anisotropic etching)步驟,從通孔底部去除絕緣層和介電絕緣層,使得絕緣層保留在側壁上以覆蓋半導體材料。在該蝕刻步驟之後,金屬層暴露在通孔之底部。可以在通孔進行鍍金屬,使其接觸金屬層並形成電性互連。 TSVs are made by first forming a metal layer in a dielectric insulating layer on the main surface of the substrate. A through hole is then etched from the rear surface through the substrate until the dielectric insulating layer is reached. The insulating layer is disposed on the sidewalls and bottom of the through hole. The insulating layer and the dielectric insulating layer are removed from the bottom of the through hole by an anisotropic etching step, so that the insulating layer remains on the sidewalls to cover the semiconductor material. After the etching step, the metal layer is exposed at the bottom of the through hole. Metal can be plated in the through hole to contact the metal layer and form an electrical connection.

通常,該鍍金屬完全填充通孔。例如,通孔完全由鍍銅填充。然而,這種方法會產生以下缺點:一方面,由於鍍金屬完全填充通孔,材料成本高,導致生產成本高。另一方面,半導體裝置容易出現應力引起的 裂痕,例如,由熱膨脹係數的差異引起的裂痕。此外,在一些情況下,例如,在互補式金屬氧化物半導體(CMOS)製造,由於污染的原因,可能不希望使用鍍銅。 Typically, this plated metal completely fills the through hole. For example, the via hole is completely filled with copper plating. However, this method will have the following disadvantages: on the one hand, since the plated metal completely fills the through hole, the material cost is high, resulting in high production cost. On the other hand, semiconductor devices are prone to stress-induced Cracks, for example, caused by differences in thermal expansion coefficients. Additionally, in some cases, such as in complementary metal oxide semiconductor (CMOS) manufacturing, copper plating may not be desirable due to contamination reasons.

根據另一種方法,使用了僅覆蓋通孔之側壁和底部的金屬化層。這表示通孔的其餘部分仍然是空的。金屬化層可以包括鎢,其可以藉由化學氣相沉積(CVD),以保形的方式沉積。儘管藉由這種方法克服上述缺點,但也出現其他問題:由於開放的TSV,半導體裝置在製造過程中容易受到顆粒、電漿反應物、濕化學物質和濕氣的影響。此外,必須小心處理以避免TSV底部出現微裂痕。由於其外型,還進一步需要專用蝕刻步驟。 According to another approach, a metallization layer is used that covers only the sidewalls and the bottom of the via. This means that the rest of the via remains empty. The metallization layer may include tungsten, which can be deposited in a conformal manner by chemical vapor deposition (CVD). Although the above-mentioned disadvantages are overcome by this approach, other problems also arise: Due to the open TSV, the semiconductor device is susceptible to particles, plasma reactants, wet chemicals and moisture during the manufacturing process. In addition, careful handling must be performed to avoid microcracks at the bottom of the TSV. Due to its shape, a dedicated etching step is further required.

因此,本發明所要達成的一個目的是提供一種TSV技術的改進概念。根據該改進概念,可增強TSV的可靠性,且有助於在形成TSV之後的進一步處理。 Therefore, one object to be achieved by the present invention is to provide an improved concept of TSV technology. According to this improved concept, the reliability of the TSV can be enhanced and further processing after the TSV is formed is facilitated.

該目的藉由獨立請求項的主要內容來達成。其進一步的發展和實施例在從屬請求項中描述。 This purpose is achieved through the main content of the independent request. Further developments and embodiments thereof are described in dependent claims.

在一實施例中,半導體裝置包括具有後表面和主表面的基板。金屬層配置在基板之主表面上或位於基板之主表面上方。半導體裝置還包括基板通孔(through-substrate-via,TSV),其包括通孔、絕緣層及金屬化層。通孔從基板之後表面觸及到金屬層。絕緣層配置在位於基板與金屬化層之間的通孔之側壁上。金屬化層配置為從基板之後表面電性接觸金屬層。絕緣層之厚度朝向基板之後表面增加,使得通孔變窄。 In one embodiment, a semiconductor device includes a substrate having a back surface and a major surface. The metal layer is disposed on or above the main surface of the substrate. The semiconductor device also includes a through-substrate-via (TSV), which includes a through hole, an insulating layer and a metallization layer. The vias touch the metal layer from the back surface of the substrate. The insulating layer is disposed on the sidewall of the through hole between the substrate and the metallization layer. The metallization layer is configured to electrically contact the metal layer from the rear surface of the substrate. The thickness of the insulating layer increases toward the rear surface of the substrate, causing the vias to become narrower.

根據至少一實施例,通孔由金屬化層密封。替代地或附加地,通孔由密封層密封。藉由密封通孔,形成空腔。 According to at least one embodiment, the through hole is sealed by a metallization layer. Alternatively or additionally, the through hole is sealed by a sealing layer. By sealing the through hole, a cavity is formed.

基板具有主延伸面。基板之主表面和後表面沿橫向方向延伸,其中橫向方向平行於基板之主延伸面。基板可以包括半導體材料,例如,矽(Si)。電路和其他電子元件可以整合在基板中。例如,CMOS電路及/或感測器元件配置在基板中。 The base plate has a main extension surface. The main surface and the rear surface of the substrate extend in a transverse direction, wherein the transverse direction is parallel to the main extension surface of the substrate. The substrate may include a semiconductor material, such as silicon (Si). Circuits and other electronic components can be integrated into the substrate. For example, CMOS circuits and/or sensor elements are configured in the substrate.

金屬層可以特別是佈線之一部分,例如,佈線可以包括許多金屬層。金屬層可以包括鋁(Al)。金屬層可以摻雜有銅(Cu)及/或矽(Si)。因此,金屬層可以形成AlSi或AlCu層。嵌入介電絕緣層中的佈線之金屬層通常設置有阻擋層,特別是在CMOS技術中,以增強介電材料對金屬層的附著力,並防止如電遷移的擴散過程。因此,金屬層可以夾在分別位於金屬層頂部和底部的兩個阻擋層之間。阻擋層可以包括鈦(Ti)及/或氮化鈦(TiN)。 The metal layer may in particular be part of the wiring, for example the wiring may comprise a number of metal layers. The metal layer may include aluminum (Al). The metal layer may be doped with copper (Cu) and/or silicon (Si). Therefore, the metal layer may form an AlSi or AlCu layer. The metal layer of the wiring embedded in the dielectric insulation layer is usually provided with a barrier layer, especially in CMOS technology, to enhance the adhesion of the dielectric material to the metal layer and prevent diffusion processes such as electromigration. Therefore, the metal layer can be sandwiched between two barrier layers located on top and bottom of the metal layer respectively. The barrier layer may include titanium (Ti) and/or titanium nitride (TiN).

TSV從基板之後表面觸及到金屬層。這表示TSV之通孔完全貫穿與金屬層相對的基板。因此,TSV具有從基板之後表面到金屬層的垂直延伸。垂直方向是指垂直於基板之主延伸面延伸的方向。TSV與金屬層對齊。TSV之橫向延伸小於金屬層之橫向延伸。 TSV touches the metal layer from the rear surface of the substrate. This means that the through hole of the TSV completely penetrates the substrate opposite to the metal layer. Therefore, the TSV has a vertical extension from the rear surface of the substrate to the metal layer. The vertical direction refers to the direction extending perpendicular to the main extension surface of the substrate. The TSV is aligned with the metal layer. The lateral extension of the TSV is smaller than the lateral extension of the metal layer.

絕緣層配置於通孔之側壁上。因此,基板與TSV之金屬化層電性隔離。絕緣層例如包括SiO2。絕緣層覆蓋通孔之側壁。絕緣層之一部分通常還可以覆蓋通孔外側的基板之後表面。藉由絕緣層可避免短路。基板可以處於與TSV的電位不同的電位。 The insulating layer is arranged on the sidewall of the through hole. Therefore, the substrate is electrically isolated from the metallization layer of the TSV. The insulating layer includes SiO 2 , for example. The insulating layer covers the sidewalls of the through hole. A portion of the insulating layer may also typically cover the rear surface of the substrate outside the via. Short circuits can be avoided by the insulation layer. The substrate may be at a different potential than the TSV.

通孔之側壁上的絕緣層之厚度朝向基板之後表面增加。換言之,絕緣層是非保形層,即其厚度不均勻。因此,通孔之開口變窄。在絕緣層沉積之後的開口直徑可以為0.5μm以上且20μm以下。或者,在絕緣層沉積之後的開口直徑可以為1μm以上且10μm以下。 The thickness of the insulating layer on the side wall of the through hole increases toward the rear surface of the substrate. In other words, the insulating layer is a non-conformal layer, i.e., its thickness is uneven. Therefore, the opening of the through hole becomes narrower. The opening diameter after the insulating layer is deposited can be greater than 0.5μm and less than 20μm. Alternatively, the opening diameter after the insulating layer is deposited can be greater than 1μm and less than 10μm.

例如,在通孔由金屬化層密封的實施例中,在絕緣層沉積之後的開口直徑可以分別達到1μm、2μm或3μm。另一方面,在通孔由專用密封層密封的實施例中,在絕緣層沉積之後的開口直徑可以分別達到4μm、10μm或甚至20μm。藉由使開口變窄,有利於在後續的沉積步驟中密封通孔。 For example, in embodiments where the via is sealed by a metallization layer, the diameter of the opening after deposition of the insulating layer may reach 1 μm, 2 μm or 3 μm, respectively. On the other hand, in embodiments where the vias are sealed by a dedicated sealing layer, the diameter of the opening after deposition of the insulating layer can reach 4 μm, 10 μm or even 20 μm respectively. By narrowing the opening, it is easier to seal the via in subsequent deposition steps.

金屬化層可以包括鎢(W)。TSV之金屬化層可以包括側壁部,其覆蓋通孔之側壁上的絕緣層。這表示絕緣層將基板與金屬化層分開。金屬化層還可以包括基部,覆蓋暴露的金屬層,從而形成電性接觸。 The metallization layer may include tungsten (W). The metallization layer of the TSV may include a sidewall portion that covers the insulating layer on the sidewall of the through hole. This means that the insulating layer separates the substrate from the metallization layer. The metallization layer may also include a base portion that covers the exposed metal layer to form an electrical contact.

金屬化層可以是保形層。因此,其可以具有均勻的厚度。在這種情況下,可以藉由密封層來密封通孔。然而,金屬化層也可以是非保形層。對於通孔由金屬化層密封的實施例來說尤其是如此。在這些情況下,基板之後表面之金屬化層可以比通孔之底部之金屬化層厚。 The metallization layer may be a conformal layer. Therefore, it can have a uniform thickness. In this case, the via can be sealed by a sealing layer. However, the metallization layer can also be a non-conformal layer. This is especially true for embodiments where the via is sealed by a metallization layer. In these cases, the metallization layer on the rear surface of the substrate can be thicker than the metallization layer on the bottom of the via.

金屬化層之側壁部和基部確保從基板之後表面到金屬層的連續金屬化。通孔之其餘部分是空的/未填充的。考慮到熱應力和機械應力以及材料消耗,這是有利的。 The side walls and base of the metallization layer ensure continuous metallization from the rear surface of the substrate to the metal layer. The rest of the through hole is empty/unfilled. This is advantageous in view of thermal and mechanical stresses as well as material consumption.

藉由密封通孔,通孔封閉。因此,TSV內形成空腔。空腔可以填充空氣或氣體。封閉的通孔導致更高的結構穩定性。此外,半導體裝 置受到保護,以避免受濕氣、顆粒、幹蝕刻反應物和其他化學物質的影響。如果通孔開放,顆粒等可能會沉積在通孔中並損壞金屬化層。 By sealing the via, the via is closed. Thus, a cavity is formed inside the TSV. The cavity can be filled with air or a gas. The closed via leads to a higher structural stability. In addition, the semiconductor device is protected from moisture, particles, dry etching reactants and other chemicals. If the via is open, particles etc. may be deposited in the via and damage the metallization layer.

在一實施例中,半導體裝置還包括配置在基板之主表面上的介電絕緣層。這可以表示在垂直方向上,介電絕緣層配置在基板的上方。介電絕緣層可以是氧化物,例如,氧化矽(SiO2)。金屬層嵌入介電絕緣層中。藉由介電絕緣層,可以設置用於電路和感測器部件的佈線。 In one embodiment, the semiconductor device further includes a dielectric insulation layer disposed on the main surface of the substrate. This can mean that in the vertical direction, the dielectric insulation layer is arranged above the substrate. The dielectric insulating layer may be an oxide, such as silicon oxide (SiO 2 ). The metal layer is embedded in the dielectric insulation layer. Through the dielectric insulation layer, wiring for circuitry and sensor components can be provided.

在一實施例中,金屬化層在基板之後表面形成插塞,其密封通孔。或者,密封層形成密封基板之後表面之通孔的插塞。插塞封閉通孔,從而增強結構穩定性,並且保護通孔以避免受濕氣、顆粒、幹蝕刻反應物和其他化學物質的影響。 In one embodiment, the metallization layer forms a plug on the rear surface of the substrate, which seals the via. Alternatively, the sealing layer forms a plug that seals the via on the rear surface of the substrate. The plug seals the via, thereby enhancing structural stability and protecting the via from moisture, particles, dry etch reagents and other chemicals.

在一實施例中,絕緣層之一部分配置於基板之後表面。藉由絕緣層,基板電性隔離。因此,接觸區域可以配置在基板之後表面。 In one embodiment, a portion of the insulating layer is disposed on the rear surface of the substrate. The substrates are electrically isolated by the insulating layer. Therefore, the contact area can be arranged on the rear surface of the substrate.

在一實施例中,平坦表面由配置在基板之後表面上的絕緣層之部分、金屬化層及/或密封層形成。平坦表面跨越TSV。 In one embodiment, the planar surface is formed by a portion of an insulating layer, a metallization layer, and/or a sealing layer disposed on a rear surface of the substrate. The planar surface spans the TSV.

平坦表面可以平行於基板之後表面。在金屬化層形成密封通孔的插塞的實施例中,金屬化層可以在平坦表面內形成圓形區域。或者,當密封層形成密封通孔的插塞時,金屬化層在平坦表面內形成環形區域。 The flat surface can be parallel to the back surface of the substrate. In embodiments where the metallization layer forms a plug that seals the via, the metallization layer may form a circular area within the flat surface. Alternatively, the metallization layer forms an annular region within the flat surface while the sealing layer forms a plug that seals the via.

平坦表面具有平整因通孔產生的外型起伏的作用。因此,有利於半導體裝置後側的進一步處理。特別是,可以使用標準光刻(lithography)步驟進行進一步處理。不需要高黏度的抗光蝕劑(photoresist)覆蓋通孔。如此降低了生產成本。一個或多個重分配層可以藉由CMOS後 端製程沉積在平面表面上。此外,可以使用用於凸塊底部金屬(under bump metallization)的標準步驟。 The flat surface has the effect of leveling the contours caused by the vias. Therefore, it is beneficial for further processing of the semiconductor device on the back side. In particular, standard lithography steps can be used for further processing. No high viscosity photoresist is required to cover the vias. This reduces production costs. One or more redistribution layers can be deposited on the flat surface by CMOS back-end processes. In addition, standard steps for under bump metallization can be used.

在一實施例中,半導體裝置還包括重分配層。重分配層電性連接金屬化層並在基板之後表面形成至少一接觸區域。 In one embodiment, the semiconductor device further includes a redistribution layer. The redistribution layer is electrically connected to the metallization layer and forms at least one contact area on the rear surface of the substrate.

重分配層可以是沉積在平坦表面上的平坦層。重分配層可以藉由標準光刻圖案化。根據一實施例,由於金屬化層可以是平坦表面之一部分,因此重分配層與金屬化層直接接觸。 The redistribution layer can be a planar layer deposited on a planar surface. The redistribution layer can be patterned by standard photolithography. According to one embodiment, since the metallization layer can be part of the planar surface, the redistribution layer is in direct contact with the metallization layer.

根據另一實施例,重分配層與金屬化層之側壁部重疊。這表示重分配層延伸到通孔中,使得金屬化層之側壁部之至少一部分由重分配層覆蓋。在本實施例中,通孔由密封層密封,此處密封層可以是鈍化層。 According to another embodiment, the redistribution layer overlaps the sidewall portion of the metallization layer. This means that the redistribution layer extends into the via such that at least part of the sidewall portion of the metallization layer is covered by the redistribution layer. In this embodiment, the through hole is sealed by a sealing layer, where the sealing layer may be a passivation layer.

重分配層可以包括鋁(Al)。較佳地,重分配層不含有鎢,由於鎢構成的大面積表面會表現出高層應力,這可能導致分層或碎裂。藉由重分配層,可以傳輸及/或重定向電信號。半導體裝置可以包括額外的重分配層,使得重分配層和額外的重分配層在基板之後表面形成佈線。此外,重分配層可以設置有凸塊底部金屬,焊料凸塊可以施加在凸塊底部金屬上。 The redistribution layer may include aluminum (Al). Preferably, the redistribution layer does not contain tungsten, as large surface areas of tungsten may exhibit high layer stresses, which may lead to delamination or cracking. Electrical signals may be transmitted and/or redirected by means of the redistribution layer. The semiconductor device may include an additional redistribution layer such that the redistribution layer and the additional redistribution layer form a wiring on a rear surface of the substrate. In addition, the redistribution layer may be provided with an underbump metal, and solder bumps may be applied to the underbump metal.

在一實施例中,除了接觸區域以外,重分配層由鈍化層覆蓋。鈍化層可以包括介電材料,例如,氧化矽及/或氮化矽(SiN)。鈍化層中的至少一開口提供到基板之後表面之接觸區域的通路。因此,可以應用焊料凸塊、接合線等。鈍化層保護半導體裝置,以避免受如划傷的物理損壞及/或濕氣引起的損壞。 In one embodiment, the redistribution layer is covered by a passivation layer except for the contact areas. The passivation layer may include dielectric materials, such as silicon oxide and/or silicon nitride (SiN). At least one opening in the passivation layer provides access to the contact area of the rear surface of the substrate. Therefore, solder bumps, bonding wires, etc. can be applied. The passivation layer protects the semiconductor device from physical damage such as scratches and/or moisture-induced damage.

在一實施例中,密封層包括氧化層。或者,密封層包括鈍化層。如果密封層是氧化層,其可以為非保形氧化層。因此,氧化層可以具 有不均勻的厚度,使其厚度在通孔開口處較厚,在通孔中較薄。因此,氧化層形成密封通孔的插塞。如果密封層是鈍化層,其可以為覆蓋重分配層的相同鈍化層。鈍化層之一部分可以存在於覆蓋金屬化層之通孔中。較佳地,通孔可以以一些額外的或一般已存在的層來密封。 In one embodiment, the sealing layer includes an oxide layer. Alternatively, the sealing layer includes a passivation layer. If the sealing layer is an oxide layer, it may be a non-conformal oxide layer. Therefore, the oxide layer can have Has uneven thickness, making it thicker at the via opening and thinner in the via. Therefore, the oxide layer forms a plug that seals the via. If the sealing layer is a passivation layer, it can be the same passivation layer covering the redistribution layer. A portion of the passivation layer may be present in the via covering the metallization layer. Preferably, the vias can be sealed with some additional or generally existing layer.

此外,提供了一種感測器裝置,其包括該半導體裝置。這表示在半導體裝置所公開的所有特徵也等同在感測器裝置公開,並且該所有特徵可適用於感測器裝置,反之亦然。 Furthermore, a sensor device is provided including the semiconductor device. This means that all features disclosed in the semiconductor device are also disclosed in the sensor device, and all features are applicable to the sensor device and vice versa.

在一實施例中,感測器裝置是環境光感測器。在另一實施例中,感測器裝置是顏色感測器。在另一實施例中,感測器裝置是接近感測器。在另一實施例中,感測器裝置是光子計數感測器。在另一實施例中,感測器裝置是飛行時間感測器。根據本發明的一面向,感測器裝置包括位於有機發光二極體(OLED)顯示器後面的感測器。 In one embodiment, the sensor device is an ambient light sensor. In another embodiment, the sensor device is a color sensor. In another embodiment, the sensor device is a proximity sensor. In another embodiment, the sensor device is a photon counting sensor. In another embodiment, the sensor device is a time-of-flight sensor. According to one aspect of the invention, a sensor arrangement includes a sensor located behind an organic light emitting diode (OLED) display.

手機市場將繼續隨著趨勢實現更高的屏佔比(screen-to-body ratios),並最終實現全屏、無邊框智能手機。為此,這種裝置所包含的感測器元件必須高度整合,因此需要3D整合技術。較佳地,所使用的感測器裝置包括具有TSV之半導體裝置,通過TSV可以從後表面進行電性接觸。因此可以將諸如智能手機的終端裝置設計得非常薄。例如,終端裝置的正面可以完全由屏幕填滿,例如,OLED顯示器。 The mobile phone market will continue to follow the trend towards higher screen-to-body ratios and eventually full-screen, bezel-less smartphones. To this end, the sensor elements contained in such devices must be highly integrated, thus requiring 3D integration technology. Preferably, the sensor device used includes a semiconductor device with TSVs through which electrical contact can be made from the rear surface. Therefore, terminal devices such as smartphones can be designed to be very thin. For example, the front side of the terminal device can be completely filled with a screen, for example an OLED display.

此外,提供了一種半導體裝置的製造方法。在半導體裝置和感測器裝置所公開的所有特徵也等同在半導體裝置的製造方法公開,反之亦然。 Furthermore, a method of manufacturing a semiconductor device is provided. All features disclosed in the semiconductor device and sensor device are also equivalent to those disclosed in the manufacturing method of the semiconductor device, and vice versa.

根據至少一實施例,該製造方法包括設置具有後表面和主表面的基板。基板可以包括半導體材料,例如,矽(Si)。 According to at least one embodiment, the manufacturing method includes providing a substrate having a rear surface and a main surface. The substrate may include a semiconductor material, such as silicon (Si).

該製造方法還包括在基板之主表面上或基板之主表面上方配置金屬層。例如,介電絕緣層配置在基板之主表面上,金屬層嵌入在其中。介電絕緣層可以是氧化物,例如,氧化矽(SiO2)。可以在一個或多個沉積步驟中將介電絕緣層沉積在基板上,例如,藉由化學氣相沉積(CVD)。金屬層可以包括鋁(Al)。此外,金屬層可以包括阻擋層。阻擋層可以包括鈦(Ti)及/或氮化鈦(TiN)。包括阻擋層的金屬層可以藉由濺鍍(sputter)製程在介電絕緣層的兩個後續沉積步驟之間沉積。金屬層的圖案化可以藉由蝕刻進行。 The manufacturing method also includes configuring a metal layer on the main surface of the substrate or above the main surface of the substrate. For example, the dielectric insulating layer is configured on the main surface of the substrate, and the metal layer is embedded therein. The dielectric insulating layer can be an oxide, such as silicon oxide ( SiO2 ). The dielectric insulating layer can be deposited on the substrate in one or more deposition steps, for example, by chemical vapor deposition (CVD). The metal layer can include aluminum (Al). In addition, the metal layer can include a barrier layer. The barrier layer can include titanium (Ti) and/or titanium nitride (TiN). Metal layers including barrier layers can be deposited by sputtering between two subsequent deposition steps of dielectric insulating layers. Patterning of the metal layers can be performed by etching.

該製造方法還包括形成基板通孔(TSV)。形成TSV的步驟包括形成從基板之後表面到金屬層的通孔。這表示可以藉由去除與金屬層相對的基板來形成通孔。通孔可以藉由深反應性離子蝕刻(deep reactive-ion etching,DRIE)形成在矽基板中。DRIE製程可以以時間來控制,或者使用蝕刻停止層。DRIE製程也稱為波希製程(Bosch process)。DRIE是一種快速且有效的非等向性蝕刻技術。 The manufacturing method also includes forming through-substrate vias (TSVs). The step of forming the TSV includes forming vias from the rear surface of the substrate to the metal layer. This means that vias can be formed by removing the substrate opposite the metal layer. Vias can be formed in the silicon substrate by deep reactive-ion etching (DRIE). The DRIE process can be time-controlled or use an etch stop layer. The DRIE process is also called the Bosch process. DRIE is a fast and effective anisotropic etching technology.

通孔可以藉由去除介電絕緣層延伸到金屬層。這表示進一步的蝕刻步驟去除位於基板和金屬層之間的介電絕緣層。對於第二蝕刻步驟,金屬層可以用作蝕刻停止層。進一步的蝕刻步驟暴露金屬層。 The via can be extended to the metal layer by removing the dielectric insulation layer. This represents a further etching step to remove the dielectric insulating layer located between the substrate and the metal layer. For the second etching step, the metal layer can serve as an etch stop layer. Further etching steps expose the metal layer.

形成TSV的步驟還包括在通孔之側壁上沉積絕緣層。在將介電絕緣層去除到金屬層之後,可以沉積絕緣層。絕緣層包括例如SiO2。絕緣層的沉積可以藉由CVD進行。絕緣層覆蓋通孔之側壁。部分絕緣層通常 也可以覆蓋通孔之底部(即金屬層)、以及通孔外側的基板之後表面。在沉積之後,藉由非等向性蝕刻步驟從通孔底部去除絕緣層,從而暴露出金屬層。 The step of forming the TSV also includes depositing an insulating layer on the sidewalls of the through hole. The insulating layer can be deposited after the dielectric insulating layer is removed to the metal layer. The insulating layer includes, for example, SiO2 . The deposition of the insulating layer can be performed by CVD. The insulating layer covers the sidewalls of the through hole. Part of the insulating layer can also typically cover the bottom of the through hole (i.e., the metal layer) and the rear surface of the substrate outside the through hole. After deposition, the insulating layer is removed from the bottom of the through hole by an anisotropic etching step, thereby exposing the metal layer.

在替代的實施例中,在去除與金屬層相對的基板之後、去除介電絕緣層之前沉積絕緣層。在此實施例中,可以在用於去除介電絕緣層的相同非等向性蝕刻步驟中,從通孔之底部去除絕緣層。 In an alternative embodiment, the insulating layer is deposited after removal of the substrate opposite the metal layer and before removal of the dielectric insulating layer. In this embodiment, the insulating layer may be removed from the bottom of the via in the same anisotropic etching step used to remove the dielectric insulating layer.

沉積絕緣層,使得通孔之側壁上的絕緣層之厚度朝向基板之後表面增加。因此,通孔朝向後表面變窄。這可以藉由非保形沉積來實現。也可以使用多於一個的沉積步驟來形成絕緣層。藉由絕緣層,基板電性隔離,而避免了短路。藉由使通孔朝向後表面變窄,可以在後續的沉積步驟中密封通孔。 The insulating layer is deposited such that the thickness of the insulating layer on the side walls of the through hole increases towards the rear surface of the substrate. As a result, the through hole narrows towards the rear surface. This can be achieved by non-conformal deposition. It is also possible to form the insulating layer using more than one deposition step. By means of the insulating layer, the substrate is electrically isolated and short circuits are avoided. By narrowing the through hole towards the rear surface, the through hole can be sealed in a subsequent deposition step.

形成TSV的步驟還包括沉積金屬化層。金屬化層配置為從基板之後表面電性接觸金屬層。金屬化層可以包括多於一種金屬並且可以作為一系列金屬層應用,例如可以包括鈦及/或鎢層。金屬化層之側壁部覆蓋通孔之側壁,並且金屬化層之基部覆蓋暴露的金屬層。金屬化層藉由絕緣層與基板隔離。金屬化層與暴露的金屬層直接接觸。 The step of forming the TSV also includes depositing a metallization layer. The metallization layer is configured to electrically contact the metal layer from the rear surface of the substrate. The metallization layer may include more than one metal and may be applied as a series of metal layers, for example, may include titanium and/or tungsten layers. The sidewall portion of the metallization layer covers the sidewall of the through hole, and the base of the metallization layer covers the exposed metal layer. The metallization layer is isolated from the substrate by an insulating layer. The metallization layer is in direct contact with the exposed metal layer.

形成TSV的步驟還包括藉由金屬化層密封通孔。替代地或附加地,通孔藉由密封層的沉積來密封。藉由密封通孔,形成空腔。 The step of forming the TSV further includes sealing the through hole by a metallization layer. Alternatively or additionally, the through hole is sealed by deposition of a sealing layer. By sealing the through hole, a cavity is formed.

在一實施例中,沉積金屬化層的步驟包括至少兩個沉積步驟。在增強氣流的第一沉積步驟中,沉積具有保形厚度的金屬化層之第一部分。在減少氣流的第二沉積步驟中,沉積具有非保形厚度的金屬化層之第二部分。通孔由金屬化層之第二部分密封。因此,金屬化層形成密封通孔的插塞。 In one embodiment, the step of depositing a metallization layer includes at least two deposition steps. In a first deposition step with enhanced gas flow, a first portion of the metallization layer is deposited with a conformal thickness. In a second deposition step with reduced gas flow, a second portion of the metallization layer is deposited with a non-conformal thickness. The through hole is sealed by the second portion of the metallization layer. Thus, the metallization layer forms a plug that seals the through hole.

氣流可以包括六氟化鎢(WF6)。較佳地,可以控制WF6氣流以避免鎢沉積在TSV的底部。因此,只有少量的製程氣體到達TSV底部。WF6有可能會反應生成氫氣(H2)和氟化氫(HF)。因此,在密封製程步驟之後,由於沉積製程,只有HF和H2可以保留在TSV內。儘管已經發現金屬化層(通常是鎢)對HF非常穩定,但可以藉由控制氣流來降低通孔中的HF濃度。 The gas stream may include tungsten hexafluoride (WF6). Preferably, the WF6 gas flow can be controlled to avoid tungsten deposition at the bottom of the TSV. Therefore, only a small amount of process gas reaches the bottom of the TSV. WF6 may react to produce hydrogen (H2) and hydrogen fluoride (HF). Therefore, after the sealing process step, only HF and H2 can remain within the TSV due to the deposition process. Although the metallization layer (usually tungsten) has been found to be very stable to HF, the HF concentration in the via can be reduced by controlling the gas flow.

在一實施例中,密封通孔的步驟包括沉積密封層,其中密封層為氧化層。氧化層可以包括例如SiO2。氧化層的沉積可以是藉由CVD製程的非保形沉積。因此,氧化層可以具有不均勻的厚度,使其厚度在通孔開口處較厚,在通孔中較薄。因此,氧化層形成密封通孔的插塞。或者,密封層為鈍化層。鈍化層可以包括SiO2及/或SiN。較佳地,僅需要幾個額外的沉積步驟來封閉通孔。或者,不需要額外的沉積,因為通常無論如何都要進行鈍化層的沉積。 In one embodiment, the step of sealing the through hole includes depositing a sealing layer, wherein the sealing layer is an oxide layer. The oxide layer may include, for example, SiO 2 . The deposition of the oxide layer may be a non-conformal deposition by a CVD process. Thus, the oxide layer may have a non-uniform thickness, such that its thickness is thicker at the through hole opening and thinner in the through hole. Thus, the oxide layer forms a plug that seals the through hole. Alternatively, the sealing layer is a passivation layer. The passivation layer may include SiO 2 and/or SiN. Preferably, only a few additional deposition steps are required to seal the through hole. Alternatively, no additional deposition is required, since the deposition of the passivation layer is usually performed anyway.

在一實施例中,半導體裝置的製造方法還包括平坦化步驟。在密封通孔之後進行平坦化步驟。藉由平坦化步驟,平坦表面由配置在基板之後表面上的絕緣層之一部分、金屬化層及/或密封層形成。平坦表面跨越TSV。 In one embodiment, the method of manufacturing a semiconductor device further includes a planarization step. A planarization step is performed after sealing the vias. Through the planarization step, the planar surface is formed by a portion of the insulating layer, the metallization layer and/or the sealing layer disposed on the rear surface of the substrate. A flat surface spans the TSV.

藉由平坦化步驟,位於基板之後表面的金屬化層之厚度及/或密封層之厚度減小。較佳地,因通孔產生的外型起伏變得平整。如此有利於半導體裝置後側的進一步處理。 Through the planarization step, the thickness of the metallization layer and/or the thickness of the sealing layer located on the rear surface of the substrate is reduced. Preferably, the appearance undulations caused by the through holes are smoothed. This facilitates further processing on the back side of the semiconductor device.

在一實施例中,平坦化步驟包括化學機械研磨(chemical mechanical polishing,CMP)。特別是,平坦化步驟包括鎢化學機械研磨(W- CMP)。習知上,金屬化層(通常是鎢)藉由蝕刻步驟從基板之後表面去除。然而,在此過程也會侵蝕TSV底部的金屬化層。根據本發明,由於TSV密封且平坦化步驟包括CMP,因此在通孔底部不會發生鎢損失,從而可得到更可靠的TSV。 In one embodiment, the planarization step includes chemical mechanical polishing (CMP). In particular, the planarization step includes tungsten chemical mechanical polishing (W- CMP). Conventionally, the metallization layer (usually tungsten) is removed from the back surface of the substrate by an etching step. However, this process also erodes the metallization layer on the bottom of the TSV. According to the present invention, since the TSV is sealed and the planarization step includes CMP, no tungsten loss occurs at the bottom of the via, resulting in a more reliable TSV.

在一實施例中,半導體裝置的製造方法還包括沉積重分配層,而使重分配層電性連接到金屬化層,並在基板之後表面形成至少一接觸區域。 In one embodiment, the method for manufacturing a semiconductor device further includes depositing a redistribution layer so that the redistribution layer is electrically connected to the metallization layer and at least one contact region is formed on the rear surface of the substrate.

重分配層可以包括藉由濺鍍製程沉積的鋁(Al)。藉由重分配層,可以傳輸及/或重定向電信號。重分配層可以設置有凸塊底部金屬,焊料凸塊可以施加在凸塊底部金屬上。習知上,凸塊底部金屬是藉由無電鍍(electroless)鎳(Ni)來設置。然而,Ni會擴散到重分配層的鋁中,造成不良影響。根據一實施例,由於通孔由平坦表面封閉並覆蓋,因此濺鍍製程也可應用於凸塊底部金屬,從而可得到更可靠的半導體裝置。 The redistribution layer may include aluminum (Al) deposited by a sputtering process. Through the redistribution layer, electrical signals can be transmitted and/or redirected. The redistribution layer may be provided with an under-bump metal and solder bumps may be applied over the under-bump metal. Conventionally, bump bottom metal is provided by electroless nickel (Ni) plating. However, Ni will diffuse into the aluminum of the redistribution layer, causing adverse effects. According to one embodiment, since the vias are closed and covered by the flat surface, the sputtering process can also be applied to the under-bump metal, resulting in a more reliable semiconductor device.

從上述半導體裝置的實施例中,該製造方法的其他實施例對於所屬領域中具有通常知識者而言是顯而易見的。 From the above-described embodiments of the semiconductor device, other embodiments of the manufacturing method will be apparent to those of ordinary skill in the art.

1:半導體裝置 1:Semiconductor device

2:基板 2: Substrate

2’:基板之主表面 2’: Main surface of substrate

2”:基板之後表面 2”:Surface behind substrate

3:介電絕緣層 3: Dielectric insulation layer

4:金屬層 4:Metal layer

5:通孔 5:Through hole

6:絕緣層 6: Insulating layer

6’:絕緣層之部分 6’: Part of the insulating layer

7:通孔之側壁 7: Side wall of through hole

8:金屬化層 8:Metalization layer

8’:金屬化層之基部 8’: Base of metallization layer

8”:金屬化層之側壁部 8”: Side wall of the metallization layer

9:插塞 9:Plug

10:空腔 10:Cavity

11:平坦表面 11: Flat surface

12:基板通孔 12: Substrate through hole

13:重分配層 13: Redistribution layer

14:接觸區域 14: Contact area

15:鈍化層、密封層 15: Passivation layer, sealing layer

16:氧化層、密封層 16: Oxidation layer, sealing layer

17:感測器裝置 17: Sensor device

d:直徑 d: diameter

x,y:橫向方向 x, y: horizontal direction

z:垂直方向 z: vertical direction

以下對圖式的描述可以進一步說明和解釋改進的半導體裝置及其製造方法的面向。半導體裝置的功能相同或具有相同效果的部件和部分由相同的元件編號表示。相同或效果相同的部件和部分可能僅針對其首先出現的圖式進行描述。不必在連續的圖式中重複其描述。 The following description of the drawings may further illustrate and explain aspects of the improved semiconductor device and its manufacturing method. Components and parts of the semiconductor device that have the same function or have the same effect are represented by the same element number. Components and parts that are the same or have the same effect may be described only for the figure in which they first appear. It is not necessary to repeat their description in consecutive figures.

圖1出示了根據一實施例的半導體裝置的製造方法的中間產物。 FIG1 shows an intermediate product of a method for manufacturing a semiconductor device according to an embodiment.

圖2a至圖2c出示了基於圖1的中間產品的半導體裝置的製造方法的一示例性實施例。 FIG. 2a to FIG. 2c show an exemplary embodiment of a method for manufacturing a semiconductor device based on the intermediate product of FIG. 1.

圖3a至圖3c出示了基於圖1的中間產品的半導體裝置的製造方法的另一示例性實施例。 FIG. 3a to FIG. 3c show another exemplary embodiment of a method for manufacturing a semiconductor device based on the intermediate product of FIG. 1 .

圖4a至圖4c出示了基於圖1的中間產品的半導體裝置的製造方法的另一示例性實施例。 Figures 4a to 4c show another exemplary embodiment of a method for manufacturing a semiconductor device based on the intermediate product of Figure 1.

圖5出示了包括半導體裝置的感測器裝置的一實施例。 FIG5 shows an embodiment of a sensor device including a semiconductor device.

在圖1中,出示了根據一實施例的半導體裝置的製造方法的中間產物。由於TSV 12通常由半導體晶片的後側處理來進行處理,因此半導體裝置1上下顛倒地出示。 In FIG. 1 , an intermediate product of a method of manufacturing a semiconductor device according to an embodiment is shown. Since TSV 12 is typically processed by back-side processing of semiconductor wafers, semiconductor device 1 is shown upside down.

半導體裝置1包括具有後表面2”和主表面2’的基板2。基板2具有主延伸面。後表面2”和主表面2’沿橫向方向x、y延伸,其中橫向方向x、y平行於基板2之主延伸面。 The semiconductor device 1 includes a substrate 2 having a rear surface 2" and a main surface 2'. The substrate 2 has a main extending surface. The rear surface 2" and the main surface 2' extend in transverse directions x, y, wherein the transverse directions x, y are parallel to The main extension surface of the base plate 2.

介電絕緣層3配置在基板2之主表面2’上。這表示在垂直方向z上,介電絕緣層3配置在基板上方。 The dielectric insulation layer 3 is disposed on the main surface 2' of the substrate 2. This means that in the vertical direction z, the dielectric insulating layer 3 is arranged above the substrate.

金屬層4配置在基板之主表面2’上方。金屬層4嵌入介電絕緣層3中。金屬層4可以是半導體裝置1之佈線之一部分。圖1僅以示例的方式出示了一個金屬層4。然而,半導體裝置1可以包括額外的金屬層。 The metal layer 4 is arranged above the main surface 2' of the substrate. Metal layer 4 is embedded in dielectric insulation layer 3 . The metal layer 4 may be part of the wiring of the semiconductor device 1 . FIG. 1 shows a metal layer 4 by way of example only. However, the semiconductor device 1 may include additional metal layers.

通孔5從基板2之後表面2”觸及到金屬層4。通孔5貫穿基板2和配置在基板與金屬層4之間的介電絕緣層3。 The through hole 5 reaches the metal layer 4 from the rear surface 2" of the substrate 2. The through hole 5 penetrates the substrate 2 and the dielectric insulating layer 3 disposed between the substrate and the metal layer 4.

絕緣層6配置在基板2和介電絕緣層3形成的通孔5之側壁7上。絕緣層6之一部分6’還覆蓋通孔5外側的基板2之後表面2”。側壁7上的絕緣層6之厚度朝向基板之後表面2”增加,使得通孔變窄。通孔5可以至少部分地藉由深反應性離子蝕刻(DRIE)形成。絕緣層6可以藉由氧化物的非保形沉積形成,特別是藉由氧化矽形成。因此,絕緣層6之突出部分形成在基板2之後表面2”,而使通孔5之開口變窄。開口直徑d在圖1中出示。直徑d可以在各個實施例中不同。例如,直徑d可以為介於0.5μm與20μm之間。 The insulating layer 6 is disposed on the sidewall 7 of the through hole 5 formed by the substrate 2 and the dielectric insulating layer 3. A portion 6' of the insulating layer 6 also covers the rear surface 2" of the substrate 2 outside the through hole 5. The thickness of the insulating layer 6 on the sidewall 7 increases toward the rear surface 2" of the substrate, so that the through hole becomes narrower. The through hole 5 can be at least partially formed by deep reactive ion etching (DRIE). The insulating layer 6 can be formed by non-conformal deposition of an oxide, in particular by silicon oxide. Therefore, the protruding portion of the insulating layer 6 is formed on the rear surface 2" of the substrate 2, and the opening of the through hole 5 is narrowed. The opening diameter d is shown in FIG. 1. The diameter d may be different in each embodiment. For example, the diameter d may be between 0.5 μm and 20 μm.

圖1所示的半導體裝置的中間產品表示下述製程步驟的起點。這表示根據圖2a至圖2c、圖3a至圖3c及圖4a至圖4c的每個製程為基於圖1所示的中間產品。 The intermediate product of the semiconductor device shown in Figure 1 represents the starting point for the process steps described below. This means that each process according to FIGS. 2 a to 2 c, 3 a to 3 c, and 4 a to 4 c is based on the intermediate product shown in FIG. 1 .

圖2a至圖2c出示了根據一實施例的半導體裝置的製造方法的進一步的步驟。根據圖2a,沉積金屬化層8。 2a to 2c illustrate further steps of a method of manufacturing a semiconductor device according to an embodiment. According to Figure 2a, a metallization layer 8 is deposited.

金屬化層8包括與金屬層4直接接觸的基部8’。因此,金屬化層8從基板2之後表面2”電性接觸金屬層4。此外,金屬化層8包括側壁部8”。側壁部8”覆蓋通孔5之側壁7上的絕緣層6。金屬化層8之基部8’和側壁部8”形成連續層。在基板2之後表面2”,金屬化層形成密封通孔5的插塞9。因此,形成空腔10。 The metallization layer 8 includes a base 8' that is in direct contact with the metal layer 4. Therefore, the metallization layer 8 electrically contacts the metal layer 4 from the rear surface 2" of the substrate 2. In addition, the metallization layer 8 includes a sidewall portion 8". The sidewall portion 8" covers the insulating layer 6 on the sidewall 7 of the through hole 5. The base 8' and the sidewall portion 8" of the metallization layer 8 form a continuous layer. On the rear surface 2" of the substrate 2, the metallization layer forms a plug 9 that seals the through hole 5. Therefore, a cavity 10 is formed.

沉積金屬化層8可以包括至少兩個沉積步驟,其中,在增強氣流的第一沉積步驟中,沉積具有保形厚度的金屬化層8之第一部分,從 而主要形成了金屬化層8之基部8’和側壁部8”。在減少氣流的第二沉積步驟中,沉積具有非保形厚度的金屬化層8之第二部分,使得通孔由第二部分密封。第二部分可以主要形成插塞9。 Depositing the metallization layer 8 may include at least two deposition steps, wherein, in a first deposition step of enhancing the gas flow, a first portion of the metallization layer 8 having a conformal thickness is deposited, thereby mainly forming a base portion 8' and a sidewall portion 8" of the metallization layer 8. In a second deposition step of reducing the gas flow, a second portion of the metallization layer 8 having a non-conformal thickness is deposited, so that the through hole is sealed by the second portion. The second portion may mainly form a plug 9.

藉由上述沉積步驟,金屬化層8之一部分可以沉積在空腔10外側的基板2之後表面2”上的絕緣層6之部分6’。這些部分可以在後續的平坦化步驟中移除,如下所述。 By means of the above-mentioned deposition step, a portion of the metallization layer 8 can be deposited on the portion 6' of the insulating layer 6 on the rear surface 2" of the substrate 2 outside the cavity 10. These portions can be removed in a subsequent planarization step, as described below.

圖2b出示了在平坦化步驟之後根據圖2a的半導體裝置1。平坦化步驟可以包括化學機械研磨(CMP),特別是鎢化學機械研磨(W-CMP)。藉由平坦化步驟,去除/研磨在空腔10外側的基板2之後表面2”上的金屬化層8,直到暴露絕緣體6之部分6’。因此,平坦表面11由絕緣層6之部分6’和金屬化層8形成,特別是金屬化層8形成的插塞9。在平面圖中,插塞9可以在平坦表面11內形成圓形區域。藉由電性接觸金屬層4並與基板2隔離的金屬化層8,形成功能性的基板通孔(TSV)12。 FIG. 2 b shows the semiconductor device 1 according to FIG. 2 a after a planarization step. The planarization step may include chemical mechanical polishing (CMP), in particular tungsten chemical mechanical polishing (W-CMP). By means of the planarization step, the metallization layer 8 on the rear surface 2 ″ of the substrate 2 outside the cavity 10 is removed/polished until a portion 6 ′ of the insulator 6 is exposed. Thus, a planar surface 11 is formed by the portion 6 ′ of the insulating layer 6 and the metallization layer 8, in particular the plug 9 formed by the metallization layer 8. In a plan view, the plug 9 may form a circular area within the planar surface 11. A functional through substrate via (TSV) 12 is formed by the metallization layer 8 electrically contacting the metal layer 4 and isolated from the substrate 2.

圖2c出示了在進一步處理步驟之後根據圖2b的半導體裝置1。根據圖2c的半導體裝置1包括可藉由濺鍍製程沉積的重分配層13。圖2c所示的重分配層13是配置在平坦表面11上的平面層。重分配層13電性連接到金屬化層8,特別是插塞9,並在基板2之後表面2”形成至少一接觸區域14。因為在平坦表面11的處理不需要高黏度的抗光蝕劑,重分配層13可以藉由習知的光刻構成。如圖2c所示,除了接觸區域14以外,重分配層13由鈍化層15覆蓋。鈍化層15中的至少一開口提供到接觸區域14的通路。在一些實施例中,鈍化層15可以進一步覆蓋配置在基板2之後表面2”上的絕緣層6之部分6’。 Fig. 2c shows the semiconductor device 1 according to Fig. 2b after further processing steps. The semiconductor device 1 according to Fig. 2c comprises a redistribution layer 13 which can be deposited by a sputtering process. The redistribution layer 13 shown in Fig. 2c is a planar layer arranged on the flat surface 11. The redistribution layer 13 is electrically connected to the metallization layer 8, in particular the plug 9, and forms at least one contact area 14 on the rear surface 2" of the substrate 2. Since the processing on the flat surface 11 does not require a high viscosity photoresist, the redistribution layer 13 can be formed by known photolithography. As shown in FIG. 2c, except for the contact area 14, the redistribution layer 13 is covered by the passivation layer 15. At least one opening in the passivation layer 15 provides access to the contact area 14. In some embodiments, the passivation layer 15 can further cover a portion 6' of the insulating layer 6 disposed on the rear surface 2" of the substrate 2.

圖3a至圖3c出示了根據另一實施例的半導體裝置1的製造方法的進一步的步驟。該實施例可以使用圖1所示的中間產品,或類似的中間產品。根據圖2a至圖2c的實施例與圖3a至圖3c的實施例之間的尺寸可以不同。特別是,絕緣層6沉積後通孔5之開口直徑d可以不同。 Figures 3a to 3c illustrate further steps of a method of manufacturing a semiconductor device 1 according to another embodiment. This embodiment may use the intermediate product shown in Figure 1, or a similar intermediate product. The dimensions may differ between the embodiment according to Figures 2a to 2c and the embodiment according to Figures 3a to 3c. In particular, the opening diameter d of the through hole 5 may be different after the insulating layer 6 is deposited.

根據圖3a,沉積金屬化層8。金屬化層8包括與暴露的金屬層4直接接觸的基部8’。因此,金屬化層8從基板2之後表面2”電性接觸金屬層4。此外,金屬化層8包括側壁部8”。側壁部8”覆蓋通孔5之側壁7上的絕緣層6。金屬化層8之另一部分可以沉積在通孔5外側的基板2之後表面2”上的絕緣層6之部分6’。金屬化層8之基部8’、側壁部8”和另一部分可以形成具有均勻厚度的連續層。由於金屬化層8從基板2之後表面2”電性接觸金屬層4並與基板2隔離,因此形成功能性的TSV 12。 According to Figure 3a, a metallization layer 8 is deposited. The metallization layer 8 includes a base 8' in direct contact with the exposed metal layer 4. Therefore, the metallization layer 8 is in electrical contact with the metal layer 4 from the rear surface 2 ″ of the substrate 2 . Furthermore, the metallization layer 8 includes sidewall portions 8 ″. The sidewall portion 8″ covers the insulating layer 6 on the sidewall 7 of the through hole 5. Another part of the metallization layer 8 may be deposited on the part 6′ of the insulating layer 6 on the rear surface 2″ of the substrate 2 outside the through hole 5. The base portion 8', the sidewall portion 8" and another portion of the metallization layer 8 can form a continuous layer with a uniform thickness. Since the surface 2" of the metallization layer 8 is electrically in contact with the metal layer 4 from the rear surface 2" of the substrate 2 and is isolated from the substrate 2, Formation of functional TSV 12.

此外,沉積密封層16,此處密封層16可以是氧化層16。如圖3a所示,密封層16的沉積可以是非保形沉積。這表示密封層16具有不均勻的厚度,使其厚度在通孔5之開口處較厚,在通孔5中較薄。然而,密封層16之部分也可以存在於通孔5中。密封層16藉由形成插塞9來密封通孔5。因此,形成TSV 12的空腔10。 In addition, a sealing layer 16 is deposited, where the sealing layer 16 may be an oxide layer 16. As shown in FIG. 3a, the deposition of the sealing layer 16 may be non-conformal deposition. This means that the sealing layer 16 has a non-uniform thickness, such that the thickness is thicker at the opening of the through hole 5 and thinner in the through hole 5. However, a portion of the sealing layer 16 may also exist in the through hole 5. The sealing layer 16 seals the through hole 5 by forming the plug 9. Thus, the cavity 10 of the TSV 12 is formed.

密封層16之部分可以沉積在金屬化層8之另一部分上,金屬化層8之另一部分配置在通孔5外側的基板2之後表面2”。因此,密封層16可以完全覆蓋金屬化層8。 A portion of the sealing layer 16 may be deposited on another portion of the metallization layer 8 , which is disposed on the rear surface 2 ″ of the substrate 2 outside the through hole 5 . Therefore, the sealing layer 16 may completely cover the metallization layer 8 .

圖3b出示了在平坦化步驟之後根據圖3a的半導體裝置1。平坦化步驟可以包括化學機械研磨(CMP)。藉由平坦化步驟,去除配置在通孔5外側的絕緣層6之部分6’上的密封層16和金屬化層8之部分。移 除/研磨密封層16和金屬化層8之部分直到暴露絕緣體6之部分6’。因此,由絕緣層6之部分6’、金屬化層8和形成插塞9的密封層16形成平坦表面11。在平面圖中,金屬化層8在平坦表面11內形成環形區域。 FIG. 3b shows the semiconductor device 1 according to FIG. 3a after a planarization step. The planarization step may include chemical mechanical polishing (CMP). By means of the planarization step, the sealing layer 16 and the portion of the metallization layer 8 on the portion 6' of the insulating layer 6 arranged outside the through hole 5 are removed. The sealing layer 16 and the portion of the metallization layer 8 are removed/polished until the portion 6' of the insulating body 6 is exposed. Thus, a planar surface 11 is formed by the portion 6' of the insulating layer 6, the metallization layer 8 and the sealing layer 16 forming the plug 9. In a plan view, the metallization layer 8 forms an annular area within the planar surface 11.

圖3c出示了在進一步處理步驟之後根據圖3b的半導體裝置1。根據圖3c的半導體裝置1包括重分配層13和鈍化層15,對應於圖2c的實施例。因此,進一步的解釋請參見上述描述。 Figure 3c shows the semiconductor device 1 according to Figure 3b after further processing steps. The semiconductor device 1 according to Figure 3c includes a redistribution layer 13 and a passivation layer 15, corresponding to the embodiment of Figure 2c. Therefore, further explanation is provided in the above description.

圖4a至圖4c出示了根據另一實施例的半導體裝置1的製造方法的進一步的步驟。同樣地,該實施例也可以基於圖1所示的中間產品,或類似的中間產品。然而,尺寸可以不同,特別是絕緣層6沉積之後通孔5之開口直徑d可以不同。 4a to 4c show further steps of a method for manufacturing a semiconductor device 1 according to another embodiment. Likewise, the embodiment can also be based on the intermediate product shown in FIG. 1 , or a similar intermediate product. However, the dimensions may be different, in particular the opening diameter d of the through hole 5 after the deposition of the insulating layer 6 may be different.

根據圖4a,沉積金屬化層8。金屬化層8包括與暴露的金屬層4直接接觸的基部8’。因此,金屬化層8從基板2之後表面2”電性接觸金屬層4。此外,金屬化層8包括側壁部8”。側壁部8”覆蓋通孔5之側壁7上的絕緣層6。 According to Figure 4a, a metallization layer 8 is deposited. The metallization layer 8 includes a base 8' in direct contact with the exposed metal layer 4. Therefore, the metallization layer 8 is in electrical contact with the metal layer 4 from the rear surface 2 ″ of the substrate 2 . Furthermore, the metallization layer 8 includes sidewall portions 8 ″. The side wall portion 8″ covers the insulating layer 6 on the side wall 7 of the through hole 5.

藉由蝕刻步驟,可去除沉積在通孔5外側的基板2之後表面2”上的絕緣層6之部分6’的金屬化層8之另一部分(圖未示)。因此,基板2之後表面2”上的絕緣層6之部分6’暴露,並且金屬化層8限制在通孔中。基部8’和側壁部8”可以形成具有基本上均勻厚度的連續層。由於金屬化層8從基板2之後表面2”電性接觸金屬層4並與基板2隔離,因此形成功能性的TSV 12。 By means of the etching step, another part (not shown) of the metallization layer 8 deposited on the part 6' of the insulating layer 6 on the rear surface 2" of the substrate 2 outside the through hole 5 can be removed. Therefore, the rear surface 2 of the substrate 2 A portion 6' of the insulating layer 6 on " is exposed, and the metallization layer 8 is confined in the via hole. The base portion 8' and the sidewall portions 8" may form a continuous layer of substantially uniform thickness. Since the metallization layer 8 electrically contacts the metal layer 4 from the rear surface 2" of the substrate 2 and is isolated from the substrate 2, a functional TSV 12 is formed .

在下一步驟中,施加重分配層13,如圖4b所示。重佈線層13至少局部覆蓋配置在基板2之後表面2”上的絕緣層6之部分6’。此外, 重分配層13延伸到通孔5中,使其至少與金屬化層8重疊。特別是,重分配層13與金屬化層8之側壁部8”之上部在通孔開口附近重疊。因此,重分配層13電性連接到金屬化層8。重分配層13可以藉由濺鍍製程沉積。濺鍍製程會造成非保形沉積,使得重分配層13之厚度隨著通孔5深度增加而減小。 In the next step, a redistribution layer 13 is applied, as shown in Figure 4b. The redistribution layer 13 at least partially covers the portion 6′ of the insulating layer 6 disposed on the rear surface 2″ of the substrate 2. In addition, The redistribution layer 13 extends into the via 5 such that it at least overlaps the metallization layer 8 . In particular, the redistribution layer 13 overlaps with the upper portion of the sidewall portion 8″ of the metallization layer 8 near the via opening. Therefore, the redistribution layer 13 is electrically connected to the metallization layer 8. The redistribution layer 13 can be formed by sputtering Process deposition. The sputtering process will cause non-conformal deposition, so that the thickness of the redistribution layer 13 decreases as the depth of the through hole 5 increases.

在根據圖4c的下一步驟中,沉積鈍化層15。鈍化層15覆蓋除了接觸區域14以外的重分配層13。這表示鈍化層15中的至少一開口提供到重分配層13的通路。除了接觸區域14以外,鈍化層15可以覆蓋整個後表面2”。 In a next step according to FIG. 4 c , a passivation layer 15 is deposited. The passivation layer 15 covers the redistribution layer 13 except for the contact area 14 . This means that at least one opening in the passivation layer 15 provides access to the redistribution layer 13 . Apart from the contact area 14 , the passivation layer 15 may cover the entire rear surface 2 ”.

此外,根據該實施例,鈍化層15用作密封通孔5的密封層15。因此,鈍化層15形成插塞9,從而形成空腔10。由於鈍化層15通常藉由化學氣相沉積來沉積,因此鈍化層15之部分也可以存在於覆蓋金屬化層8之基部8’和側壁部8”的空腔10內。鈍化層15跨越TSV 12。然而,根據該實施例,可以不形成平坦表面11,該表面可以在TSV 12凹陷,如圖4c所示。 Furthermore, according to this embodiment, the passivation layer 15 serves as the sealing layer 15 for sealing the through hole 5 . Therefore, the passivation layer 15 forms the plug 9 and thus the cavity 10 . Since passivation layer 15 is typically deposited by chemical vapor deposition, portions of passivation layer 15 may also be present within cavity 10 covering base 8' and sidewall portions 8" of metallization layer 8. Passivation layer 15 spans TSV 12 . However, according to this embodiment, the flat surface 11 may not be formed, and the surface may be recessed at the TSV 12, as shown in Figure 4c.

在圖5中示意性地出示了包括半導體裝置1的感測器裝置17。感測器裝置17可以是環境光感測器、顏色感測器、接近感測器、光子計數感測器和飛行時間感測器。感測器裝置可以位在有機發光二極體顯示器(圖未示)後面。 A sensor device 17 including a semiconductor device 1 is schematically shown in FIG. 5 . The sensor device 17 may be an ambient light sensor, a color sensor, a proximity sensor, a photon counting sensor and a time of flight sensor. The sensor device may be located behind an organic light emitting diode display (not shown).

為了使讀者熟悉本技術思想新穎的面向,討論了此處公開的半導體裝置1的實施例和半導體裝置1的製造方法。儘管出示和描述了較 佳的實施例,但是所屬領域中具有通常知識者可以做出所公開的概念的許多改變、修改、均等物和替換,而不會不必要地背離申請專利範圍的範疇。 In order to familiarize the reader with the novel aspects of the present technical ideas, embodiments of the semiconductor device 1 disclosed herein and methods of manufacturing the semiconductor device 1 are discussed. Although shown and described relatively Although preferred embodiments are preferred, many changes, modifications, equivalents, and substitutions of the disclosed concepts can be made by those of ordinary skill in the art without unnecessarily departing from the scope of the claimed patent.

應當理解的是,本公開不限於所公開的實施例以及上文已經具體出示和描述的內容。相反地,在分開的從屬請求項中列舉的特徵或在描述中提到的特徵可以以有利的方式進行結合。此外,本公開的範圍包括那些對所屬領域中具有通常知識者顯而易見且落入所附申請專利範圍的範疇內的變化和修改。 It should be understood that the present disclosure is not limited to the disclosed embodiments and the contents that have been specifically shown and described above. On the contrary, the features listed in separate dependent claims or mentioned in the description can be combined in an advantageous manner. In addition, the scope of the present disclosure includes those changes and modifications that are obvious to a person with ordinary knowledge in the art and fall within the scope of the attached patent application.

在申請專利範圍或說明書中使用的術語「包括」不排除相應特徵或製程的其他元件或步驟。在結合特徵使用術語「一(a/an)」的情況下,不排除複數個這種特徵。此外,申請專利範圍中的任何元件編號不應被解釋為限制其範圍。 The term "comprising" used in the scope of the patent application or the specification does not exclude corresponding features or other elements or steps of the process. Where the term a/an is used in connection with a feature, a plurality of such feature is not excluded. Furthermore, any element numbering in the claimed scope should not be construed as limiting the scope thereof.

本專利申請主張德國專利申請102021109045.8號的優先權,其公開內容藉由引用併入本文。 This patent application claims priority to German Patent Application No. 102021109045.8, the disclosure of which is incorporated herein by reference.

6:絕緣層 6: Insulating layer

6’:絕緣層之部分 6’: Part of the insulating layer

8:金屬化層 8: Metallization layer

9:插塞 9: Plug

11:平坦表面 11: Flat surface

16:氧化層、密封層 16: Oxidation layer, sealing layer

Claims (12)

一種半導體裝置,包括:基板,具有後表面和主表面;金屬層,配置在該基板之該主表面上或位於該基板之該主表面上方;以及基板通孔,即TSV,包括通孔、絕緣層和金屬化層,該通孔從該基板之該後表面觸及到該金屬層,該絕緣層配置在位於該基板與該金屬化層之間的該通孔之側壁上,該金屬化層配置為從該基板之該後表面電性接觸該金屬層,其中,該絕緣層之厚度朝向該基板之該後表面增加,使得該通孔變窄並由該金屬層密封,其中,該金屬層包括具有保形厚度之第一部分及具有非保形厚度之第二部分,使得該通孔由該第二部分密封,從而形成空腔,或該通孔由密封層密封,其中,該密封層包括密封該通孔的氧化層和鈍化層中的一個,從而形成空腔。 A semiconductor device comprises: a substrate having a rear surface and a main surface; a metal layer disposed on or above the main surface of the substrate; and a through-substrate via (TSV), comprising a through hole, an insulating layer and a metallization layer, wherein the through hole reaches the metal layer from the rear surface of the substrate, the insulating layer is disposed on a side wall of the through hole between the substrate and the metallization layer, and the metallization layer is configured to electrically connect the through hole from the rear surface of the substrate to the metal layer. The metal layer is contacted with the substrate, wherein the thickness of the insulating layer increases toward the rear surface of the substrate, so that the through hole is narrowed and sealed by the metal layer, wherein the metal layer includes a first portion having a conformal thickness and a second portion having a non-conformal thickness, so that the through hole is sealed by the second portion to form a cavity, or the through hole is sealed by a sealing layer, wherein the sealing layer includes one of an oxide layer and a passivation layer that seals the through hole to form a cavity. 如請求項1所述之半導體裝置,其中,還包括介電絕緣層,配置在該基板之該主表面上,其中,該金屬層嵌入在該介電絕緣層中。 The semiconductor device of claim 1, further comprising a dielectric insulating layer disposed on the main surface of the substrate, wherein the metal layer is embedded in the dielectric insulating layer. 如請求項2所述之半導體裝置,其中,在該基板之該後表面,該金屬化層或該密封層形成密封該通孔的插塞。 A semiconductor device as described in claim 2, wherein the metallization layer or the sealing layer forms a plug sealing the through hole on the rear surface of the substrate. 如請求項1所述之半導體裝置,其中,該絕緣層之一部分配置在該基板之該後表面上。 A semiconductor device as described in claim 1, wherein a portion of the insulating layer is disposed on the rear surface of the substrate. 如請求項4所述之半導體裝置,其中,平坦表面由配置在該基板之該後表面上的該絕緣層之該部分、該金屬化層及/或該密封層形成,該平坦表面跨越該基板通孔。 The semiconductor device of claim 4, wherein the flat surface is formed by the portion of the insulating layer, the metallization layer and/or the sealing layer disposed on the rear surface of the substrate, and the flat surface spans the substrate through hole. 如請求項1所述之半導體裝置,其中,還包括重分配層,該重分配層電性連接到該金屬化層,並且在該基板之該後表面形成至少一接觸區域。 A semiconductor device as described in claim 1, further comprising a redistribution layer, the redistribution layer being electrically connected to the metallization layer and forming at least one contact region on the rear surface of the substrate. 如請求項6所述之半導體裝置,其中,除了該接觸區域以外,該重分配層由該鈍化層覆蓋。 The semiconductor device of claim 6, wherein the redistribution layer is covered by the passivation layer except for the contact area. 一種感測器裝置,包括如請求項1至7中任一項所述之半導體裝置,其中,該感測器裝置特別是有機發光二極體顯示器後面的環境光感測器、顏色感測器、接近感測器、光子計數感測器及飛行時間感測器中的一個。 A sensor device, including the semiconductor device according to any one of claims 1 to 7, wherein the sensor device is particularly an ambient light sensor or a color sensor behind an organic light-emitting diode display. , a proximity sensor, a photon counting sensor, and a time-of-flight sensor. 一種半導體裝置的製造方法,該方法包括以下步驟:設置具有後表面和主表面的基板;在該基板之該主表面上或該基板之該主表面上方配置金屬層;以及形成基板通孔,即TSV,係包括以下步驟:形成從該基板之該後表面到該金屬層的通孔;在該通孔之側壁上沉積絕緣層,該絕緣層之厚度朝向該基板之該後表面增加,使得該通孔變窄;沉積金屬化層,該金屬化層配置為從該基板之該後表面電性接觸該金屬層;以及密封該通孔,從而形成空腔,其中,沉積該金屬化層的步驟包括至少兩個沉積步驟,其中,在增強氣流的第一沉積步驟中,沉積具有保形厚度的該金屬化層之第一部分,並且在減少氣流的第二沉積步驟中,沉積具有非保形厚度的該金屬化層之第二部分,從而該通孔由該第二部分密封, 或其中,密封該通孔的步驟包括沉積密封層,即沉積氧化層和鈍化層中的一個密封該通孔。 A method for manufacturing a semiconductor device, the method comprising the following steps: providing a substrate having a rear surface and a main surface; configuring a metal layer on or above the main surface of the substrate; and forming a through-substrate via, i.e., TSV, comprising the following steps: forming a through hole from the rear surface of the substrate to the metal layer; depositing an insulating layer on the sidewall of the through hole, the thickness of the insulating layer increasing toward the rear surface of the substrate so that the through hole becomes narrower; depositing a metallization layer, the metallization layer configured to electrically connect the rear surface of the substrate to the through hole; contacting the metal layer; and sealing the through hole to form a cavity, wherein the step of depositing the metallization layer includes at least two deposition steps, wherein in a first deposition step of increasing the gas flow, a first portion of the metallization layer is deposited with a conformal thickness, and in a second deposition step of reducing the gas flow, a second portion of the metallization layer is deposited with a non-conformal thickness, so that the through hole is sealed by the second portion, or wherein the step of sealing the through hole includes depositing a sealing layer, i.e., depositing one of an oxide layer and a passivation layer to seal the through hole. 如請求項9所述之半導體裝置的製造方法,其中,還包括在密封該通孔之後進行的平坦化步驟,使得平坦表面由配置在該基板之該後表面上的該絕緣層之一部分、該金屬化層及/或該密封層形成,該平坦表面跨越該基板通孔。 The manufacturing method of a semiconductor device as claimed in claim 9, further comprising a planarization step after sealing the through hole, so that the planar surface consists of a portion of the insulating layer disposed on the rear surface of the substrate, the A metallization layer and/or the sealing layer is formed, and the flat surface spans the substrate through hole. 如請求項10所述之半導體裝置的製造方法,其中,該平坦化步驟包括化學機械研磨,即CMP。 A method for manufacturing a semiconductor device as described in claim 10, wherein the planarization step includes chemical mechanical polishing, i.e., CMP. 如請求項9至11中任一項所述之半導體裝置的製造方法,其中,還包括沉積重分配層,使得該重分配層電性連接到該金屬化層,並且在該基板之該後表面形成至少一接觸區域。 The manufacturing method of a semiconductor device according to any one of claims 9 to 11, further comprising depositing a redistribution layer so that the redistribution layer is electrically connected to the metallization layer and on the rear surface of the substrate At least one contact area is formed.
TW111109873A 2021-04-12 2022-03-17 Semiconductor device with sealed tsv and method for producing thereof TWI836378B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102021109045.8 2021-04-12
DE102021109045 2021-04-12

Publications (2)

Publication Number Publication Date
TW202306085A TW202306085A (en) 2023-02-01
TWI836378B true TWI836378B (en) 2024-03-21

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180174957A1 (en) 2015-10-20 2018-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming interconnection structure

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180174957A1 (en) 2015-10-20 2018-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming interconnection structure

Similar Documents

Publication Publication Date Title
US11574819B2 (en) Semiconductor device and method for manufacturing the same
TWI587470B (en) Substrate, method of manufacturing substrate, semiconductor device, and electronic apparatus
US8378462B2 (en) Semiconductor device having through substrate vias
TWI492354B (en) Semiconductor apparatus and method for manufacturing the same
US8658534B2 (en) Method for producing a semiconductor component, and semiconductor component
US8581366B2 (en) Method and system for forming conductive bumping with copper interconnection
TWI602273B (en) Semiconductor device
US9312230B2 (en) Conductive pillar structure for semiconductor substrate and method of manufacture
CN102024781A (en) Integrated circuit structure
JP2010045371A (en) Through-silicon-via structure including conductive protective film, and method of forming the same
US11189583B2 (en) Semiconductor structure and manufacturing method thereof
WO2023273718A1 (en) Sensing chip packaging structure and method
TW201917091A (en) Monolithic integration of MEMS and IC devices
WO2022218610A1 (en) Semiconductor device with sealed through-substrate via and method for producing thereof
KR102622412B1 (en) Semiconductor package including through-hole and method of manufacturing same
TWI836378B (en) Semiconductor device with sealed tsv and method for producing thereof
CN105742193A (en) Wafer and Wafer Bonding Process and Structures
TWI705527B (en) Method of forming integrated circuit structure, integrated circuit device, and integrated circuit structure
TWI512923B (en) Interposer and method of manufacture
TW202023031A (en) Semiconductor device
JP4209033B2 (en) Manufacturing method of semiconductor device
US10381394B2 (en) Electronic component and method of manufacturing the same
KR20200037091A (en) Vias with metal caps for underlying conductive lines
TW202022925A (en) Semiconductor device and method of manufacturing the same