TWI835507B - 半導體裝置結構及其形成方法 - Google Patents

半導體裝置結構及其形成方法 Download PDF

Info

Publication number
TWI835507B
TWI835507B TW112100353A TW112100353A TWI835507B TW I835507 B TWI835507 B TW I835507B TW 112100353 A TW112100353 A TW 112100353A TW 112100353 A TW112100353 A TW 112100353A TW I835507 B TWI835507 B TW I835507B
Authority
TW
Taiwan
Prior art keywords
layer
hard mask
mask layer
etch stop
disposed
Prior art date
Application number
TW112100353A
Other languages
English (en)
Other versions
TW202339114A (zh
Inventor
林詩哲
何梓暘
李振銘
楊復凱
王美勻
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/700,357 external-priority patent/US20230317805A1/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202339114A publication Critical patent/TW202339114A/zh
Application granted granted Critical
Publication of TWI835507B publication Critical patent/TWI835507B/zh

Links

Images

Abstract

描述了一種半導體裝置結構及其形成方法。所述半導體裝置結構包括設置在基板上方的閘電極層、設置在基板上方的源極/汲極磊晶部件、設置在閘電極層上方的第一硬遮罩層以及設置在源極/汲極磊晶部件上方的接觸蝕刻停止層。所述結構更包括設置在接觸蝕刻停止層上的第一層間介電層,以及設置在接觸蝕刻停止層和第一層間介電層上的第二硬遮罩層的第一經處理部分。第一硬遮罩層的頂表面與第二遮罩層的第一經處理部分的頂表面大抵共平面。所述結構更包括設置在第一硬遮罩層和第二遮罩層的第一經處理部分上的蝕刻停止層。

Description

半導體裝置結構及其形成方法
本發明是關於半導體裝置結構,特別是關於半導體的接觸件及其形成方法。
隨著半導體工業引入具有更高性能和更多功能的新一代積體電路(integrated circuit, IC),形成積體電路的元件的密度增加,而組件或元件之間的尺寸、大小和間距減小。在過去,這種減少僅受到光學微影定義結構的能力的限制,具有較小尺寸的裝置幾何形狀產生了新的限制因素。例如,隨著生產線前段製程(front-end-of-line, FEOL)中的部件尺寸變小,中段製程(middle-of-line, MOL)中的導電接觸件和金屬閘極可能會靠得太近而產生短路。因此,需要改進的半導體器件結構及其形成方法。
本案的一些實施方式提供了一種半導體裝置結構,包括:閘電極層,設置在基板上方;源極/汲極磊晶部件,設置在基板上方;第一硬遮罩層,設置在閘電極層上方;接觸蝕刻停止層,設置在源極/汲極磊晶部件上方,其中接觸蝕刻停止層設置在鄰近第一硬遮罩層處且與其接觸;第一層間介電層,設置在接觸蝕刻停止層上;第二硬遮罩層的第一經處理部分,設置在接觸蝕刻停止層及第一層間介電層上,其中第一硬遮罩層的頂表面與第二硬遮罩層的第一經處理部分的頂表面大抵共平面;以及蝕刻停止層,設置在第一硬遮罩層及第二硬遮罩層的第一經處理部分上。
本案的一些實施方式更提供了一種半導體裝置結構,包括:閘電極層,設置在基板上方;第一硬遮罩層,設置在閘電極層上方;第一源極/汲極磊晶部件,設置在基板上方;接觸蝕刻停止層,設置在第一源極/汲極磊晶部件上方,其中接觸蝕刻停止層與第一硬遮罩層接觸;層間介電層,設置在接觸蝕刻停止層上;第二硬遮罩層的第一經處理部分,設置在接觸蝕刻停止層及層間介電層上,其中第二硬遮罩層的第一經處理部分包括摻雜有Ar、 Ge、B、或As的介電材料,且第二硬遮罩層的第一經處理部分與第一硬遮罩層接觸;以及蝕刻停止層,設置在第一硬遮罩層及第二硬遮罩層的第一經處理部分上。
本案的一些實施方式還提供了一種半導體裝置結構的形成方法,包括:在源極/汲極磊晶部件上方以及兩個犧牲閘電極層之間形成接觸蝕刻停止層;在接觸蝕刻停止層上形成第一層間介電層;以兩個閘電極層取代所述兩個犧牲閘電極層;移除第一層間介電層;移除接觸蝕刻停止層;在源極/汲極磊晶部件上方以及兩個閘電極層之間形成襯層;移除設置在源極/汲極磊晶部件上方的襯層的一部份;在襯層剩餘的部分之間形成導電材料;在所述兩個閘電極層、襯層以及導電材料上方形成第一硬遮罩層;執行處理製程,以形成第一硬遮罩層的多個第一經處理部分、第一硬遮罩層的多個第二經處理部分、以及第一硬遮罩層的多個未處理部分;移除第一硬遮罩層的未經處理部分;以及在閘電極層上方形成第二硬遮罩層,其中第二硬遮罩層與襯層、第一硬遮罩層的第一經處理部分、以及第一硬遮罩層的第二經處理部分接觸。
以下揭露提供了許多的實施例或範例,用於實施所提供的標的物之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參考數值以及/或字母。如此重複是為了簡明和清楚之目的,而非用以表示所討論的不同實施例及/或配置之間的關係。
再者,其中可能用到與空間相對用詞,例如「在……之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
第1圖-第12Z圖根據本揭露的各個實施例,繪示出了的半導體裝置結構100的各個製造階段。應當理解的是,對於此方法的其他實施例,可以在第1圖-第12Z圖所示的製程之前、期間和之後提供額外的操作,並且可以替換或消除以下描述中的一些操作。 操作/製程的順序可以互換。
第1圖-第4圖是根據一些實施例的半導體裝置結構100的透視圖。在第1圖中,第一半導體層104形成在基板102上。基板可以是晶圓中晶片的一部分。在一些實施例中,基板102是塊體半導體基板(bulk semiconductor substrate),例如半導體晶圓。例如,基板102是矽晶圓。基板102可以包括矽或另一種元素半導體材料,例如鍺。在一些其他實施例中,基板102包括化合物半導體。化合物半導體可包括砷化鎵、碳化矽、砷化銦、磷化銦、其他合適的半導體材料或其組合。在一些實施例中,基板102是絕緣體上覆矽(semiconductor-on-insulator, SOI)基板。絕緣體上覆矽基板可以使用氧佈植隔離(separation by implantation of oxygen, SIMOX)製程、晶圓接合製程、其他可應用的方法或其組合來製造。
基板102可以摻雜有P型或N型雜質。如第1圖所示,根據一些實施例,基板102具有P型金屬氧化物半導體區102P (PMOS區(P-type metal-oxide-semiconductor region)102P)和與PMOS區102P鄰近的N型金屬氧化物半導體區102N(NMOS區(N-type metal-oxide-semiconductor region)102N)。雖然在一些圖示中未按比例示出,但PMOS區102P和NMOS區102N屬於連續基板(continuous substrate)102。在本揭露的一些實施例中,PMOS區102P用於在其上形成PMOS結構,而NMOS區102N用於在其上形成NMOS結構。在一些實施例中,N型井區103N和P型井區103P形成在基板102中,如第1圖所示。例如,N型井區103N形成在PMOS區102P中的基板102中,而P型井區103P形成在NMOS區102N中的基板102中。P型井區103P和N型井區103N可以藉由任何合適的技術形成,例如在一些實施例中藉由單獨的離子佈植製程。藉由使用兩個不同的佈植遮罩層(未示出),可以在不同的離子佈植製程中依次形成P型井區103P和N型井區103N。
第一半導體層104沉積在基板 102 上方,如圖 1 所示。第一半導體層104可以由任何合適的半導體材料製成,例如矽、鍺、III-V半導體材料、或其組合。在一些實施例中,第一半導體層104大抵上由矽製成。第一半導體層104可以藉由磊晶生長製程形成,例如金屬有機化學氣相沉積(metal-organic chemical vapor deposition, MOCVD)、金屬有機氣相磊晶(metal-organic vapor phase epitaxy, MOVPE)、電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition, PECVD)、遠程電漿化學氣相沉積(remote plasma chemical vapor deposition, RP-CVD)、分子束磊晶(molecular beam epitaxy, MBE)、氫化物氣相磊晶 (hydride vapor phase epitaxy, HVPE)、液相磊晶 (liquid phase epitaxy, LPE)、氯化物氣相磊晶 (chloride vapor phase epitaxy, Cl-VPE)或任何其他合適的製程。
在第2圖中,移除設置在N型井區103N上方的第一半導體層104的部分,並且在N型井區103N上方形成第二半導體層106,且第二半導體層106鄰近於第一半導體層104位於P型井區103P上方的部分。可以先在第一半導體層104設置在P型井區103P上方的部分上形成圖案化遮罩層(未示出),並且可以曝光第一半導體層104設置在N型井區103N上方的部分。可以執行移除製程,例如乾式刻蝕、濕式刻蝕、或其組合,以移除第一半導體層104設置在N型井區103N上方的部分,並且可以露出N型井區103N。移除製程大抵上不影響形成在第一半導體層104設置在P型井區103P上方的部分上的遮罩層(未示出),其保護了第一半導體層104設置在P型井區103P上方的部分。接著,在露出的N型井區103N上形成第二半導體層106。第二半導體層106可由任何合適的半導體材料製成,例如矽、鍺、III-V半導體材料或其組合。在一些實施例中,第二半導體層106實質上由矽鍺製成。第二半導體層106可以藉由與第一半導體層104相同的製程形成。例如,第二半導體層106可以藉由磊晶生長製程在露出的N型井區103N上形成,此製程不在設置在第一半導體層104上的遮罩層(未示出)上形成第二半導體層106。如此一來,第一半導體層104設置在NMOS區102N中的P型井區103P上方,且第二半導體層106設置在設置在PMOS區102P中的N型井區103N上方。
第一半導體層104的部分可以作為隨後在NMOS區102N中形成的NMOS結構中的通道。第二半導體層106的部分可以作為隨後在PMOS區102P中形成的PMOS結構中的通道。在一些實施例中,NMOS結構及PMOS結構為鰭式場效電晶體(fin field-effect transistor, FinFET)。儘管本揭露中描述的實施例是在鰭式場效電晶體的情況下描述的,但是本揭露的一些面向的實施方式可以用於其他製程及/或其他裝置中,例如平面場效電晶體、奈米結構場效電晶體、水平全繞式閘極(horizontal gate all around, HGAA)場效電晶體、垂直全繞式閘極(vertical gate all around, VGAA)場效電晶體和其他合適的裝置。
在第3圖中,複數鰭片108a、108b、110a、110b 由第一和第二半導體層 104、106形成。鰭片108a、108b、110a、110b可以藉由任何合適的方法圖案化。例如,鰭片108a、108b、110a、110b可以使用一道或多道微影製程來圖案化,包括雙重圖案化或多重圖案化製程。一般來說,雙重圖案化或多重圖案化製程結合了微影製程與自對準製程,以創建出例如,比使用單一、直接微影製程所得的節距更小的圖案。例如,在一實施例中,在基板上方形成犧牲層(未示出),並使用微影製程對其進行圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物(未示出)。之後移除犧牲層,然後可以使用剩餘的間隔物或心軸作為遮罩以圖案化鰭片。
鰭片108a、108b可以各自包括第一半導體層104,並且第一半導體層104的一部分可以作為NMOS通道。每個鰭片108a、108b還可以包括P型井區103P。同樣地,鰭片110a、110b可以各自包括第二半導體層106,並且第二半導體層106的一部分可以作為PMOS通道。每個鰭片110a、110b還可以包括N型井區103N。遮罩(未示出)可以形成在第一和第二半導體層104、106上,並且可以保留在鰭片108a-b和110a-b上。
接著,在鄰近鰭片108a-b、110a-b之間形成絕緣結構112。絕緣結構112可以首先形成在鄰近的鰭片108a-b、110a-b之間以及鰭片108a-b、110a-b上方,因此鰭片108a-b、110a-b嵌入絕緣結構112中。結構112可以包括含氧材料,例如氧化矽、碳或氮摻雜的氧化物,或氟摻雜矽酸鹽玻璃(fluorine-doped silicate glass, FSG);含氮材料,例如氮化矽、氮氧化矽(SiON)、SiOCN、SiCN;低介電常數介電材料(例如,介電常數值低於二氧化矽的材料);或任何合適的介電材料。絕緣結構112可以藉由任何合適的方法形成,例如低壓化學氣相沉積(low-pressure chemical vapor deposition, LPCVD)、電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition, PECVD)或流動式化學氣相沉積(flowable chemical vapor deposition, FCVD)。
接著,可以執行諸如化學機械拋光(chemical-mechanical polishing, CMP)製程的平坦化製程以露出鰭片108a-b、110a-b的頂部。在一些實施例中,平坦化製程露出設置在鰭片108a-b和110a-b上的遮罩(未示出)的頂部。然後藉由移除位於每個鰭片108a-b、110a-b兩側的絕緣結構112的部分凹蝕絕緣結構112。凹陷的絕緣結構112可以是淺溝槽隔離(shallow trench isolation, STI)區。
絕緣結構112可以藉由任何合適的移除製程凹蝕,例如選擇性地移除絕緣結構112的部分但大抵上不影響鰭片108a-b、110a-b的半導體材料的乾式蝕刻或濕式蝕刻。
在第4圖中,一個或多個犧牲閘極堆疊128形成在鰭片108a-b、110a-b的一部分上。每個犧牲閘極堆疊128可以包括犧牲閘極介電層130、犧牲閘電極層132和遮罩結構134。犧牲閘極介電層130可以包括一層或多層介電質材料,例如SiO 2、SiN、高介電常數介電材料及/或其他合適的介電材料。在一些實施例中,犧牲閘極介電層130可以藉由化學氣相沈積製程、次常壓化學氣相沈積(sub-atmospheric chemical vapor deposition, SACVD)製程、流動式化學氣相沉積製程、原子層沈積(atomic layer deposition, ALD)製程、物理氣相沉積(physical vapor deposition, PVD)製程或其他合適的製程來沉積。犧牲閘電極層132可以包括多晶矽(polysilicon)。遮罩結構134可以包括含氧層和含氮層。在一些實施例中,犧牲閘電極層132和遮罩結構134藉由諸如膜層沉積的各種製程形成,例如化學氣相沉積(包括低壓化學氣相沉積和電漿增強化學氣相沉積)、物理氣相沉積、原子層沈積、熱氧化、電子束蒸發或其他合適的沉積技術、或其組合。
可以藉由首先沉積犧牲閘極介電層130、犧牲閘電極層132和遮罩結構134的毯覆層,隨後進行圖案化和蝕刻製程來形成犧牲閘極堆疊128。例如,圖案製程包括微影製程(例如,光學微影或電子束微影),其可以更包括光阻塗覆(例如,旋塗塗覆)、軟烤、遮罩對準、曝光、曝光後烘烤、光阻顯影、清洗、乾燥(例如,旋轉乾燥及/或硬烤)、其他合適的微影技術及/或其組合。在一些實施例中,蝕刻製程可以包括乾式蝕刻(例如,反應離子蝕刻(reactive ion etching, RIE))、濕式蝕刻、其他蝕刻方法及/或其組合。藉由圖案化犧牲閘極堆疊128,鰭片108a-b、110a-b在犧牲閘極堆疊128的相對側部分露出。絕緣結構112的部分由於蝕刻製程而露出,以形成犧牲閘極堆疊128。雖然在第4圖中示出了三個犧牲閘極堆疊128,但是應該理解的是,它們僅用於說明目的,並且可以形成任意數量的犧牲閘極堆疊128。
第5A圖-第10A圖是根據本發明的一些實施例,繪示出沿剖線A-A所截取的第4圖的半導體裝置結構100的各製造階段的剖面圖。第5B圖-第10B圖是根據本發明的一些實施例,繪示出沿剖線B-B所截取的第4圖的半導體裝置結構100的各製造階段的剖面圖。第5C圖-第10C圖是根據本發明的一些實施例,繪示出沿剖線C-C所截取的第4圖的半導體裝置結構100的各製造階段的剖面圖。
第5A圖-第5C圖示出了在鰭片108a-b、110a-b的一部分上形成犧牲閘極堆疊128之後的階段。在第6A圖-第6C圖中,間隔物140形成在犧牲閘極堆疊128以及第一和第二半導體層104、106的露出部分上。間隔物140可以保形地沉積在半導體裝置結構100的露出表面上。保形間隔物140可以藉由原子層沈積或任何合適的製程形成。此處可以使用術語「保形(conformal)」以便於描述在各個區域上具有大抵相同厚度的層。然後使用例如反應離子蝕刻在間隔物140上執行非等向性蝕刻。在非等向性蝕刻製程期間,大部分間隔物140從水平表面移除,例如犧牲閘極堆疊128的頂部和鰭片108a-b、110a-b的頂部,保留在垂直表面上的間隔物140,例如在犧牲閘極堆疊128的相對側壁(opposite sidewall)上。間隔物140可以部分地保留在鰭片108a-b、110a-b的相對側壁上,如第6A圖所示。在一些實施例中,形成在鰭片108a-b、110a-b的源極/汲極區域上的間隔物140被完全移除。
間隔物140可以由介電材料製成,例如氧化矽(SiO 2)、氮化矽(Si 3N 4)、碳化矽(SiC)、氧氮化矽(SiON)、碳氮化矽(SiCN)、氧碳化矽(SiOC)、碳氧氮化矽(SiOCN)、氣隙(air gap)及/或它們的任何組合。在一些實施例中,間隔物140包括一層或多層上述介電材料。
在間隔物140包括多層膜層的各種實施例中,沒有被犧牲閘極堆疊128覆蓋的鰭片108a-b、110a-b的頂部可具有錐形輪廓(taper profile)149,如第6B圖、第6C圖所示。作為第一和第二半導體層104、106多次接觸於間隔物140形成期間使用的蝕刻劑的結構,可形成錐形輪廓149。鄰近犧牲閘極堆疊128之間的錐形輪廓149分別在第一和第二半導體層104、106中形成淺V形(shallow V-shaped)頂表面。
在第7A圖-第7C圖中,未被犧牲閘極堆疊128及間隔物140覆蓋的鰭片108a-b、110a-b的第一和第二半導體層104、106被凹蝕,並形成源極/汲極(source/drain, S/D)磊晶部件152、154。選擇用於凹蝕第一和第二半導體層104、106的蝕刻劑,以使不同的材料具有不同的蝕刻速率。例如,鰭片108a-b的第一半導體層104可以具有蝕刻劑的第一蝕刻率,且鰭片110a-b的第二半導體層106可以具有蝕刻劑的第二蝕刻率。在NMOS區102N中的第一半導體層104和PMOS區102P中的第二半導體層106各自包括不同材料的實施例中(例如,NMOS區102N中的第一半導體層104為SiGe,且PMOS區102P中的第二半導體層106為Si),第一蝕刻速率快於第二蝕刻速率。在將PMOS區102P中的第二半導體層106完全蝕刻之前,可以輕微蝕刻鰭片108a-b的P型井區103P的一部分。如此一來,在NMOS區102N處的鰭片108a-b的頂表面109的水平位於在PMOS區102P處的鰭片110a-b的頂表面111下方(例如,低於約2nm至約10nm),導致NMOS區102N中的源極/汲極接面(S/D junction)深度比PMOS區102P的深。儘管未示出,但可以設想頂表面109和頂表面111之間的這種差異適用於本揭露的各種實施例。
對於NMOS區102N中的裝置,每個源極/汲極磊晶部件152可以包括一層或多層Si、SiP、SiC、SiCP、SiAs或III-V族材料(InP、GaAs、AlAs、InAs ,InAlAs,InGaAs)。在一些實施例中,每個源極/汲極磊晶部件152包括兩層或更多層的Si、SiP、SiC、SiCP或III-V族材料,並且每個層可以具有不同的矽濃度。每個源極/汲極磊晶部件152可以包括N型摻雜劑,例如磷(P)、砷(As)、或其他合適的N型摻雜劑。源極/汲極磊晶部件152可以藉由任何合適的方法形成,例如化學氣相沉積、化學氣相沉積磊晶、分子束磊晶或其他合適的方法。源極/汲極磊晶部件152可以形成在每個犧牲閘極堆疊128兩側的鰭片108a-b的露出表面上,如第7B圖所示。在一些實施例中,第一半導體層104在每個犧牲閘極堆疊128兩側的部分被完全移除,並且源極/汲極磊晶部件152形成在鰭片108a-b的P型井區103P上。源極/汲極磊晶部件152可以垂直和水平生長以形成刻面(facet),其可以對應於用於基板102的材料的晶面(crystalline planes)。在一些實施例中,形成在鰭片108a和108b的P型井區103P上的源極/汲極磊晶部件152合併(merge),如第7A圖所示。如第7B圖所示,源極/汲極磊晶部件152中的每一個都可以具有高於第一半導體層104的頂表面的水平的頂表面。
對於PMOS區102P中的裝置,每個源極/汲極磊晶部件154可以包括一層或多層Si、SiGe、SiGeB、Ge或III-V族材料(InSb、GaSb、InGaSb),並且每個膜層可以具有不同的矽或鍺濃度。每個源極/汲極磊晶部件154可以包括P型摻雜劑,例如硼(B)、或其他合適的P型摻雜劑。在一些實施例中,NMOS區102N中的源極/汲極磊晶部件152和PMOS區102P中的源極/汲極磊晶部件154皆為Si。在一些實施例中,NMOS區102N中的源極/汲極磊晶部件152為Si,並且PMOS區102P中的源極/汲極磊晶部件154為SiGe。源極/汲極磊晶部件154可以藉由任何合適的方法形成,例如化學氣相沉積、化學氣相沉積磊晶、分子束磊晶或其他合適的方法。在一些實施例中,每個犧牲閘極堆疊128兩側的第二半導體層106的部分被完全移除,並且源極/汲極磊晶部件154形成在鰭片110a-b的N型井區103N上。源極/汲極磊晶部件154可以垂直和水平生長以形成刻面,其可以對應於用於基板102的材料的晶面。在一些實施例中,形成在鰭片110a和110b的N型井區103N上的源極/汲極磊晶部件154合併,如第7A圖所示。如第7C圖所示,源極/汲極磊晶部件154中的每一個都可以具有高於第二半導體層106的頂表面的水平的頂表面。
在第8A圖-第8C圖中,接觸蝕刻停止層(contact etch stop layer, CESL)160保形地形成在半導體裝置結構100的露出表面上。接觸蝕刻停止層160覆蓋犧牲閘極堆疊128、絕緣結構112、以及源極/汲極磊晶部件152、154。接觸蝕刻停止層160可以包括含氧材料或含氮材料,例如氮化矽、碳氮化矽、氮氧化矽、氮化碳、氧化矽、碳氧化矽、其他類似的材料、或其組合,並且可以藉由化學氣相沉積、電漿增強化學氣相沉積、原子層沈積或任何合適的沉積技術形成。接著,在接觸蝕刻停止層160上形成第一層間介電(interlayer dielectric, ILD)層162。用於層間介電層162的材料可以包括包含Si、O、C及/或H的化合物,例如SiOCH、使用四乙氧基矽烷(tetraethylorthosilicate, TEOS)形成的氧化物、未摻雜的矽酸鹽玻璃、氧化矽、或摻雜的氧化矽,例如硼磷矽酸鹽玻璃(borophosphosilicate glass, BPSG)、熔融石英玻璃(fused silica glass, FSG)、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(boron doped silicon glass, BSG)、及/或其他合適的介電質材料。第一層間介電層162可以藉由電漿增強化學氣相沉積製程或其他合適的沉積技術來沉積。
在形成第一層間介電層162之後,執行平坦化製程以露出犧牲閘電極層132。平坦化製程可以是任何合適的製程,例如化學機械拋光製程。平坦化製程移除了第一層間介電層162和接觸蝕刻停止層160設置在犧牲閘極堆疊128上的部分。平坦化製程還可以移除遮罩結構134。
在第9A圖-第9C圖中,遮罩結構134(若在化學機械拋光製程期間未移除)、犧牲閘電極層132(第8B圖)及犧牲閘極介電層130(第8B圖)被移除。犧牲閘電極層132和犧牲閘極介電層130可以藉由一道或多道蝕刻製程移除,例如乾式蝕刻製程、濕式蝕刻製程、或其組合。一道或多道蝕刻製程選擇性地移除犧牲閘電極層132和犧牲閘極介電層130,而大抵上不影響間隔物140、接觸蝕刻停止層160和第一層間介電層162。犧牲閘電極層132及犧牲閘極介電層130的移除露出通道區中的第一和第二半導體層104、106的頂部。
在第10A圖-第10C圖中,形成替代閘極結構177。替代閘極結構177可以包括閘極介電層166和形成在閘極介電層166上的閘電極層168p、168n。如第10B圖和第10C圖所示,閘極介電層166形成在第一和第二半導體層104、106上。閘極介電層166可以包括一個或多個介電層並且可以包括與犧牲閘極介電層130相同的材料。在一些實施例中,閘極介電層166可以藉由一道或多道原子層沈積製程或其他合適的製程沉積。閘電極層168p、186n可以包括一層或多層導電材料,例如多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、TiN、WN、AlTi、AlTiO、AlTiC、AlTiN、TaCN、TaC、TaSiN、金屬合金、其他合適的材料、及/或其組合。對於NMOS區102N中的裝置,閘電極層168n可以是AlTiO、AlTiC、或其組合。對於PMOS區102P中的裝置,閘電極層168p可以是AlTiO、AlTiC、AlTiN、或其組合。閘電極層168可以藉由物理氣相沉積、化學氣相沉積、原子層沈積、電鍍或其他合適的方法形成。
執行金屬閘極回蝕(metal gate etching back, MGEB)製程以移除間隔物140、閘極介電層166和閘電極層168p、168n的部分。金屬閘極回蝕製程可以是採用一種或多種蝕刻劑例如含氯氣體、含溴氣體及/或含氟氣體的電漿蝕刻製程。在金屬閘極回蝕製程之後,閘電極層168p、168n的頂表面可以低於閘極介電層166的頂表面。在一些實施例中,如第10B圖和第10C圖所示,間隔物140和閘極介電層166在金屬閘極回蝕製程後個處於相同水平。在一些實施例中,間隔物140的部分被回蝕,使得間隔物140的頂表面高於閘極介電層166和閘電極層168p、168n的頂表面。在金屬閘極回蝕製程之後,在閘電極層168p、168n上選擇性地形成蓋層169。蓋層169可以包括導電材料,例如金屬。在一些實施例中,蓋層169包括無氟鎢(fluorine-free tungsten, FFW)。
接著,用遮罩層179填充由於金屬閘極回蝕製程而在隔離物140、閘極介電層166和閘電極層168p、168n上方形成的溝槽。遮罩層179可由具有與接觸蝕刻停止層160和第一層間介電層162不同的蝕刻選擇性的任何材料形成。在一些實施例中,遮罩層179包括矽、SiN或低介電常數介電材料。遮罩層179可以藉由任何合適的製程形成。在一些實施例中,遮罩層179藉由原子層沈積形成,並且作為原子層沈積製程的結果可以在遮罩層179中形成接縫(seam)180。接縫180可以具有範圍從大約0nm到大約3nm的寬度。然後進行化學機械拋光製程以移除遮罩層179的過量沉積物,直到露出第一層間介電層162的頂表面。
第11圖是根據本發明的一些實施例,繪示出半導體裝置結構200的各製造階段之一的剖面圖。如第11圖所示,半導體裝置結構200包括基板202、閘電極層168、閘極介電層166、間隔物140、蓋層169、遮罩層179、接觸蝕刻停止層160和第一層間介電層162。基板202包括在其上形成的複數個裝置(未示出)。在一些實施例中,所述複數個裝置為鰭式場效電晶體。例如,半導體裝置結構200是第10A圖-第10C圖所示的半導體裝置結構100,並且基板202包括第一半導體層104及源極/汲極磊晶部件152(或第二半導體層106及源極/汲極磊晶部件154)。在一些實施例中,所述複數個裝置為奈米結構場效電晶體,例如奈米片場效電晶體(nanosheet FET)。例如,基板202包括通道區,每個通道區包括半導體層的堆疊,並且閘電極層168圍繞每個半導體層。在一些實施例中,其他類型的裝置設置在基板202上。
第12A圖-第12Z圖是根據本發明的一些實施例,繪示出第11圖的半導體裝置結構200的各製造階段之一的剖面圖。如第12A圖所示,第二層間介電層204形成在第一層間介電層162、遮罩層179和接觸蝕刻停止層160上。第二層間介電層204可以包括與第一層間介電層162相同的材料,並且可以藉由與第一層間介電層162相同的製程形成。第二層間介電層204具有從大約50nm到大約250nm範圍的厚度T1。遮罩層179具有從大約5nm到大約60nm範圍的厚度T2。在一些實施例中,如第12A圖所示,由於接縫180尺寸小,第二層間介電層204沒有形成在接縫180中。在一些實施例中,第二層間介電層204形成在接縫180中。
如第12B圖所示,硬遮罩層206形成在第二層間介電層204上。硬遮罩層206可以包括具有與第二層間介電層204不同蝕刻選擇性的材料。在一些實施例中,硬遮罩層206包括矽或SiN。硬遮罩層206具有從大約5nm到大約20nm範圍的厚度T3。如第12C圖所示,硬遮罩層206被圖案化。如此一來,在硬遮罩層206中形成一個或多個開口208。開口208可以藉由任何合適的製程形成,例如乾式蝕刻製程、濕式蝕刻製程、或其組合。開口208具有範圍從大約0.3微米到大約2微米的寬度W1。開口208可以設置在第一層間介電層162的一個或多個部分之上。在一些實施例中,如第12C圖所示,開口208形成在第一層間介電層162設置在兩個鄰近遮罩層179之間的部分之上。在一些實施例中,開口208形成在第一層間介電層162設置在多個鄰近遮罩層179之間的多個部分之上。開口208露出第二層間介電層204的一部分。
如第12D圖所示,藉由移除第二層間介電層204的露出部分及第一層間介電層162的部分擴大開口208。在一些實施例中,第一和第二層間介電層162、204包括相同的材料。如此一來,第一和第二層間介電層162、204的部分藉由移除製程被選擇性地移除。移除製程可以是任何合適的製程,例如乾式蝕刻製程、濕式蝕刻製程或其組合。移除製程大抵上不影響遮罩層179和接觸蝕刻停止層160。作為移除製程的結果,露出每個鄰近遮罩層179的一部分,並且露出接觸蝕刻停止層160設置在鄰近遮罩層179之間的一部分。在一些實施例中,形成在遮罩層179中的接縫180也被露出。開口208可以包括底部210和上部212。底部210可以是具有深度D1和寬度W2的溝槽。在一些實施例中,深度D1的範圍從大約20nm到大約80nm,而寬度W2的範圍從大約10nm到大約50nm。
如第12E圖所示,接觸蝕刻停止層160的露出部分藉由任何合適的製程被移除。在一些實施例中,藉由選擇性蝕刻製程移除接觸蝕刻停止層160的露出部分,所述選擇性蝕刻製程大抵上不影響遮罩層179、間隔物140和源極/汲極磊晶部件152(第10B圖)或源極/汲極磊晶部件154(第10C圖)。如第12F圖所示,在開口208中形成襯層214。在一些實施例中,襯層214包括具有比接觸蝕刻停止層160低的介電常數值的材料。例如,襯層214可以包括SiC、SiCO、SiCON、SiON或低介電常數介電材料,其與接觸蝕刻停止層160的SiN相比具有較低的介電常數值。襯層214的材料與遮罩層179的材料相比也具有不同的蝕刻選擇性。在一些實施例中,襯層214是由原子層沈積形成的保形層。襯層214可以具有範圍從大約1nm到大約10nm的厚度。在一些實施例中,如第12F圖所示,由於接縫180的尺寸小,襯層214未形成在接縫180中。在一些實施例中,襯層214形成在接縫180中。
如第12G圖所示,襯層214的部分被移除。在一些實施例中,執行非等向性蝕刻製程以移除襯層214設置在水平表面上的部分,而襯層214設置在垂直表面上的部分大抵上不受影響。例如,襯層214的剩餘部分可以設置在遮罩層179的側表面及間隔物140的側表面上。襯層214與接觸蝕刻停止層160相比具有較低的介電常數值。因此,寄生電容(parasitic capacitance)減小。作為非等向性蝕刻製程的結果,露出源極/汲極磊晶部件152(第10B圖)或源極/汲極磊晶部件154(第10C圖)。
如第12H圖所示,膠層216形成在開口208中。在一些實施例中,膠層216包括導電材料,例如TiN或TaN。在一些實施例中,膠層216是藉由原子層沈積形成的保形層,並且由於原子層沈積製程,接縫180被膠層216填充。膠層216也可以形成在硬遮罩層206上。膠層216可以具有範圍從大約1nm到大約10nm的厚度。在一些實施例中,膠層216是可選的並且可以不存在。如第12I圖所示,導電材料218在開口208中和硬遮罩層206上方形成。導電材料218可以包括導電性材料,例如金屬。在一些實施例中,導電材料218包括Ru、Co、W、Cu、Mo或其他合適的金屬。導電材料218可以藉由任何合適的製程形成,例如電化學鍍(electrochemical plating, ECP)或物理氣相沉積。
如第12J圖所示,執行平坦化製程以露出遮罩層179。平坦化製程可以是化學機械拋光製程。化學機械拋光製程可以移除設置在遮罩層179上方的導電材料218、膠層216和襯層214的部分。此外,硬遮罩層206和第二層間介電層204藉由化學機械拋光製程移除。由於淺碟效應(dishing effect),設置在開口208的底部210中的剩餘導電材料218(第12D圖)可以具有低於遮罩層179頂表面水平的頂表面。剩餘的導電材料218電性連接至源極/汲極磊晶部件152(或源極/汲極磊晶部件154)(第10B圖和第10C圖),並且剩餘的導電材料218可以是導電部件,例如導電插塞或導電接觸件。
如第12K圖所示,遮罩層179被移除。可以藉由任何合適的製程移除遮罩層179。在一些實施例中,藉由選擇性蝕刻製程移除遮罩層179。選擇性蝕刻製程大抵上不影響接觸蝕刻停止層160、襯層214、膠層216和導電材料218。作為移除遮罩層179的結果,形成在接縫180(第12G圖)中的膠層216也可以被移除。在移除遮罩層179之後,在開口220中露出間隔物140、閘極介電層166和蓋層169。
如第12L圖所示,在開口220中和第一層間介電層162、接觸蝕刻停止層160、間隔物140、閘極介電層166和蓋層169上形成硬遮罩層222。遮罩層222可以包括多孔介電材料,例如多孔SiN、SiC、SiCO、SiCON、SiCN或低介電常數介電材料。在一些實施例中,硬遮罩層222包括多孔SiN。硬遮罩層222可以是在不同區域具有不同厚度的非保形層。例如,在一些實施例中,硬遮罩層222設置在襯層214、膠層216、接觸蝕刻停止層160和第一層間介電層162上的各個部分具有厚度T4,硬遮罩層222設置在在側牆140、閘極介電層166、和蓋層169上的各個部分的厚度T5實質上小於厚度T4,且硬遮罩層222設置在接觸蝕刻停止層160的側表面上各個部分的厚度T6實質上為小於厚度T5。在一些實施例中,厚度T4的範圍從大約5nm到大約30nm,厚度T5的範圍從大約1nm到大約20nm,並且厚度T6的範圍從大約1nm到大約10nm。硬遮罩層222可以藉由諸如化學氣相沉積製程的非保形製程形成。
如第12M圖所示,對硬遮罩層222的部分進行處理製程。處理製程可以是電漿處理製程。電漿處理製程可以利用一種或多種氣體,例如Ar、Ge、B、As或任何合適的氣體,來改變硬遮罩層222的經處理部分的物理性質。作為定向(directional)電漿處理製程的結果,電漿中的物質可以佈植或摻雜到設置在水平表面上的硬遮罩層222的部分中。例如,可向基板202施加偏壓(bia power),並且電漿中物質(species)223的方向大抵上垂直於硬遮罩層222的頂表面。這樣一來,硬遮罩層222設置在襯層214、膠層216和導電材料218上的部分、硬遮罩層222設置在接觸蝕刻停止層160和第一層間介電層162上的部分、以及硬遮罩層222設置在間隔物140、閘極介電層166、及蓋層169上的部分被處理,而硬遮罩層222設置在接觸蝕刻停止層160的側表面上的部分未被處理。在處理製程之後,硬遮罩層222包括經處理部分226、228和未處理部分224,如第12M圖所示。每個經處理部分226具有厚度T4,每個經處理部分228具有厚度T5,並且每個未處理部分224具有厚度T6。未處理部分224包括多孔介電材料,例如多孔SiN,並且經處理部分226、228包括佈植有雜質(例如Ar、Ge、B、As或任何合適雜質)的介電材料。經處理部分226、228和未處理部分224具有不同的蝕刻選擇性。經處理部分226、228各自包括介電材料,例如SiN,並且摻雜有摻雜劑,例如Ar、Ge、B或As。
如第12N圖所示,硬遮罩層222的未處理部分224被選擇性地移除。硬遮罩層222的未處理部分224的移除可以藉由任何合適的製程來執行。在一些實施例中,執行濕式蝕刻製程以移除未處理部分224。濕式蝕刻製程大抵上不影響硬遮罩層222的經處理部分226、228和接觸蝕刻停止層160。如第12O圖所示,硬遮罩層230形成在開口220(第12N圖)中和硬遮罩層222的經處理部分226上。硬遮罩層230可以包括與硬遮罩層222的經處理部分226、228不同的材料。在一些實施例中,硬遮罩層230包括與第一層間介電層162相同的材料。硬遮罩層230包括SiCO、SiO 2、SiC、SiCON、SiN、SiCN或低介電常數介電材料。在一些實施例中,硬遮罩層230包括SiCO。
如第12P圖所示,執行平坦化製程以露出硬遮罩層222的經處理部分226。平坦化製程可以是化學機械拋光製程,並且硬遮罩層230設置在硬遮罩層222的經處理部分226上的部分藉由化學機械拋光製程移除。作為化學機械拋光製程的結果,經處理部分226的頂表面225和硬遮罩層230的頂表面229可以大抵上共平面。如第12Q圖所示,蝕刻停止層232形成在經處理部分226和硬遮罩層230上,且層間介電層234形成在蝕刻停止層232上。蝕刻停止層232可以包括與接觸蝕刻停止層160相同的材料,並且層間介電層234可以包括與第一層間介電層162相同的材料。在一些實施例中,層間介電層234包括與硬遮罩層230相同的材料。在一些實施例中,層間介電層234包括與硬遮罩層230和經處理部分226不同的材料,並且不存在蝕刻停止層232,如第12R圖所示。
如第12S圖所示,開口236形成在層間介電層234和蝕刻停止層232中。開口236可以藉由一道或多道蝕刻製程形成。例如,開口236可以藉由下列步驟形成:藉由第一蝕刻製程移除層間介電層234的一部分以露出蝕刻停止層232的一部分,藉由第二蝕刻製程移除蝕刻停止層232的露出部分以露出硬遮罩層230的部分和硬遮罩層222的經處理部分226,並且藉由第三蝕刻製程移除露出的經處理部分226。因為經處理部分226和硬遮罩層230包括具有不同蝕刻選擇性的不同材料,所以第三蝕刻製程大抵上不會影響硬遮罩層230的露出部分。如此一來,開口236未延伸至靠近閘電極層168的水平位置。在一些實施例中,開口236藉由雙鑲嵌製程形成。例如,開口236包括上部238和下部240。上部238可以形成在層間介電層234和蝕刻停止層232中,並且上部238可以是溝槽。下部240可以形成在硬遮罩層222的經處理部分226的一部分中,並且下部240可以是通孔。開口236露出導電材料218、膠層216和襯層214。如第12T圖所示,在開口236中形成導電材料239。導電材料239可以包括與導電材料218相同的材料並且可以藉由與導電材料218相同的製程形成。導電材料239可以與導電材料218接觸,導電材料218電性連接到源極/汲極磊晶部件152(第10B圖)或源極/汲極磊晶部件154(第10C圖)。導電材料239為源極/汲極磊晶部件152(第10B圖)或源極/汲極磊晶部件154(第10C圖)提供至設置在半導體裝置結構200上方的互連結構(未示出)的電通路。因為開口236(第12S圖)未延伸至靠近閘電極層168的水平位置,導電材料239不靠近閘電極層168。如此一來,發生短路的風險大大降低。
為了將閘電極層168電性連接到互連結構(未示出),在層間介電層234、蝕刻停止層232、硬遮罩層230和硬遮罩層222的經處理部分228中形成開口242,以露出與閘電極層168接觸的蓋層169,如第12U圖中所示。開口242和開口236(第12S圖)可以沿Y軸偏移(offset)。開口242可以藉由一道或多道蝕刻製程形成。例如,開口242可以藉由下列步驟形成:藉由第一蝕刻製程移除層間介電層234的一部分以露出蝕刻停止層232的一部分,藉由第二蝕刻製程移除蝕刻停止層232的露出部分以露出硬遮罩層230的部分及硬遮罩層222的經處理部分226,藉由第三蝕刻製程移除硬遮罩層230的露出部分,以露出硬遮罩層222的經處理部分228,以及藉由第四蝕刻製程移除硬遮罩層222的露出的經處理部分228,以露出蓋層169而形成。因為經處理部分226和硬遮罩層230包括具有不同蝕刻選擇性的不同材料,所以第三蝕刻製程大抵上不會影響硬遮罩層222的露出的經處理部分226。此外,因為經處理部分226實質上比經處理部分228厚,第四蝕刻製程除了移除經處理部分228之外還移除了經處理部分226的一小部分。如此一來,開口242未延伸至靠近導電材料218的水平位置。在一些實施例中,開口242由雙鑲嵌製程形成。例如,開口242包括上部244和下部246。上部244可以形成在層間介電層234和蝕刻停止層232中,並且上部244可以是溝槽。下部246可以形成在硬遮罩層230和硬遮罩層222的經處理部分228中,並且下部246可以是通孔。開口242露出蓋層169。如第12V圖所示,在開口242中形成導電材料248。導電材料248可以包括與導電材料218相同的材料並且可以藉由與導電材料218相同的製程形成。導電材料248可以與蓋層169接觸,蓋層169與閘電極層168接觸。導電材料248可以是閘極接觸件。導電材料248為閘電極層168提供至設置在半導體裝置結構200上方的互連結構(未示出)的電通路。由於開口242(第12U圖)未延伸至靠近導電材料218的水平位置,導電材料248不靠近導電材料218。如此一來,發生短路的風險大大降低。
在一些實施例中,開口236露出導電材料218的複數個部分,如第12W圖所示。形成在層間介電層234和蝕刻停止層232中的上部238露出硬遮罩層222的複數個經處理部分226,然後移除露出的複數個經處理部分226的部分,以形成複數個下部240以露出導電材料218的複數個部分。如第12X圖所示,導電材料239與導電材料218的複數個部分接觸。如此一來,複數個源極/汲極磊晶部件152(第10B圖)或源極/汲極磊晶部件154(第10C圖)電性連接到導電材料239。導電材料239可以是軌型(rail type)導電部件。
類似地,在一些實施例中,開口242露出複數個蓋層169,如第12Y圖所示。形成在層間介電層234和蝕刻停止層232中的上部244露出硬遮罩層230的複數個部分,然後移除硬露出的遮罩層230的複數個部分的部分,以形成複數個下部246以露出複數個蓋層169。如第12Z圖所示,導電材料248與複數個蓋層169接觸。如此一來,複數個閘電極層168電性連接到導電材料248。導電材料248可以是軌型導電部件。
本揭露在各種實施例中提供了一種半導體裝置結構及其形成方法。在一些實施例中,所述結構包括設置在源極/汲極磊晶部件152(或源極/汲極磊晶部件154)之上的硬遮罩層222的經處理部分226和設置在閘電極層168之上的硬遮罩層230。與硬遮罩層230相比,經處理部分226具有不同的蝕刻選擇性。此外,形成襯層214以替代接觸蝕刻停止層160的一部分,並且襯層214與接觸蝕刻停止層160相比具有較低的介電常數值。一些實施例可以取得效益。例如,具有不同蝕刻選擇性的經處理部分226和硬遮罩層230可以使短路最大程度地減少。此外,襯層214具有比接觸蝕刻停止層160低的介電常數值,並且可以減少寄生電容。
本案的一些實施方式提供了一種半導體裝置結構,包括:閘電極層,設置在基板上方;源極/汲極磊晶部件,設置在基板上方;第一硬遮罩層,設置在閘電極層上方;接觸蝕刻停止層,設置在源極/汲極磊晶部件上方,其中接觸蝕刻停止層設置在鄰近第一硬遮罩層處且與其接觸;第一層間介電層,設置在接觸蝕刻停止層上;第二硬遮罩層的第一經處理部分,設置在接觸蝕刻停止層及第一層間介電層上,其中第一硬遮罩層的頂表面與第二硬遮罩層的第一經處理部分的頂表面大抵共平面;以及蝕刻停止層,設置在第一硬遮罩層及第二硬遮罩層的第一經處理部分上。
在一些實施例中,半導體裝置結構,更包括第二層間介電層,設置在蝕刻停止層上。
在一些實施例中,半導體裝置結構,更包括第二硬遮罩層的第二經處理部分,設置在閘電極層上方,其中第一硬遮罩層設置在第二硬遮罩層的第二經處理部分上。
在一些實施例中,第二硬遮罩層的第一經處理部分具有第一厚度,且第二硬遮罩層的第二經處理部分具有實質上小於第一厚度的第二厚度。
在一些實施例中,半導體裝置結構,更包括第一導電材料,設置在第二層間介電層、蝕刻停止層、第一硬遮罩層以及第二硬遮罩層的第二經處理部分中。
在一些實施例中,半導體裝置結構,更包括蓋層,設置在閘電極層上,其中第二硬遮罩層的第二經處理部分設置在蓋層上。
在一些實施例中,半導體裝置結構,更包括閘極介電層,其中閘電極層設置在閘極介電層上,且第二硬遮罩層的第二經處理部分設置在閘極介電層上。
在一些實施例中,半導體裝置結構,更包括間隔物,設置在接觸蝕刻停止層與閘極介電層之間。
本案的一些實施方式提供了一種半導體裝置結構,包括:閘電極層,設置在基板上方;第一硬遮罩層,設置在閘電極層上方;第一源極/汲極磊晶部件,設置在基板上方;接觸蝕刻停止層,設置在第一源極/汲極磊晶部件上方,其中接觸蝕刻停止層與第一硬遮罩層接觸;層間介電層,設置在接觸蝕刻停止層上;第二硬遮罩層的第一經處理部分,設置在接觸蝕刻停止層及層間介電層上,其中第二硬遮罩層的第一經處理部分包括摻雜有Ar、 Ge、B、或As的介電材料,且第二硬遮罩層的第一經處理部分與第一硬遮罩層接觸;以及蝕刻停止層,設置在第一硬遮罩層及第二硬遮罩層的第一經處理部分上。
在一些實施例中,半導體裝置結構,更包括第二硬遮罩層的第二經處理部分,其中第一硬遮罩層設置在第二硬遮罩層的第二經處理部分上。
在一些實施例中,其中第二硬遮罩層的第一經處理部分具有第一厚度,且第二硬遮罩層的第二經處理部分具有實質上小於第一厚度的第二厚度。
在一些實施例中,半導體裝置結構,更包括第二源極/汲極磊晶部件,設置在基板上,其中第一源極/汲極磊晶部件設置在閘電極層的第一側上,且第二源極/汲極磊晶部件設置在閘電極層與第一側相反的第二側上。
在一些實施例中,半導體裝置結構,更包括襯層,設置在第二源極/汲極磊晶部件上方,其中襯層的介電常數值實質上小於接觸蝕刻停止層的介電常數值。
在一些實施例中,半導體裝置結構,更包括第一導電材料,設置在第二源極/汲極磊晶部件上方,且電性連接至第二源極/汲極磊晶部件。
在一些實施例中,半導體裝置結構,更包括膠層,設置在襯層與第一導電材料之間。
在一些實施例中,半導體裝置結構,更包括第二導電材料,電性連接至第一導電材料,其中第二導電材料與第一硬遮罩層接觸。
本案的一些實施方式提供了一種半導體裝置結構的形成方法,包括:在源極/汲極磊晶部件上方以及兩個犧牲閘電極層之間形成接觸蝕刻停止層;在接觸蝕刻停止層上形成第一層間介電層;以兩個閘電極層取代所述兩個犧牲閘電極層;移除第一層間介電層;移除接觸蝕刻停止層;在源極/汲極磊晶部件上方以及兩個閘電極層之間形成襯層;移除設置在源極/汲極磊晶部件上方的襯層的一部份;在襯層剩餘的部分之間形成導電材料;在所述兩個閘電極層、襯層以及導電材料上方形成第一硬遮罩層;執行處理製程,以形成第一硬遮罩層的多個第一經處理部分、第一硬遮罩層的多個第二經處理部分、以及第一硬遮罩層的多個未處理部分;移除第一硬遮罩層的未經處理部分;以及在閘電極層上方形成第二硬遮罩層,其中第二硬遮罩層與襯層、第一硬遮罩層的第一經處理部分、以及第一硬遮罩層的第二經處理部分接觸。
在一些實施例中,其中處理製程包括在第一硬遮罩層的多個部分中形成摻雜物的電漿處理製程。
在一些實施例中,其中電漿處理製程為定向(directional)電漿處理製程。
在一些實施例中,其中第一硬遮罩層為非保形層。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可更易理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解到,此類等效的製程和結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍之下,做各式各樣的改變、取代和替換。
100:半導體裝置結構 102:基板 102N:N型金屬氧化物半導體區 102P:P型金屬氧化物半導體區 103N:N型井區 103P:P型井區 104:第一半導體層 106:第二半導體層 108a, 108b:鰭片 109:頂表面 110a, 110b:鰭片 111:頂表面 112:絕緣結構 128:犧牲閘極堆疊 130:犧牲閘極介電層 132:犧牲閘電極層 134:遮罩結構 140:間隔物 149:錐形輪廓 152:源極/汲極磊晶部件 154:源極/汲極磊晶部件 160:接觸蝕刻停止層 162:第一層間介電層 166:閘極介電層 168, 168n, 168p:閘電極層 169:蓋層 177:替代閘極結構 179:遮罩層 180:接縫 200:半導體裝置結構 202:基板 204:第二層間介電層 206:硬遮罩層 208:開口 210:底部 212:上部 214:襯層 216:膠層 218:導電材料 220:開口 222:遮罩層 223:物質 224:未處理部分 225:頂表面 226:經處理部分 228:經處理部分 229:頂表面 230:硬遮罩層 232:蝕刻停止層 234:層間介電層 236:開口 238:上部 239:導電材料 240:下部 242:開口 244:上部 246:下部 248:導電材料 D1:深度 T1:厚度 T2:厚度 T3:厚度 T4:厚度 T5:厚度 T6:厚度 W1:寬度 W2:寬度 A-A:剖線 B-B:剖線 C-C:剖線
以下將配合所附圖式詳述本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。 第1圖-第4圖是根據本發明的一些實施例,繪示出半導體裝置結構之透視圖。 第5A圖-第10A圖是根據本發明的一些實施例,繪示出沿剖線A-A所截取的第4圖的半導體裝置結構的各製造階段的剖面圖。 第5B圖-第10B圖是根據本發明的一些實施例,繪示出沿剖線B-B所截取的第4圖的半導體裝置結構的各製造階段的剖面圖。 第5C圖-第10C圖是根據本發明的一些實施例,繪示出沿剖線C-C所截取的第4圖的半導體裝置結構的各製造階段的剖面圖。 第11圖是根據本發明的一些實施例,繪示出半導體裝置結構的各製造階段之一的剖面圖。 第12A圖-第12Z圖是根據本發明的一些實施例,繪示出第11圖的半導體裝置結構的各製造階段的剖面圖。
140:間隔物
160:接觸蝕刻停止層
162:第一層間介電層
166:閘極介電層
168:閘電極層
169:蓋層
200:半導體裝置結構
202:基板
214:襯層
216:膠層
218:導電材料
226:經處理部分
228:經處理部分
230:硬遮罩層
232:蝕刻停止層
234:層間介電層
248:導電材料

Claims (10)

  1. 一種半導體裝置結構,包括:一閘電極層,設置在一基板上方;一源極/汲極磊晶部件,設置在該基板上方;一第一硬遮罩層,設置在該閘電極層上方;一接觸蝕刻停止層,設置在該源極/汲極磊晶部件上方,其中該接觸蝕刻停止層設置在鄰近該第一硬遮罩層處且與其接觸;一第一層間介電層,設置在該接觸蝕刻停止層上;一第二硬遮罩層的一第一經處理部分,設置在該接觸蝕刻停止層及該第一層間介電層上,其中該第一硬遮罩層的一頂表面與該第二硬遮罩層的該第一經處理部分的一頂表面實質共平面;以及一蝕刻停止層,設置在該第一硬遮罩層及該第二硬遮罩層的該第一經處理部分上。
  2. 如請求項1之半導體裝置結構,更包括一第二層間介電層,設置在該蝕刻停止層上。
  3. 如請求項2之半導體裝置結構,更包括該第二硬遮罩層的一第二經處理部分,設置在該閘電極層上方,其中該第一硬遮罩層設置在該第二硬遮罩層的該第二經處理部分上。
  4. 如請求項3之半導體裝置結構,其中該第二硬遮罩層的該第一經處理部分具有一第一厚度,且該第二硬遮罩層的該第二經處理部分具有實質上小於該第一厚度的一第二厚度。
  5. 一種半導體裝置結構,包括: 一閘電極層,設置在一基板上方;一第一硬遮罩層,設置在該閘電極層上方;一第一源極/汲極磊晶部件,設置在該基板上方;一接觸蝕刻停止層,設置在該第一源極/汲極磊晶部件上方,其中該接觸蝕刻停止層與該第一硬遮罩層接觸;一層間介電層,設置在該接觸蝕刻停止層上;一第二硬遮罩層的一第一經處理部分,設置在該接觸蝕刻停止層及該層間介電層上,其中該第二硬遮罩層的該第一經處理部分包括摻雜有氬(Ar)、鍺(Ge)、硼(B)或砷(As)的一介電材料,且該第二硬遮罩層的該第一經處理部分與該第一硬遮罩層接觸;以及一蝕刻停止層,設置在該第一硬遮罩層及該第二硬遮罩層的該第一經處理部分上。
  6. 如請求項5之半導體裝置結構,更包括該第二硬遮罩層的一第二經處理部分,其中該第一硬遮罩層設置在該第二硬遮罩層的該第二經處理部分上。
  7. 如請求項5之半導體裝置結構,更包括一第二源極/汲極磊晶部件,設置在該基板上,其中該第一源極/汲極磊晶部件設置在該閘電極層的一第一側上,且該第二源極/汲極磊晶部件設置在該閘電極層與該第一側相反的一第二側上。
  8. 一種半導體裝置結構的形成方法,包括:在一源極/汲極磊晶部件上方以及兩個犧牲閘電極層之間形成一接觸蝕刻停止層; 在該接觸蝕刻停止層上形成一第一層間介電層;以兩個閘電極層取代該兩個犧牲閘電極層;移除該第一層間介電層;移除該接觸蝕刻停止層;在該源極/汲極磊晶部件上方以及兩個閘電極層之間形成一襯層;移除設置在該源極/汲極磊晶部件上方的該襯層的一部份;在該襯層剩餘的部分之間形成一導電材料;在該兩個閘電極層、該襯層以及該導電材料上方形成一第一硬遮罩層;執行一處理製程,以形成該第一硬遮罩層的多個第一經處理部分、該第一硬遮罩層的多個第二經處理部分、以及該第一硬遮罩層的多個未處理部分;移除該第一硬遮罩層的該些未經處理部分;以及在該兩個閘電極層上方形成一第二硬遮罩層,其中該第二硬遮罩層與該襯層、該第一硬遮罩層的該些第一經處理部分、以及該第一硬遮罩層的該些第二經處理部分接觸。
  9. 如請求項8之半導體裝置結構的形成方法,其中該處理製程包括在該第一硬遮罩層的多個部分中形成摻雜物的一電漿處理製程。
  10. 如請求項8之半導體裝置結構的形成方法,其中該第一硬遮罩層為非保形層。
TW112100353A 2022-03-21 2023-01-05 半導體裝置結構及其形成方法 TWI835507B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/700,357 US20230317805A1 (en) 2022-03-21 2022-03-21 Semiconductor device structure and methods of forming the same
US17/700,357 2022-03-21

Publications (2)

Publication Number Publication Date
TW202339114A TW202339114A (zh) 2023-10-01
TWI835507B true TWI835507B (zh) 2024-03-11

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200105609A1 (en) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with fin end spacer plug and method of manufacturing the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200105609A1 (en) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with fin end spacer plug and method of manufacturing the same

Similar Documents

Publication Publication Date Title
US11594619B2 (en) Devices including gate spacer with gap or void and methods of forming the same
US11990375B2 (en) Semiconductor Fin cutting process and structures formed thereby
US10943901B2 (en) Semiconductor device and method
KR102058219B1 (ko) FinFET 구조체 및 이를 형성하는 방법
US11450772B2 (en) Fin field-effect transistor device and method
US11569125B2 (en) Etch profile control of interconnect structures
US11715761B2 (en) Semiconductor device with air gap on gate structure and method for forming the same
US11967622B2 (en) Inter block for recessed contacts and methods forming same
TWI806103B (zh) 形成半導體裝置的方法
TWI835507B (zh) 半導體裝置結構及其形成方法
TW202339114A (zh) 半導體裝置結構及其形成方法
TW202143300A (zh) 半導體裝置及其製造方法
TWI790044B (zh) 形成半導體元件結構的方法
US11581411B2 (en) Semiconductor device structure and methods of forming the same
US11869892B2 (en) Semiconductor device structure and methods of forming the same
US20240038858A1 (en) Semiconductor device structure and methods of forming the same
TWI836944B (zh) 半導體元件結構及其形成方法
US11710774B2 (en) Method for forming epitaxial source/drain features and semiconductor devices fabricated thereof
CN217933788U (zh) 集成电路结构
US20230386914A1 (en) Methods of forming semiconductor device structures
TW202414684A (zh) 半導體裝置結構的形成方法
TW202331790A (zh) 半導體裝置及其形成方法
TW202125749A (zh) 半導體結構及其形成方法