TWI829858B - 具有環繞式閘極和環繞式源極和汲極接點的平面電晶體 - Google Patents

具有環繞式閘極和環繞式源極和汲極接點的平面電晶體 Download PDF

Info

Publication number
TWI829858B
TWI829858B TW109102761A TW109102761A TWI829858B TW I829858 B TWI829858 B TW I829858B TW 109102761 A TW109102761 A TW 109102761A TW 109102761 A TW109102761 A TW 109102761A TW I829858 B TWI829858 B TW I829858B
Authority
TW
Taiwan
Prior art keywords
stack
iii
gate
channel stack
channel
Prior art date
Application number
TW109102761A
Other languages
English (en)
Other versions
TW202101764A (zh
Inventor
尼迪 尼迪
瑞豪 瑞瑪斯維米
漢威 陳
馬可 拉多撒傑
山薩塔克 達斯古塔
約翰 羅德
保羅 費雪
瓦力德 賀菲斯
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW202101764A publication Critical patent/TW202101764A/zh
Application granted granted Critical
Publication of TWI829858B publication Critical patent/TWI829858B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28264Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being a III-V compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8252Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using III-V technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • H01L23/49844Geometry or layout for devices being provided for in H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • H01L29/205Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • H01L29/7787Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16153Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate
    • H01L2224/16155Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate the item being non-metallic, e.g. being an insulating substrate with or without metallisation
    • H01L2224/16157Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate the item being non-metallic, e.g. being an insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/1033Gallium nitride [GaN]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13064High Electron Mobility Transistor [HEMT, HFET [heterostructure FET], MODFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

本文揭露包括具有環繞式閘極及/或一或多個環繞源極/汲極(S/D)接點的平面III-N族電晶體的IC結構、封裝和裝置。一示例的IC結構包括支撐結構(例如,基板)和平面III-N族電晶體。所述電晶體包括:III-N族半導體材料的通道堆疊和極化材料,設置在所述支撐結構上方,一對S/D區域設置在通道堆疊中,及閘極介電材料的閘極堆疊和閘極電極材料設置在S/D區域之間的通道堆疊的一部分上方,其中閘極堆疊至少部分地環繞通道堆疊的上部。

Description

具有環繞式閘極和環繞式源極和汲極接點的平面電晶體
本發明關於一種IC結構及製造IC結構的方法,尤其是關於用於射頻的IC結構及製造用於射頻的IC結構的方法。
可用於高頻及/或高壓應用的固態裝置在現代半導體技術中非常重要。例如,射頻(RF, radio frequency)積體電路(RFIC)和電源管理積體電路(PMIC, power management integrated circuit)可能是系統晶片(SoC, system on a chip)實施中的關鍵功能方塊。此類SoC實施可以在諸如智慧手機、平板電腦、筆記型電腦、連網小筆電等行動計算平台中找到。在此類實施中,RFIC和PMIC和RFIC是功率效率和波形因數(form factor)的重要因素,且比邏輯和記憶體電路同等或更重要。部分由於其大的能隙(band gap)和高遷移率(mobility),基於III-N族材料的電晶體,例如基於氮化鎵(GaN)的電晶體,對於高頻和高壓應用可能是特別有利的。
總覽
如上面提到的,III-N族材料電晶體具有使其對於某些應用特別有利的性質。例如,由於GaN的能隙(約3.4電子伏特(eV, electronvolt))比矽(Si;能隙約1.1eV)大,因此與類似尺寸的Si電晶體相比,GaN電晶體在崩潰之前可承受更大的電場(例如,由於施加較大的電壓(Vdd)至汲極)。此外,GaN電晶體可以有利地採用2D電子氣(2DEG, 2D electron gas)(即,一組電子,一電子氣,其可以自由地在二維上行動,但是緊密地限制在​三維上,例如2D片電荷(sheet charge))作為其傳輸通道,無需使用雜質摻雜劑即可實施高遷移率。例如,2D片電荷可以形成在陡接(abrupt)異質結(heterojunction)介面上,該異質結介面是透過在GaN上沉積(例如,磊晶沉積(epitaxial deposition))與GaN相比具有更大的自發極化和壓電極化的材料的電荷感應膜(這種膜通常被稱為“極化層(polarization layer)”)。在諸如GaN的III-N族材料上提供極化層允許形成非常高的電荷密度,而無需有意地添加雜質摻雜劑,這又允許高遷移率。
儘管有這些優點,但是與III-N族電晶體相關的一些挑戰阻礙它們的大尺寸實施。
這樣的挑戰之一在於III-N族電晶體的閘極控制。為獲得所需的性能,III-N族電晶體的閘極長度正在積極地按比例縮小(減小)。結果,短通道效應(SCE, short channel effect)開始發揮作用,大大降低電晶體的性能。對於要求閘極電壓始終為正的增強模式裝置,該問題可能尤其嚴重。SCE導致汲極誘導能障降低(DIBL, drain induced barrier lowering),這可能導致電晶體的臨限值電壓在足夠高的汲極偏壓下變為負值,從而導致閘極電壓為零時的漏電流(leakage current)。
與III-N族電晶體相關的另一挑戰在於S/D接點(即,S/D電極材料)和S/D區域(例如,電晶體的通道材料的高度摻雜區域)之間的接點電阻。由於寄生效應(parasitic effect)對於短通道電晶體非常重要,因此始終需要改善接點電阻。
本文揭露包括具有環繞式閘極及/或一或多個環繞式S/D接點的平面III-N族電晶體的IC結構、封裝和裝置組件。一個示例的IC結構包括支撐結構/材料,其可以是,例如,基板、晶粒或晶片,且進一步包括的平面III-N族電晶體。III-N電晶體包括設置在支撐結構上方的III-N族半導體材料和極化材料的通道堆疊(channel stack)、設置在該通道堆疊中的一對S/D區域、以及設置在S/D區域之間的通道堆疊的一部分上方的閘極介電材料及閘極電極材料的閘極堆疊,其中閘極堆疊至少部分地環繞在通道堆疊的上部。提供至少部分地環繞通道堆疊的閘極堆疊允許增加閘極堆疊與通道堆疊的通道區域(即,通道堆疊的III-N族半導體材料中的區域,其中導電通道在III-N族電晶體的操作過程中形成)之間的接點面積,可以有利地改善平面III-N族電晶體的邊緣處的閘極控制。提供用於平面電晶體的環繞式閘極(即,至少部分環繞電晶體的通道堆疊的上部的閘極堆疊)可以實施所需的性能,而不必訴諸與非平面電晶體相關的複雜整合(integration)方案。此外,由於環繞式閘極可能類似於非平面電晶體使用的三維閘極,例如FinFET(基於鰭的場效電晶體(Fin-based Field Effect Transistors)但在平面電晶體上,因此可以利用整個III-N族通道堆疊(可以做成島狀)的外圍以傳導電流並控制通道堆疊邊緣的短通道損耗。提供環繞式S/D接點材料作為到平面電晶體的S/D區域的一或二個接點(例如,S/D接點材料至少部分地環繞在電晶體的一或兩個S/D區域的上部)可以增加S/D接點和S/D區域之間的接點面積,這可以有利地導致改善接點電阻。
雖然在給定的平面III-N族電晶體中實施環繞式閘極和兩個環繞式S/D接點都可能有優勢,但在各種實施例中,平面III-N族電晶體可以實施1)本文所述的環繞式閘極,但非環繞式S/D接點;2)本文所述的環繞式S/D接點中的一或多個,但非環繞式閘極,或3)如本文所述的環繞式閘極和如本文所述的環繞式S/D接點,但是僅用於S/D區域之一。
如本文中所使用的,用語“III-N族半導體材料”(在下文中,也簡稱為“III-N族材料”)是指具有至少一個元素來自週期表第III族(例如,Al、Ga、In)第一子晶格(sub-lattice)和氮(N)的第二子晶格的化合物半導體(compound)材料。如本文中所使用的,用語“III-N族電晶體”是指一種裝置,包括III-N族材料(其可以包括一或多種不同III-N族材料,例如,彼此堆疊的多種不同的III-N族材料)作為活性材料,其中在電晶體工作期間形成導電通道。
雖然本文描述的各種實施例可以將二維電荷載子層稱為“2DEG”層,但是本文描述的實施例也適用於其中可以形成2D電洞氣(2DHG, 2D hole gas)而不是2DEG的系統和材料組合。因此,除非另有說明,否則引用2DEG的實施例等效地適用於實施2DHG,所有這些實施例均在本揭露的範圍內。此外,儘管本文描述的各種實施例關於平面III-N族電晶體,但是它們同樣適用於使用除III-N族材料以外的半導體材料作為活性材料的電晶體。
本揭露的每個結構、包裝、方法、裝置和系統可以具有幾個創新態樣,其中沒有一個單獨負責本文揭露的所有期望屬性。在以下描述和附圖中闡述了本說明書中描述的標的的一或多種實施的細節。
在以下詳細描述中,可以使用本領域技術人員通常採用的用語來描述說明性實施方式的各個態樣,以將其工作的實質傳達給本領域其他技術人員。例如,用語“連接”是指所連接的事物之間的直接電或磁連接,而沒有任何中間裝置,而用語“耦合”是指所連接的事物之間的直接電或磁連接或通過一或多個被動或主動中間裝置的間接連接。用語“電路”是指一或多個被動及/或主動部件(component),其被設置為彼此協作以提供期望的功能。如果使用用語“氧化物”、“碳化物”、“氮化物”等是指分別包含氧、碳、氮等的化合物。類似地,命名各種化合物的術語是指在化合物中具有各個元素的任意組合的材料(例如,“氮化鎵”或“GaN”是指包含鎵和氮的材料,“鋁銦氮化鎵(aluminum indium gallium nitride)”或“AlInGaN”是指包含鋁、銦、鎵和氮的材料,依此類推)。此外,用語“高k介電質(high-k dielectric)”是指具有比氧化矽更高的介電常數(k)的材料,而用語“低k介電質”是指具有比氧化矽更低的k的材料。用語“基本上”、“接近”、“近似”、“附近”和“約”通常是基於如本文所述或本領域已知的特定值的上下文,指在目標值的+/-20%以內,較佳地在+/-10%以內。類似地,指示各種元件的取向的用語,例如,“共面(coplanar)”、“垂直(perpendicular)”、“正交(orthogonal)”、“平行(parallel)”或元件之間的任何其他角度,通常是指在基於如本文所述或本領域已知的特定值的上下文的目標值的+/-5-20%之內。
如本文所使用的,諸如“在...上方(over)”、“在...下方(under)”、“在...之間(between)”和“在...上(on)”的用語是指一種材料層或組件相對於其他層或組件的相對位置。例如,設置在另一層之上方或之下方的一層可以與另一層直接接觸,或者可以具有一或多個中間層。另外,設置在兩個層之間的一個層中可以是直接與兩層中的一或二個的接觸,或者可以具有一或多個中間層。相反,描述為在第二層“上”的第一層是指與該第二層直接接觸的層。類似地,除非另有明確說明,否則設置在兩個特徵之間的一個特徵可以與相鄰特徵直接接觸或者可以具有一或多個中間層。
出於本揭露的目的,片語“A及/或B”是指(A)、(B)或(A及B)。為本揭露的目的,片語“A、B及/或C”是指(A)、(B)、(C)、(A及B)、(A及C)、(B及C)或(A、B及C)。當參考測量範圍使用時,用語“在...之間”包括測量範圍的末端。如本文所用,符號“A/B/C”是指(A)、(B)及/或(C)。
使用片語“在一實施例中”或“在多實施例中”的描述,其可以各自指相同或不同實施例中的一或多個。此外,關於本揭露的實施例使用的用語“包含”、“包括”、“具有”等是同義的。本揭露可以使用基於透視的描述,例如“上方”、“下方”、“頂部”、“底部”和“側面”;這樣的描述用於促進討論且不旨在限制所揭露的實施例的應用。附圖不一定按比例繪製。除非另有說明,否則使用序數形容詞“第一”、“第二”和“第三”等來描述一個共同的對象,僅表示要引用相同對象的不同實例,而無意於暗示這樣描述的對象必須在時間、空間、等級或任何其他方式上以給定的順序。
在下面的詳細描述中,參考形成其一部分的附圖,且在附圖中通過圖示的方式示出可以實踐的實施例。應當理解,在不脫離本揭露的範圍的情況下,可以利用其他實施例,且可以進行結構或邏輯上的改變。因此,下面的詳細描述將不具有限制意義。為方便起見,如果存在用不同字母指定的附圖的集合,例如,圖5A-5B,這樣的集合可在本文中被稱為無字母,例如,如“圖5”。在附圖中,相同的附圖標號指所示的相同或相似的元件/材料,因此,除非另有說明,否則在其中一個附圖的上下文中提供的具有給定附圖標號的元件/材料的解釋適用於其他可以示出具有相同附圖標號的元件/材料的附圖。
在附圖中,本文中描述的各種結構、裝置和組件的示例性結構的一些示意圖可以以精確的直角和直線示出,但是應當理解,這種示意圖不能反應真實製程限制,其當使用例如掃描電子顯微鏡(SEM, scanning electron microscopy )影像或透射電子顯微鏡(TEM, transmission electron microscope)影像檢查本文所述的任何結構時,可能導致特徵看起來不那麼“理想”。在這種真實結構的影像中,可能的加工缺陷也可能是可見的,例如,材料的筆直邊緣不夠完美、錐形通孔(via)或其他開口、拐角(corner)無意的倒圓(inadvertent rounding)或不同材料層的厚度變化、偶然的螺釘、邊緣或晶體區域內的複合錯位(dislocation)及/或單個原子或原子簇的偶然錯位缺陷。可能存在此處未列出但在裝置製造領域中很常見的其他缺陷。
可以以最有助於理解所要求保護標的的方式將各種操作依次描述為多個離散動作或操作。但是,描述的順序不應解釋為暗示這些操作必須與順序相關。特別是,這些操作可能無法按顯示順序執行。可以以與所描述的實施例不同的順序來執行所描述的操作。在附加實施例中,可以執行各種附加操作,及/或可以省略所描述的操作。
可以在與IC相關及/和各種此類組件之間的一或多個組件中實施各種IC結構,各種IC結構包括至少一個具有環繞式閘極及/或一或多個環繞式S/D接點的平面III-N族電晶體。在各種實施例中,與IC相關聯的組件包括例如電晶體、二極體、電源、電阻、電容、電感、感測器、收發器、發射器、接收器、天線等。與IC相關聯的組件可以包括安裝在IC上,作為IC的組成部分提供的那些組件,或連接到IC的那些組件。IC可以是類比的也可以是數位的,或者可以包括類比和數位電路的組合,且可以根據與IC相關的組件在許多應用中使用,例如微處理器、光電、邏輯方塊、音訊放大器等。在一些實施例中,本文所述的IC結構可以被包括在RFIC中,該RFIC可以例如被包括在與RF接收器、RF發射器或RF收發器或例如在基站(BS)或使用者設備(UE)裝置內的電信中所使用的任何其他RF裝置的IC相關聯的任何組件中。此類組件可能包括但不限於RF開關、功率放大器、低雜訊放大器、RF濾波器(包括RF濾波器陣列或RF濾波器組(filter bank))、升頻轉換器(upconverter)、降頻轉換器(downconverter)和雙工器(duplexer)。在一些實施例中,如本文所述的IC結構可以用作晶片組的一部分,以用於在電腦中執行一或多個相關功能。環繞式閘極和 S/D 接點方案為一 III-N 族電晶體
根據本揭露的一些實施例,圖1A-1C提供不同的剖面側視圖示出的IC結構100,其包括平面III-N族電晶體102,平面III-N族電晶體102具有環繞式閘極和環繞式S/D接點。圖1A的剖面側視圖是在圖1A-1C的示例坐標系x-y-z的x-z平面中的視圖(在圖1A-1C的底部示出的坐標系),具有沿著閘極長度的剖面(例如,沿著圖1B和1C所示的平面做為平面AA)。圖1B的剖面側視圖是在圖1A-1C的示例坐標系的y-z平面中的視圖,具有跨過閘極堆疊128的一個示例部分的剖面(例如,沿著圖1A中所示的平面做為平面BB)。圖1C的剖面側視圖是在圖1A-1C的示例坐標系的y-z平面中的視圖,具有跨過S/D接點126的一個示例部分的剖面(例如,沿著圖1A中所示的平面做為平面CC)。在圖1A-1C的底部的虛線框中提供的圖例示出用於指示圖1A-1C中所示的某些元件的某些類別的材料的顏色/圖案,使附圖不會被太多的參考標號所打亂。例如,圖1A-1C使用不同的顏色/圖案來識別支撐結構108、絕緣體110、III-N族材料112、極化材料114、III-N族電晶體102的S/D區域116、使用以實現各種電晶體端子的接點的導電材料118、閘極介電材料120和閘極電極材料122。
支撐結構108可以是可以在其上實施如本文所述的平面電晶體的任何合適的結構,例如,基板、晶粒、或晶片。在一些實施例中,支撐結構108可以包括諸如矽的半導體。在其他實施方式中,支撐結構108可包括/為可替代材料,其可與矽結合或可不與矽結合,包括但不限於鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、砷化銦鎵、銻化鎵或III-N族或IV族材料的其他組合。
在一些實施例中,支撐結構108可以包括陶瓷材料或任何其他非半導體材料。例如,在一些實施例中,支撐結構108可以包括玻璃、有機材料和無機材料的組合、具有不同材料的嵌入部分等。儘管這裡描述可以形成支撐結構108的材料的一些示例,可以用作可以在其上建立至少一III-N族電晶體的基礎的任何材料都落入本揭露的精神和範圍內。
在一些實施例中,絕緣體110可以設置在IC結構100的各個部分中,例如,包圍III-N族電晶體102的各個部分,如圖1所示。絕緣體110的示例可以包括氧化矽、氮化矽、氧化鋁、氧氮化矽或半導體製造中使用的任何其他合適的層間介電質(ILD, interlayer dielectric)材料。儘管在圖1中未具體示出,在一些實施例中,可以在支撐結構108和III-N族材料112之間提供絕緣層,例如絕緣體110的層。這樣的絕緣層可以例如包括氧化物隔離層,且可以用於將支撐結構108的半導體材料與III-N族電晶體102的其他區域或III-N族電晶體102周圍的其他區域電隔離。在支撐結構108上方和III-N族電晶體102下方提供這樣的絕緣層可以幫助減輕不希望的導電路徑將通過支撐結構108形成的可能性(例如,III-N族電晶體102的S/D區域116之間的導電路徑)。
通常,可以在IC結構100的各個部分中提供諸如絕緣體110之類的絕緣材料。在一些實施例中,絕緣體110可以包括包圍III-N族電晶體102的至少一部分的連續絕緣體材料。在各種實施例中,IC結構100中的絕緣材料可以包括IC結構100的不同部分中的絕緣材料的不同材料組成,例如,在環繞式閘極堆疊128下方使用的絕緣體110的材料組成(例如,在圖1B中測量尺寸136的平面下方)可能不同於在環繞式閘極堆疊128底部上方使用的絕緣體110的材料成分(例如,在圖1B中測量尺寸136的平面上方)。
III-N族電晶體102的通道堆疊可被認為包括III-N族材料112和極化材料114。
在一些實施例中,III-N族材料112可以由化合物半導體形成,該化合物半導體具有周期表的III族的至少一個元素(例如,Al、Ga、In)中的第一子晶格,以及氮(N)的第二子晶格。在一些實施例中,III-N族材料112可以是二元、三元或四元III-N族化合物半導體,其是元素週期表的III族(例如,硼,鋁,銦,鎵)的兩個、三個或甚至四個元素的合金和氮。
一般來說,在III-N族材料112可以由各種III-N族半導體材料系統組成,III-N族半導體材料系統包括,例如,N型或P型III-N族材料系統,根據III-N族電晶體102是否是N型或P型電晶體。對於一些N型電晶體的實施例,所述III-N族材料112可有利地為III-N族材料具有高電子遷移率,這樣,但不限於氮化鎵。對於一些這樣的實施例,所述III-N族材料112可以是三元III-N族合金,如InGaN或四元III-N族合金,如由AlInGaN。
在一些實施例中,所述III-N族材料112可以由高度結晶半導體形成,例如,基本上由單晶半導體形成(可能具有限量的缺陷,例如錯位)。III-N族材料112的品質(例如,就缺陷或結晶度而言)可以高於III-N族電晶體102或其他III-N族材料附近的的品質,因為在III-N族電晶體102的操作期間,將在III-N族材料112中形成電晶體通道。在操作期間在其中形成III-N族電晶體102的電晶體通道的III-N族材料112的一部分可以被稱為III-N族電晶體102的“III-N族通道材料/區域”。
在一些實施例中,所述III-N族材料112可以是本徵(intrinsic)III-N族半導體材料或合金,而不是有意地摻雜有任何電活性雜質。在替代實施例中,III-N族材料112內可以存在一或多個標稱雜質(impurity)摻雜(dopant)水準,例如以設置III-N族電晶體102的臨限值電壓Vt,或以提供環型佈植(halo pocket impants)。然而,在這樣的摻雜雜質的實施例中,III-N族材料112內的雜質摻雜水準可以相對較低,例如低於每立方厘米(cm-3 )1015 摻雜或低於1013 cm-3
在各種實施例中,III-N族材料112的厚度可以在約5至2000奈米之間,包括在其中所有的值和範圍,例如,在約50至1000奈米之間,或者在約10至50奈米之間。除非另有說明,否則本文描述的所有厚度均指的是在垂直於支撐結構108的方向上測量的尺寸(即,沿圖1所示示例坐標系的z軸測量的尺寸)。
現在轉到III-N族電晶體102的通道堆疊的極化材料114,通常,極化材料114可以是材料的電荷感應膜層,其具有較緊接在其下方的大量III-N層材料(例如III-N族材料112)更大的自發(spontaneous)極化和/或壓電(piezoelectric)極化,以III-N族材料112產生異質結(即,在具有不相等能隙的半導體的兩層或區域之間發生的介面),及在III-N電晶體102的操作期間,導致在該介面處或附近(例如,緊接在其下方)形成2 DEG。圖1A和1B中所示的水平虛線示意性地示出可以在III-N族電晶體102的操作期間在III-N族材料112的上部中,緊接在極化材料114下方的部分中形成的2DEG。在各種實施例中,極化材料114可以包括諸如AlN,InAlN,AlGaN或Alx Iny Ga1-x-y N的材料,且可以具有約1至100奈米的厚度(圖1A中所示的尺寸134),包括在其中所有的值和範圍,例如,約5至50奈米或約5至30奈米。閘極堆疊128可以設置在極化材料114中的凹部中,在這種情況下,在閘極堆疊128和III-N族材料112之間的極化材料114的厚度(圖1B中所示的尺寸138)可以在約0.1至50奈米之間,例如約1至20奈米,或約1至10奈米。
也如圖1所示,III-N族電晶體102可包括兩個S/D區域116,其中一個S/D區域116的是源極區域和另一個是汲極區域,其中,“源極”和“汲極”標示可以互換。眾所周知,在電晶體中,S/D區域(有時也可互換地稱為“擴散區域”)是可以為電晶體(例如,III-N族電晶體102)的電晶體通道(即,III-N族材料112中的導電通道)提供電荷載子的區域。在一些實施例中,S/D區域116可以包括摻雜的半導體材料,例如高度摻雜的InGaN。通常,S/D區域可以被高度摻雜,例如,用至少濃度高於1∙1020 cm-3 的摻雜劑,以有利地以III-N族電晶體102(例如,圖1中所示的S/D接點126,其可以由導電材料118製成)的各自S/D接點(或電極)形成歐姆接點,儘管在一些實施方式中這些區域也可以具有較低的摻雜劑濃度。不管確切的摻雜水準如何,S/D區域116可以是具有比在源極區域(例如,圖1中的左側所示的S/D區域116)與汲極區域(例如,圖1中右側所示的S/D區域116)之間的其他區域中的摻雜濃度高的摻雜濃度的區域,例如高於III-N族材料112中。因此,有時將S/D區域稱為高度摻雜(HD, highly doped)S/D區域。在另外的實施例中,可以使用一或多層金屬及/或金屬合金來在電晶體102的通道堆疊中形成S/D區域116。
S/D接點126的導電材料118可以包括任何合適的導電材料、合金或多種導電材料的堆疊。在一些實施例中,導電材料118可以包括一或多種金屬或金屬合金,金屬如銅、釕(ruthenium)、鈀(palladium)、鉑(platinum)、鈷(cobalt)、鎳(nickel)、鉿(hafnium)、鋯(zirconium)、鈦(titanium)、鉭(tantalum)和鋁(aluminum)、氮化鉭(tantalum nitride)、氮化鈦(titanium nitride)、鎢(tungsten)、摻雜的矽、摻雜的鍺或合金和其混合物。在一些實施例中,導電材料118可包括一或多個導電合金、氧化物、或一或多種金屬的碳化物。在一些實施例中,導電材料118可以包括摻雜的半導體,例如矽或摻雜有N型摻雜劑或P型摻雜劑的另一種半導體。金屬可以提供更高的電導率,而摻雜的半導體可能更易於在製造過程中進行圖案化。在一些實施例中,S/D接點126的導電材料118的厚度可以是約2奈米至1000奈米之間,例如,約2奈米至100奈米之間。圖1還示出導電材料118也可以用於形成至III-N族電晶體102的閘極堆疊128的電接點。在一般情況下,導電材料118也可以用於形成至III-N族電晶體102的任何電晶體端子的電接點,儘管在各種實施例中,當用於實現至III-N族電晶體102的不同端子的接點時,導電材料118的確切材料組成可以不同。
圖1還示出設置在III-N族材料112的通道部分上方的閘極堆疊128。閘極堆疊128可包括一層閘極介電材料120,以及閘極電極材料122。
該閘極介電材料120可以是高k介電材料,例如,材料包括元素,如鉿(hafnium)、矽、氧、鈦、鉭、鑭(lanthanum)、鋁、鋯(zirconium)、鋇(barium)、鍶(strontium)、釔(yttrium)、鉛(lead)、鈧(scandium)、鈮(niobium)和鋅(zinc)。可以在閘極介電材料120中使用的高k材料的示例可以包括但不限於氧化鉿(hafnium oxide)、氧化矽鉿(hafnium silicon oxide)、氧化鑭(lanthanum oxide)、氧化鋁鑭(lanthanum aluminum oxide)、氧化鋯(zirconium oxide)、氧化矽鋯(zirconium silicon oxide)、氧化鉭(tantalum oxide)、氧化鈦(titanium oxide)、鋇鍶鈦氧化物(barium strontium titanium oxide)、鋇鈦氧化物(barium titanium oxide)、鍶鈦氧化物(strontium titanium oxide)、氧化釔(yttrium oxide)、氧化鋁(aluminum oxide)、氧化鉭(tantalum oxide)、氧化矽鉭(tantalum silicon oxide)、氧化鉭鈧鉛(lead scandium tantalum oxide)和鈮酸鋅鉛(lead zinc niobate)。在一些實施例中,可以在III-N族電晶體102的製造期間在閘極介電材料120上執行退火製程(annealing process)以改善閘極介電材料120的品質。在一些實施例中,閘極介電材料120的厚度可介於約0.5奈米至3奈米,包括在其中所有的值和範圍,例如,在約1至3奈米之間,或在約1至2奈米之間。
根據III-N族電晶體102是P型金屬氧化物半導體(PMOS)電晶體或N型金屬氧化物半導體(NMOS)電晶體(例如,根據所需的臨限值電壓,當電晶體102是PMOS電晶體時P型功函數金屬(work function metal)可以作為閘極電極材料122,且當III-N族電晶體102是NMOS電晶體時N型功函數金屬可以作為閘極電極材料122),閘極電極材料122可以包括至少一種P型功函數金屬或N型功函數金屬。對於PMOS電晶體,可以用於閘極電極材料122的金屬可以包括,但不限於釕、鈀、鉑、鈷、鎳、氮化鈦、和導電性金屬氧化物(例如,氧化釕)。對於NMOS電晶體,可以用於閘極電極材料122的金屬包括但不限於鉿、鋯、鈦、鉭、鋁、這些金屬的合金、這些金屬的碳化物(例如,碳化鉿、鋯碳化物、碳化鈦、碳化鉭和碳化鋁)以及這些金屬的氮化物(例如氮化鉭和氮化鋁鉭)。在一些實施例中,閘極電極材料122可以包括兩或多個金屬層的堆疊,其中一或多個金屬層是功函數金屬層,且至少一個金屬層是填充金屬層(fill metal layer)。
為其他目的,可以在閘極電極材料122旁邊包括其他層,例如,用作擴散阻擋層或/及黏附層,在圖1中未具體示出。此外,在一些實施例中,閘極介電材料120和閘極電極材料122可以由閘極隔離件(spacer)(在圖中1未示出)包圍,閘極隔離件被配置為在不同電晶體的閘極之間提供隔離。這樣的閘極隔離件可以由低k介電材料(即具有比二氧化矽低的介電常數(k)的介電材料,二氧化矽其具有3.9的介電常數)。可以用作介電閘極隔離件的低k材料的示例可以包括但不限於氟摻雜的二氧化矽、碳摻雜的二氧化矽、旋塗有機聚合物介電質(spin-on organic polymeric dielectric),例如聚醯亞胺(polyimide)、聚降冰片烯(polynorbornenes)、苯並環丁烯(benzocyclobutene)、和聚四氟乙烯(PTFE, polytetrafluoroethylene)、或旋塗矽-基聚合物介電體(spin-on silicon-based polymeric dielectric),例如氫倍半矽氧烷(HSQ, hydrogen silsesquioxane)和甲基倍半矽氧烷(MSQ, methylsilsesquioxane)。可以用作介電質閘極間隔件的低k材料的其他示例包括各種多孔介電材料,例如多孔二氧化矽或摻雜碳的多孔二氧化矽,其中在介電質中會產生大的空隙或孔,以降低層的整體介電常數,因為空隙的介電常數接近1。
圖1中所示的III-N電晶體102是平面電晶體,電晶體的通道堆疊被實現為支撐結構108上方的島。在一些實施例中,在垂直於閘極長度(圖1A所示的尺寸140)的方向上的通道堆疊的寬度(圖1B所示的尺寸142)可以在約20奈米至1毫米之間,包括在其中所有的值和範圍,例如,在約50奈米至500微米之間,或在約100奈米至50微米之間。通常,在各種實施例中,在垂直於閘極長度的方向上的通道堆疊的寬度可以在閘極長度的約0.3至700倍之間,包括在其中所有的值和範圍,例如在約閘極長度的0.5至100倍之間,或在約閘極長度的0.7至30倍之間。
對於這樣的平面電晶體,用於閘極堆疊和S/D接點的環繞式方案可能是特別有益的,現在將描述其細節。
圖1B示出的是,在III-N族電晶體102的一些實施例中,閘極堆疊128可至少部分地環繞在III-N族材料112的通道堆疊的上部和極化材料114。特別地,如圖1B所示,在一些實施例中,不僅可以在通道堆疊的上表面152上方(該上表面152是通道堆疊離支撐結構108最遠的面),且沿著通道堆疊的兩個側壁154中的至少一個的上部設置閘極堆疊128。圖1B示出閘極堆疊128環繞兩個側壁154中的每一個的上部,側壁154在平行於其中測量閘極長度140的平面的平面(即,在彼此相對的兩個不同的x-z平面,並相隔距離142)中延伸。設置在通道堆疊的上表面152上方的閘極堆疊128的一部分可以與設置在側壁154的上部上方的閘極堆疊128的一部分連續。特別地,在一些實施例中,閘極堆疊128可以從通道堆疊的上表面152沿著側壁154的至少一個(但是較佳地是兩個)延伸到深度(圖1B所示的尺寸136)在約2至100奈米之間,包括在其中的所有值和範圍,例如在約4至50奈米之間,或在約5至30奈米之間。因此,當閘極堆疊128被實現為環繞式閘極堆疊時,閘極介電材料120可以環繞在通道堆疊的上部,且閘極電極材料122可以環繞閘極介電材料120,兩者都在閘極堆疊128的上部下方的通道堆疊的上表面152下方的深度136處延伸。結果,在一些實施例中,閘極介電質材料120的一部分可以在通道堆疊的兩個側壁154中的至少一個處與III-N族材料112接觸。
類似地,圖1C示出,在III-N族電晶體102的一些實施例中,S/D接點126(即,形成S/D接點126的導電材料118)可以至少部分地環繞個別S/D區域116的上部,為S/D接點126向其提供電連接。特別地,如圖1C所示,在一些實施例中,S/D接點126可以提供不僅在所述S/D區域116的上表面162(上表面162是遠離支撐結構108的S/D區域116的面),且還沿著S/D區域116的兩個側壁164中的至少一個的上部。圖1C示出S/D接點126環繞在兩個側壁164中的每一個的上部,側壁164在平行於測量閘極長度140的平面的平面中延伸,即在兩個不同的x-z平面中,相對彼此且隔開距離142。設置在S/D區域116的上表面162上方的S/D接點126的一部分可以與設置在側壁164的上部上方的S/D接點126的一部分連續(也為電連續)。特別地,在一些實施例中,S/D接點126可以從S/D區域116的上表面162沿著側壁164的至少一個(但是較佳是兩個)延伸到深度(在圖1C示出的尺寸140)在約2至150奈米之間,包括在其中的所有值和範圍,例如在約4至75奈米之間,或在約5至45奈米之間。因此,當S/D接點126被實現為環繞式S/D接點時,S/D接點126可以環繞S/D區域116的上部,使得S/D接點126的上部可以在S/D區域116的兩個側壁164中的至少一個處與S/D區域116接觸。
在各種實施例中,S/D接點126沿著S/D區域116的側壁164向下延伸到的深度144可以但不一定與閘極堆疊128沿著III-N族電晶體102的通道堆疊的側壁154向下延伸的深度136相關。當相關時,例如,因為用於形成閘極堆疊128和S/D接點126的至少一些製造製程可以共享或同時執行,深度144可以基本上等於深度136和閘極介電材料120的厚度之和。
雖然在圖1C中未具體示出,在一些實施例中,S/D接點126可以沿著S/D區域116的側壁164沿著S/D區域116的整個深度向下延伸(圖1C示出S/D接點126延伸到S/D區域116的深度的一部分的實施例)。在各種實施例中,第一和第二S/D區域116的每一個可以延伸到電晶體102的通道堆疊的深度(在圖1C中所示的尺寸132),深度在約8至200奈米之間,包括在其中所有的值和範圍,例如,在約10至150奈米之間,或在約10至80奈米之間。
在一些實施例中,可以將用於電晶體102的兩個S/D區域116的S/D接點126中的僅一個實施為上述的環繞式S/D接點。在其他實施例中,如上所述,電晶體102的兩個S/D區域116的每個S/D接點126可以被實施為各自的環繞式S/D接點。在電晶體102的各種實施例中,這些實施例中的任何一個可以但不必須與實施為上述環繞式閘極的閘極堆疊128組合。因此,在電晶體102的一些實施例中,閘極堆疊128可被實施為如本文所述的環繞式閘極,但是S/D接點126未被實施為如本文所述的環繞式S/D接點(例如,S/D接點126可以被實施為用於平面電晶體的任何傳統S/D接點;在電晶體102的其他實施例中,一或多個S/D接點126可以被實施為如本文所述的環繞式S/D接點,但是閘極堆疊128沒有實施為本文所述的環繞式閘極;或者,在電晶體102的其他實施方式中,閘極堆疊128可以實施為如本文所述的環繞式閘極,且,兩個S/D接點126的一或兩者可以被實施為如本文所述的環繞式S/D接點。
雖然在圖1中未具體示出,IC結構100可以進一步包括類似於上述III-N族電晶體102的附加電晶體。
在一些實施例中,IC結構100可以被包括在或用於實施RF FE的至少一部分。在一些實施例中,IC結構100的III-N族電晶體102可以被包括在或用於實施IC結構中包括的RF電路的至少一部分或電源電路的至少一部分。用於非 III-N 族電晶體的環繞式閘極和 S/D 接點方案
如上所述的環繞式閘極和S/D接點方案對於平面III-N族電晶體可能特別有益,因為它可以最佳化性質是二維(即平面)的2DEG的使用。然而,通常,本文所述的環繞式閘極和S/D接點方案可應用於非III-N平面場效應電晶體(FET),所有這些都在本公開的範圍內。因此,在IC結構100的一些實施例中,上述電晶體102可以是實施除III-N族材料之外的任何其他半導體材料的電晶體,其中該電晶體的通道堆疊可以不包括如上所述的極化材料114,上述的III-N族材料112將被替換為合適的其他通道材料,且S/D區域116的材料也將被替換為合適的其他S/D區域材料。以上提供的其餘描述將適用於此類非III-N族電晶體實施例。現在將描述可以用作上述電晶體102的通道堆疊的非III-N族半導體材料且可以用作非III-N族電晶體102的通道材料的一些示例材料。
在各個實施例中,上述電晶體102的通道堆疊的非III-N族半導體材料可以由包括例如N型或P型材料系統的半導體材料系統組成。在一些實施例中,這樣的非III-N族半導體材料可以在形成單晶半導體。在一些實施例中,這種非III-N族半導體材料可具有厚度在約5至10000奈米之間,包括在其中的所有值和範圍,例如,在約10至500奈米之間,或者在約10至50奈米之間。
在一些實施例中,可以在其上提供這種非III-N族半導體材料的支撐結構可以是適合於支撐非III-N族半導體材料的任何結構。在一些實施例中,這樣的支撐結構可以包括諸如矽的半導體,且上述電晶體102的通道堆疊的非III-N族半導體材料可以是所述半導體的上層(例如,上述電晶體102的通道堆疊的非III-N族半導體材料可以是矽,例如,矽基板的矽的上層)。因此,在一些實施方式中,這樣的非III-N族半導體材料可以被視為提供該非III-N族半導體材料的支撐結構的一部分,或者被視為這種支撐結構的晶體半導體上部的一部分。
在一些實施例中,上述電晶體102的通道堆疊的非III-N族半導體材料可以是/包括本徵IV或III-V族半導體材料或合金,而不是故意摻雜有任何電活性雜質。在替代實施例中,標稱(nominal)雜質摻雜水準可以存在於這種非III-N族半導體材料中,例如,以設置臨限值電壓Vt,或提供環型佈植(halo pocket implant),等等。然而,在這種摻雜雜質(impurity-doped)的實施例中,非III-N族半導體材料內的雜質摻雜水準可以相對較低,例如低於約1015 cm-3 ,且有利地低於1013 cm-3
在一些實施例中,上述電晶體102的通道堆疊的非III-N族半導體材料可以由化合物半導體形成,該化合物半導體具有周期表III族的至少一個元素的第一子晶格(例如,Al、Ga、In)以及週期表的第V族的至少一個元素的第二子晶格(例如,P、As、Sb)。在一些實施例中,這樣的非III-N族半導體材料可以是二元、三元或四元III-V族化合物半導體,其是元素週期表的III和V族的兩個,三個或甚至四個元素的合金,包括硼、鋁、銦、鎵、氮、砷、磷、銻和鉍。
對於示例性P型電晶體實施例,上述電晶體102的通道堆疊的非III-N族半導體材料可以有利地是具有高電洞遷移率(hole mobility)的IV族材料,例如但不限於,Ge或富含Ge的SiGe合金。對於一些示例性實施例,這種非III-N族半導體材料可以具有0.6至0.9之間的Ge含量(content),且有利地為至少0.7。
對於示例性N型電晶體實施例,上述電晶體102的通道堆疊的非III-N族半導體材料可以有利地是具有高電子遷移率的III-V族材料,例如但不限於InGaAs、InP、InSb和InAs。對於一些這樣的實施例,這樣的非III-N族半導體材料可以是三元III-V合金,例如InGaAs或GaAsSb。對於一些Inx Ga1-x As鰭式(fin)實施例,非III-N族半導體材料中的In含量可以在0.6至0.9之間,且有利地至少為0.7(例如,In0.7 Ga0.3 As)。
在一些實施例中,上述電晶體102的通道堆疊的非III-N族半導體材料可以是薄膜材料,在這些實施例中,平面電晶體102可以是薄膜電晶體(TFT)。TFT可以是一種特殊的FET,它是通過在支撐結構上沉積一層活性半導體材料以及介電層和金屬接點的薄膜製成的主動半導體材料,該支撐結構可以是不導電的(及非半導的)支持結構。在TFT的操作期間,主動半導體材料的至少一部分形成TFT的通道,因此,這種主動半導體材料的薄膜可以被稱為“TFT通道材料”。在各種這樣的實施例中,上述電晶體102的通道堆疊的薄膜非III-N族半導體材料可以包括高遷移率氧化物半導體材料,例如氧化錫、氧化銻、氧化銦、氧化銦錫、氧化鈦、鋅氧化物、銦鋅氧化物、銦鎵鋅氧化物(IGZO, indium gallium zinc oxide)、氧化鎵、氮氧化鈦、氧化釕或氧化鎢。通常,上述電晶體102的通道堆疊的非III-N族半導體材料可以包括氧化錫、氧化鈷、氧化銅、氧化銻、氧化釕、氧化鎢、氧化鋅、氧化鎵、氧化鈦、氧化銦、氮氧化鈦、氧化銦錫、氧化銦鋅、氧化鎳、氧化鈮、過氧化銅、IGZO、碲化銦、輝鉬礦、二硒化鉬、二硒化鎢、二硫化鎢、N型或P型非晶(amorphous)矽或多晶(polycrystalline)矽、鍺、砷化銦鎵、矽鍺、氮化鎵、氮化鋁鎵、磷化銦和黑磷中的一或多種,每種元素都可能摻雜有鎵、銦、鋁、氟、硼、磷、砷、氮、鉭、鎢和鎂等中的一或多種。 具有緩衝層的 III-N 族電晶體的環繞式閘極和 S/D 接點方案
如圖2A-2C所示,在一些實施例中,透過提供呈緩衝層形式的背能障(back barrier)可以進一步改善漏電流。
根據本揭露的一些實施例,圖2A至圖2C示出不同的截面側視圖,其示出IC結構200,該IC結構200包括具有環繞式閘極堆疊128、一或多個環繞式S/D接點126、且進一步包括緩衝材料202的平面III-N族電晶體102。IC結構200類似於IC結構100,其中相同的數字表示相似的元件,且2A-2C的剖面圖分別類似於圖1A-1C。因此,為簡潔起見,對圖1所示的IC結構100提供的描述假定適用於圖2所示的IC結構200,此處不再贅述,下面僅描述這些IC結構之間的差異。
特別地,圖2A至圖2C示出,在一些實施例中,IC結構200可以進一步包括在電晶體102的通道堆疊與支撐結構108之間(例如,在III-N族材料112與支撐結構108之間)的緩衝材料202。在一些實施例中,緩衝材料202可以是能隙大於III-N族材料112的能隙的半導體材料層,從而緩衝材料202可以用於防止從III-N族電晶體102到支撐結構108的漏電流。此外,適當選擇用於緩衝材料202的半導體可以使III-N族材料112在其上更好地磊晶(epitaxy),例如,可以改善III-N族材料112的磊晶生長,例如用橋晶格常數(bridge lattice constant)或缺陷數量表示。例如,當III-N族材料112是包括鎵和氮(例如GaN)的半導體時,可以將包括鋁、鎵和氮的半導體(例如,AlGaN)或包括鋁和氮的半導體(例如,AlN)用作緩衝材料202。緩衝材料202的材料的其他示例可以包括如上所述通常用作ILD的材料,例如氧化物隔離層,例如,氧化矽、氮化矽、氧化鋁及/或氮氧化矽。當在III-N族電晶體102實施時,緩衝材料202可具有介於約100至5000奈米之間的厚度,包括在其中所有的值和範圍,例如,在約200至1500奈米之間,或在約250奈米至800奈米之間。具有環繞式閘極和 S/D 接點方案的其他實施例
圖1至圖2中所示的IC結構100/200不表示一組詳盡的組合(assemble),其中可以如本文所述提供具有環繞式閘極及/或一或多個環繞式S/D接點的一或多個平面電晶體,而僅提供此類結構/組合的示例。儘管參考圖1-2討論材料的特定佈置,中間材料可以包括在這些圖的各個部分中。注意,圖1-2旨在示出其中一些組件的相對設置,且這些圖的各種裝置組件可以包括未具體示出的其他組件,例如,各種介面層或各種附加層或元件。例如,儘管未具體示出,IC結構100、200可包括阻焊劑材料(例如,聚醯亞胺或類似的材料)形成在IC結構的最上互連層上的一或多個鍵合銲墊(pad),例如在圖1-2所示的IC結構100/200的頂部。鍵合焊墊可以與另一互連結構電耦合且被配置為在III-N族電晶體102和其他外部裝置之間路由電信號。例如,可以在一或多個鍵合焊墊上形成焊料焊墊,以將包括IC結構100/200的晶片與另一組件(例如,電路板)機械及/或電耦合。IC結構100/200可以具有其他可選配置以路由來自互連層的電信號,例如,上述鍵合焊墊可以被路由電信號至外部組件的其他類似特徵(例如,接線柱(post))代替或可以進一步被包括。
此外,儘管在圖1和圖2中示出IC結構的一些元件,這些元件是平面矩形或由矩形實體形成,這僅僅是為便於說明,且這些元件中的各個元件的實施例可能是彎曲的、倒圓的或其他不規則的形狀,由於用於製造半導體裝置組件的製造製程,這有時是不可避免的。例如,當圖1-2可以示出各種元件,例如,S/D區域116、S/D接點126等,具有完美筆直的側壁輪廓(profile)(例如,側壁垂直於支撐結構108延伸的輪廓),這些在現實世界的製程中,理想輪廓可能並不總是可以實現的。即,儘管設計成具有筆直的側壁輪廓,但是真實世界的開口可以作為製造圖1-2所示的IC結構的各種元件的一部分而形成,可能最終具有所謂的“凹入(re-entrant)”輪廓,其中開口頂部的寬度小於開口底部的寬度,或者“非凹入(non-re-entrant)”輪廓,其中開口頂部的寬度大於開口底部的寬度。通常,由於現實世界中的開口沒有完美的側壁,在填充開口的材料內部可能會形成瑕疵。例如,對於凹入輪廓而言,典型的是,在開口的中心可以形成空隙,其中填充開口的給定材料的生長在開口的頂部被夾擠(pinch off)。因此,將環繞式閘極與平面III-N族電電晶體整合的各種實施例的當前描述同樣適用於這樣的實施例,其中由於用於形成它們的製程,這種整合結構的各種元件看起來與圖中所示的元件不同。
使用例如光學顯微鏡、TEM或SEM檢查佈局和光罩資料以及對裝置零件進行反向工程以重建電路,及/或使用例如實體故障分析(PFA, Physical Failure Analysis)檢查裝置的剖面以檢測形狀及本文所述各種裝置元件的位置將允許確定如本文所述與平面III-N族電晶體整合的環繞式閘極。製造具有環繞式閘極及 / S/D 接點的平面電晶體
IC結構實施具有環繞式閘極及/或一或多個環繞式S/D接點的一或多個平面電晶體,如本文所述可使用任何合適的技術來製造。圖3示出這種方法的一個示例。然而,製造本文所述的任何IC結構以及包括此類結構的較大的裝置和組件的其他示例(例如,如圖6-9所示)也在本揭露的範圍內。
根據本發明各種實施例,圖3是製造IC結構的示例方法300的流程圖,該IC結構包括具有環繞式閘極及/或一或多個環繞式S/D接點的III-N族電晶體。
儘管方法300的操作分別以特定順序進行說明,但是可以按任何合適的順序執行操作,並根據需要重複操作。舉例來說,可平行執行一或一個以上操作以大體上同時製造具有如本文所述的環繞式閘極及/或一或多個環繞式S/D接點的多個III-N族電晶體。在另一示例中,可以以不同的順序執行操作以反應特定裝置組件的結構,其中一或多個具有環繞閘極及/或一或多個環繞式S/D接點的平面III-N族電晶體,如本文所述,將被包括在內。
此外,示例製造方法300可以包括在圖3中未具體示出的其他操作,例如本領域中已知的各種清潔或平坦化操作。例如,在一些實施例中,可以在本文描述的方法300的任何過程之前、之後或期間清潔支撐結構108以及隨後沉積在其上的各種其他材料的層,例如以去除氧化物、表面結合的有機和金屬污染物以及表面下污染物。在一些實施例中,可以使用例如化學溶液(例如過氧化物)及/或與臭氧結合的紫外線(UV)輻射,及/或氧化表面(例如,使用熱氧化)進行清潔,然後去除氧化物(例如,使用氫氟酸(HF))。在另一個示例中,本文所述的結構/組件可以在本文所述的方法300的任何過程之前、之後或之中被平坦化,例如以去除覆蓋層(overburden)或多餘的材料。在一些實施例中,可以使用濕法或乾法平坦化製程來進行平坦化,例如,平坦化為化學機械平坦化(CMP, chemical mechanical planarization),其可以理解為利用拋光表面、磨料(abrasive)和漿料(slurry)來去除覆蓋層的過程並平坦化表面。
可以參考圖4A-4E中所示的示例實施例來說明方法300的各種操作,其示出如圖1所示的IC結構的製造,但是根據本公開的任何其他實施例,方法300可以用於製造具有一或多個具有環繞式閘極及/或一或多個環繞式S/D接點的平面電晶體的任何合適的IC結構。根據本公開的一些實施例,圖4A-4E示出剖面側視圖,其中,在使用圖3的方法製造IC結構的各個示例階段中,圖4A-4E示出類似於1A和1B中所示的視圖的兩個視圖。
方法300可以開始於在支撐結構上方提供通道堆疊(圖3中示出的製程302,其結果由圖4A中示出的IC結構402示出)。IC結構402示出302中提供的支撐結構,可以是如上所述的支撐結構108。IC結構402還示出在302中設置在支撐結構上方的通道堆疊可以包括沉積在支撐結構108上方的III-N族材料112和沈積在III-N族材料112上方的極化材料114。
在一些實施例中,製程302可以包括磊晶生長各種電晶體的薄膜,例如,用於形成III-N族材料112和極化材料114。在該上下文中,“磊晶生長”是指晶體的沉積覆蓋層以所需材料的形式。可以使用任何已知的用於形成所需材料層的氣態或液態先驅物(precursor)來進行製程302的各個層的磊晶生長。
在一些實施例中,製程302可以包括圖案化以將通道堆疊形成為期望的幾何形狀,例如作為島狀(例如,如圖4A右側的剖面中所示),從而通道堆疊被絕緣體例如絕緣體110環繞。可以使用任何合適的沉積技術來沉積絕緣體110,例如但不限於旋塗(spin-coating)、浸塗(dip-coating)、原子層沉積(ALD, atomic layer deposition)、物理氣相沉積(PVD, physical vapor deposition)(例如,蒸發沉積(evaporative deposition)、磁控濺射(magnetron sputtering)或電子束沉積(e-beam deposition))或化學氣相沉積(CVD, chemical vapor deposition)。可以在製程302中使用的示例性圖案化技術可以包括但不限於光刻或電子束(e-beam, electron-beam)圖案化,可能結合適當的蝕刻技術,例如乾式蝕刻(dry etch),例如RF反應離子蝕刻(RIE, RF reactive ion etch)或電感耦合電漿(ICP, inductively coupled plasma)RIE。在各種實施例中,在製程302中執行的任何蝕刻可以包括各向異性蝕刻。一些各向異性蝕刻可以使用呈化學活性離子化氣體(例如,電漿)形式的蝕刻劑。一些這樣的蝕刻劑可以具有溴基(bromine-based)的化學物質或氯基(chlorine-based)的化學物質。在一些實施例中,在製程302的任何刻蝕期間,可以將IC結構加熱至升高的溫度,例如至約室溫及200攝氏(Celsius)度之間的溫度,包括在其中所有的值和範圍,以促進蝕刻副產物具有足夠的揮發性以從表面去除。
然後,方法300可以繼續在302中提供的通道堆疊中提供S/D區域(圖3中示出的製程304,其結果由圖4B中示出的IC結構404示出)。IC結構404示出處理304可以包括例如使用上述任何技術,可能使用任何適當的圖案化技術,例如如上所述,來形成S/D區域116,以達到用於S/D區域的期望的幾何形狀。
一旦已經形成S/D區域,則方法300可以繼續進行在環繞通道堆疊的側壁的上部的介電質材料的凹部,該凹部在與連接在304中形成第一及第二S/D區域的平面平行的相反平面中(後一平面是垂直於支撐結構108的平面)(圖3所示的製程306,其結果由圖4C所示的IC結構406示出)。IC結構406示出製程306可以暴露在302中形成的通道堆疊的側壁154的上部454的表面。在一些實施例中,製程306可以包括執行適當的蝕刻,例如,以上參考製程302所描述的任何蝕刻。
然後,方法300可以繼續定義用於形成用於將來的電晶體的閘極堆疊的區域(圖3所示的製程308,其結果用圖4D所示的IC結構408示出)。IC結構408示出製程308可以包括形成開口458,用於在後續製程在其中形成閘極堆疊128。製程308可以包括用於形成閘極堆疊的開口的任何適當的技術,例如替換閘極技術,可能使用任何適當的圖案化技術,例如如上所述,以實施開口458的期望的幾何形狀。
然後,方法300可以繼續在製程308(圖3中所示的製程310,其結果由圖4E中所示的IC結構410示出)中定義的區域中提供閘極堆疊。IC結構410示出製程310可以包括在開口458中形成閘極堆疊128,其中,如上所述,閘極堆疊128是環繞式閘極堆疊。製程310可以包括用於沉積閘極堆疊的閘極介電質的任何合適的技術(例如,使用共形(conformal)沉積製程,諸如ALD),然後在閘極介電質上方沉積閘極電極材料。
方法300還可包括提供S/D接點以與在製程304中提供的S/D區域形成電接點(圖3中所示的製程312,其結果未在圖4A-4E中示出,因為結果可能是如圖1所示的IC結構)。可用於在製程312中提供S/D接點的沉積技術的示例包括但不限於ALD、PVD、CVD或電鍍。帶有具有環繞式閘極及 / S/D 接點的平面電晶體的示例結構和裝置
如本文所公開,IC結構包括一或多個平面電晶體,平面電晶體具有環繞式閘極及/或一或多個環繞式S/D接點,可以被包括在任何合適的電子設備中。圖5-9示出裝置和組件的各種示例,其可以包括如本文所公開與環繞式閘極及/或一或多個環繞式S/D接點整合的一或多個平面電晶體。
根據本文揭露的任何實施例,圖5A-5B是晶圓2000和晶粒2002的俯視圖,其可包括一或多個具有環繞式閘極及/或一或多個環繞式S/D接點的平面電晶體。在一些實施例中,根據本文揭露的任何實施例,晶粒2002可以被包括在IC封裝中。例如,任何晶粒2002可以用作圖6所示的IC封裝2200中的任何晶粒2256。晶圓2000可以由半導體材料組成,且可以包括具有在晶圓2000的表面上形成的IC結構的一或多個晶粒2002。每個晶粒2002可以是包括任何合適的IC(例如,如本文所述,包括具有環繞式閘極及/或一或多個環繞式S/D接點的一或多個平面電晶體的IC)的半導體產品的重複單元。在半導體產品的製造完成之後(例如,在製造本文所述的帶有環繞式閘極及/或一或多個環繞式S/D接點的一或多個平面電晶體之後,例如在製造本文所述的IC結構100/200的任何實施方式),晶圓2000可經歷切割(singulation)製程,其中每個晶粒2002被彼此分開,以提供離散的半導體產品的“晶片”。特別地,如本文所揭露的包括具有環繞式閘極及/或一或多個環繞式S/D接點的一或多個平面電晶體的裝置可以採用晶圓2000的形式(例如,未切割)或晶粒2002的形式(例如,已切割)。晶粒2002可以包括一或多個平面電晶體(例如,如本文所述的一或多個III-N族電晶體102),以及可選地,支援將電信號路由到平面電晶體的電路,以及任何其他IC組件。在一些實施例中,晶圓2000或晶粒2002可以實施RF FE裝置、記憶體裝置(例如,靜態隨機-存取記憶體(SRAM)裝置)、邏輯裝置(例如,AND、OR、NAND或NOR閘)或任何其他合適的電路元件。這些裝置中的多個可以組合在單個晶粒2002上。
根據本文揭露的任何實施例,圖6是示例IC封裝2200的側視剖面圖,該IC封裝2200可以包括一或多個IC結構,該IC結構具有與環繞式閘極及/或一或多個環繞式S/D接點整合的一或多個平面電晶體。在一些實施例中,IC封裝2200可以是系統級封裝(SiP, system-in-package)。
如圖6所示,IC封裝2200可以包括封裝基板2252。封裝基板2252可以由介電材料(例如,陶瓷、玻璃、有機和無機材料的組合、堆積膜、具有填料的環氧膜顆粒等,且可以具有包含不同材料的嵌入部分)形成,且可以具有在面2272和面2274之間、或面2272上不同位置之間、及/或面2274上不同位置之間延伸通過介電材料的導電路徑。
封裝基板2252可以包括導電接點2263,其通過封裝基板2252耦合到導電路徑2262,從而允許晶粒2256及/或中介層2257內的電路電耦合到各種導電接點2264(或封裝基板2252中所包括的其他裝置,未示出)。
IC封裝件2200可以包括經由中介層2257的導電接點2261、第一級互連2265、和封裝件基板2252的導電接點2263耦合到封裝件基板2252的中介層2257。圖6中的第一級互連2265是焊料凸塊,但是可以使用任何合適的第一級互連2265。在一些實施例中,在IC封裝2200中可以不包括中介層2257;相反,晶粒2256可以通過第一級互連2265直接在面2272處耦合到導電接點2263。
IC封裝2200可以包括一或多個晶粒2256,晶粒2256通過晶粒2256的導電接點2254、第一級互連2258和中介層2257的導電接點2260耦合到中介層2257。導電接點2260可以通過中介層2257耦合到導電路徑(未示出),從而允許晶粒2256內的電路電耦合到各個導電接點2261(或耦合到中介層2257中包括的其他裝置,未示出)。圖6中所示的第一級互連2258是焊料凸塊,但是可以使用任何合適的第一級互連2258。如本文所使用的,“導電接點”可以指用作不同組件之間的介面的一部分導電材料(例如,金屬)的一部分;導電接觸點可以凹進、與部件的表面齊平或遠離部件的表面延伸,並且可以採用任何合適的形式(例如,導電墊或插座)。
在一些實施例中,底部填充材料2266可以環繞第一級互連2265設置在封裝基板2252和中介層2257之間,模化合物2268(mold compound)可以環繞晶粒2256和中介層2257設置且接觸封裝基板2252。在一些實施例中,底部填充材料2266可以與模化合物2268相同。可以適當地用於底部填充材料2266和模化合物2268的示例材料是環氧模製材料。第二級互連2270可以耦合到導電接點2264。圖6中所示第二級互連2270是焊料球(例如,用於球閘陣列(ball grid array)設置),但是可以使用任何合適的第二級互連2270(例如,針柵陣列(pin grid array)設置中的引腳或平面柵格陣列(land grid array)設置中的銲墊)。第二級互連2270可以用於將IC封裝2200耦合到另一組件,例如本領域中已知的且如以下參考圖7所討論的,例如電路板(例如,母板)、中介層或另一IC封裝。
晶粒2256可以採取本文討論的晶粒2002的任何實施例的形式,且可以包括具有一或多個具有環繞式閘極及/或一或多個環繞式S/D接點的平面電晶體的IC結構的任何實施例,例如本文所述的IC結構100或200。在IC封裝2200包括多個晶粒2256的實施例中,IC封裝2200可以被稱為多晶片封裝(MCP)。晶粒2256可以包括執行任何期望功能的電路。例如,一或多個晶粒2256可以是RF FE晶粒,包括如本文所述在單個晶粒中的一或多個具有環繞式閘極及/或一或多個環繞式S/D接點的平面電晶體,一或多個晶粒2256可以是邏輯晶粒(例如,矽基晶粒),一或多個晶粒2256可以是記憶體晶粒(例如,高頻寬記憶體)等。在一些實施例中,例如,如上所討論的任何晶粒2256可以包括具有環繞式閘極及/或一或多個環繞式S/D接點的一或多個平面電晶體;在一些實施例中,至少一些晶粒2256可以不包括帶環繞式閘極及/或一或多個環繞式S/D接點的任何的平面電晶體。
圖6所示的晶片可以是倒裝晶片封裝(flip chip package),儘管可以使用其他封裝架構。例如,IC封裝2200可以是球閘陣列(BGA)封裝,諸如嵌入式晶圓級球閘陣列(eWLB, embedded wafer-level ball)封裝。在另一個示例中,IC封裝2200可以是晶圓級晶片封裝(WLCSP, wafer-level chip scale package)或面板扇出(FO, fan-out)封裝。雖然兩個晶粒2256示出於圖6的IC封裝2200,IC封裝2200可以包括任何期望數量的晶粒2256。IC封裝2200可以包括附加的被動部件,諸如在封裝基板2252的第一面2272或第二面2274、或中介層2257的任一面上的表面設置電阻、電容和電感。更一般地,IC封裝2200可包括本領域已知的任何其他主動或被動部件。
根據本文揭露的任何實施例,圖7是IC裝置組件2300的剖面側視圖,其可包括具有一或多個IC結構的部件,IC結構實施具有環繞式閘極及/或一或多個環繞式S/D接點的一或多個平面電晶體。IC裝置組件2300包括設置在電路板2302(可以是例如母板)上的多個組件。IC裝置組件2300包括設置在電路板2302的第一面2340和電路板2302的相對的第二面2342上的組件;一般地,組件可以被設置在一或二個面2340和2342。特別地,根據本文揭露的任何實施例,IC裝置組件2300的任何合適的組件可以包括實現具有環繞式閘極及/或一或多個環繞式S/D接點的一或多個平面電晶體的任何IC結構;例如,下面參考IC裝置組件2300討論的任何IC封裝可以採用上面參考圖6討論的IC封裝2200的任何實施例的形式(例如,可以包括在晶粒2256上一或多個具有環繞式閘極及/或一或多個環繞式S/D接點的平面電晶體)。
在一些實施例中,電路板2302可以是包括多個金屬層的印刷電路板(PCB),該多個金屬層通過介電材料層彼此分開且通過導電通孔互連。可以以期望的電路圖案形成任何一或多個金屬層以在耦合到電路板2302的組件之間路由電信號(可選地與其他金屬層結合)。在其他實施例中,電路板2302可以是非PCB基板。
圖7中所示的IC裝置組件2300包括通過耦合部件2316耦合到電路板2302的第一面2340的中介層上封裝結構(package-on-interposer)2336。耦合部件2316可以將中介層上封裝結構2336電性和機械地耦合到電路板2302,且可以包括焊球(例如,如圖7所示)、插座的凸形和凹形部分、黏合劑、底部填充材料及/或任何其他合適的電及/或機械耦合結構。
中介層上封裝結構2336可以包括通過耦合部件2318耦合到中介層2304的IC封裝2320。耦合部件2318可以採用任何適合的應用形式,例如以上參考耦合部件2316所討論的形式。IC封裝2320可以是或包括例如晶粒(圖5B的晶粒2002)、IC裝置(例如,圖1-2的IC結構)或任何其他合適的組件。特別地,如本文所述,IC封裝2320可以包括一或多個具有環繞示閘極及/或一或多個環繞式S/D接點的平面電晶體。儘管在圖7中示出單個IC封裝2320,但是,多個IC封裝可以耦合至中介層2304;實際上,可以將附加的中介層耦合到中介層2304。中介層2304可以提供用於橋接電路板2302和IC封裝2320的中介基板。通常,中介層2304可以將連接擴展到更寬的間距或重新佈線到另一個連接。例如,中介層2304可以將IC封裝2320(例如,晶粒)耦合到耦合部件2316的BGA,以耦合到電路板2302。在圖7所示的實施例中,IC封裝2320和電路板2302附接到中介層2304的相對側;在其他實施例中,IC封裝2320和電路板2302可以附接到中介層2304的同一側。在一些實施例中,三或更多組件可以通過中介層2304互連。
中介層2304可以由環氧樹脂、玻璃纖維增強環氧樹脂、陶瓷材料或諸如聚醯亞胺的聚合物材料形成。在一些實施方式中,中介層2304可以由可替代的剛性或柔性材料形成,其可以包括上述用於半導體基板的相同材料,例如矽、鍺以及其他III-V族和IV族材料。中介層2304可以包括金屬互連2308和通孔2310,包括但不限於矽通孔(TSV)2306。中介層2304可以進一步包括嵌入式裝置2314,包括被動和主動裝置。這樣的裝置可以包括但不限於電容、去耦電容、電阻、電感、保險絲、二極體、變壓器、感測器、靜電放電(ESD)保護裝置和儲存裝置。中介層2304上也可以形成更複雜的裝置,例如其他RF裝置、功率放大器、功率管理裝置、天線、陣列、感測器和微機電系統(MEMS)裝置。在一些實施例中,也可以在中介層2304中/之上實施實現如本文所述的具有環繞式閘極及/或一或多個環繞式S/D接點的一或多個平面電晶體的IC結構。中介層上封裝結構2336可以採用本領域已知的任何中介層上封裝結構的形式。
IC裝置組件2300可以包括通過耦合部件2322耦合到電路板2302的第一面2340的IC封裝2324。耦合部件2322可以採用以上參考耦合部件2316所討論的任何實施例的形式,且IC封裝2324可以採用以上參考IC封裝件2320所討論的任何實施例的形式。
圖7中所示的IC裝置組件2300包括通過耦合部件2328耦合到電路板2302的第二面2342的堆疊式封裝(package-on-package)結構2334。堆疊式封裝結構2334可以包括通過耦合部件2330彼此耦合的IC封裝2326和IC封裝2332,使IC封裝2326被佈置在電路板2302和IC封裝2332之間。耦合部件2328和2330可以採用上述耦合部件2316的任何實施例的形式,且IC封裝2326和2332可以採用上述IC封裝2320的任何實施例的形式。可以根據本領域中已知的任何堆疊式封裝結構來配置堆疊式封裝結構2334。
根據本文揭露的任何實施例,圖8是示例計算裝置2400的方塊圖,該示例計算裝置2400可以包括具有一或多個IC結構的一或多個組件,該一或多個IC結構具有與環繞式閘極及/或一或多個環繞式S/D接點整合的一或多個平面電晶體。舉例來說,根據本文揭露的任何實施例,計算裝置2400中的任一合適組件可包含晶粒(例如,晶粒2002(圖5B)),其包含具有環繞式閘極及/或一或多個環繞式S/D接點的一或一個以上平面電晶體。任何計算裝置2400的組件可以包括IC裝置(例如,圖1-2的IC結構的任一實施例)及/或IC封裝2200(圖6)。計算裝置2400的任何組件可以包括IC裝置組件2300(圖7)。
圖8中所示的多個組件被包括在計算裝置2400中,但是這些組件中的任何一或多個可以被省略或複製,以適合於應用。在一些實施例中,計算裝置2400中包括的一些或所有組件可以被附接到一或多個主板。在一些實施例中,這些組件中的一些或全部被製造到單個SoC晶粒上。
另外,在各種實施例中,計算裝置2400可以不包括圖8所示的一或多個組件,但是計算裝置2400可以包括用於耦合至一或多個組件的介面電路。例如,計算裝置2400可以不包括顯示裝置2406,但是可以包括顯示裝置2406可以耦合到的顯示裝置介面電路(例如,連接器和驅動器電路)。在另一組示例中,計算裝置2400可以不包括音頻輸入裝置2418或音頻輸出裝置2408,但是可以包括音頻輸入裝置2418或者音頻輸出裝置2408可以被耦合的音頻輸入或輸出裝置介面電路(例如,連接器和支援電路)。
計算裝置2400可以包括處理裝置2402(例如,一或多個處理裝置)。如本文所使用的,用語“處理裝置”或“處理器”可以指處理來自暫存器及/或記憶體的電子資料以將該電子資料轉換成可以儲存在暫存器及/或記憶體中的其他電子資料的任何裝置或裝置的一部分。處理裝置2402可以包括一或多個數位信號處理器(DSP)、專用積體電路(ASIC)、中央處理單元(CPU)、圖形處理單元(GPU)、加密處理器(即執行硬體內的加密演算法的專用處理器)、伺服器處理器或任何其他合適的處理裝置。計算裝置2400可以包括記憶體2404,其本身可以包括一或多個記憶體裝置,諸如揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,唯讀記憶體(ROM))、快閃記憶體、固態記憶體及/或硬碟。在一些實施例中,記憶體2404可以包括與處理裝置2402共享晶粒的記憶體。該記憶體可以用作快取記憶體,且可以包括例如eDRAM及/或自旋轉移力矩式磁性隨機存取記憶體(STT-MRAM, spin transfer torque magnetic random-access memory)。
在一些實施例中,計算裝置2400可以包括通信晶片2412(例如,一或多個通信晶片)。例如,通信晶片2412可以被配置為管理用於向和從計算裝置2400傳輸資料的無線通信。用語“無線”及其衍生詞可以用於描述電路、裝置、系統、方法、技術,可以通過使用經過非固體介質的調變電磁輻射來通信資料的通信通道等。該用語並不意味著關聯的裝置不包含任何電線,儘管在某些實施例中它們可能沒有。
通信晶片2412可以實施多種無線標準或協定中的任何一種,包括但不限於包括Wi-Fi(IEEE802.11系列)的電氣和電子工程師協會(IEEE)標準、IEEE802.16標準(例如,IEEE802.16-2005修訂)、長期演進(LTE)項目以及任何修訂、更新及/或修訂(例如,高級LTE項目(advanced LTE project)、超行動寬頻(UMB, ultramobile broadband)項目(也稱為“3GPP2”)等)。兼容IEEE802.16的寬頻無線存取(BWA, Broadband Wireless Access)網路通常稱為WiMAX網路,該縮寫詞代表“微波存取全球互操作性”,它是通過IEEE802.16標準一致性和互操作性測試的產品的認證標誌。通信晶片2412可以根據全球行動通信系統(GSM, Global System for Mobile Communication)、通用分組無線業務(GPRS, General Packet Radio Service)、通用行動電信系統(UMTS, Universal Mobile Telecommunications System)、高速分組存取(HSPA, High Speed Packet Access)、演進的HSPA(E-HSPA, Evolved HSPA)或LTE網路進行操作。通信晶片2412可以根據用於GSM演進的增強資料(EDGE, Enhanced Data for GSM Evolution)、GSM EDGE無線存取網(GERAN, GSM EDGE Radio Access Network)、通用陸地無線存取網(UTRAN, Universal Terrestrial Radio Access Network)或演進的UTRAN(E-UTRAN)進行操作。通信晶片2412可以根據分碼多重存取(CDMA, Code Division Multiple Access)、時分多址(TDMA, Time Division Multiple Access)、數位增強型無繩電信(DECT, Digital Enhanced Cordless Telecommunication)、演進資料最佳化(EV-DO, Evolution-Data Optimized)及其衍生來操作、以及被指定為3G、4G、5G及更高版本的任何其他無線協定。在其他實施例中,通信晶片2412可以根據其他無線協定進行操作。計算裝置2400可以包括天線2422,以促進無線通信及/或接收其他無線通信(例如,AM或FM無線電傳輸)。
在一些實施例中,通信晶片2412可以管理有線通信,諸如電、光或任何其他合適的通信協定(例如,乙太網路)。如上所述,通信晶片2412可以包括多個通信晶片。例如,第一通信晶片2412可以專用於諸如Wi-Fi或藍牙的短程無線通信,而第二通信晶片2412可以專用於諸如全球定位系統(GPS)、EDGE、GPRS、CDMA、WiMAX、LTE、EV-DO或其他的遠程無線通信。在一些實施例中,第一通信晶片2412可以專用於無線通信,且第二通信晶片2412可以專用於有線通信。
在各種實施例中,本文所述的IC結構對於在如上所述的一或多個通信晶片2412內使用可能是特別有利的。例如,這樣的IC結構可以用於實施功率放大器、低雜訊放大器、濾波器(包括濾波器和濾波器組的陣列)、開關、升頻轉換器、降頻轉換器、雙工器和邏輯電路(例如,控制邏輯)中的一或多個實施各種電晶體,例如作為實施RF發送器、RF接收器或RF收發器的一部分。
計算裝置2400可包括電池/電源電路2414。電池/電源電路2414可包括一或多個能量儲存裝置(例如,電池或電容)及/或用於將計算裝置2400的組件耦合到與計算裝置2400分開的能量源(例如,AC線電源)的電路。
計算裝置2400可以包括顯示裝置2406(或如上所述對應的介面電路)。顯示裝置2406可以包括任何視覺指示器,例如抬頭顯示器(heads-up display)、電腦監視器、投影機、觸控螢幕顯示器、液晶顯示器(LCD)、發光二極體顯示器或平板顯示器。
計算裝置2400可以包括音頻輸出裝置2408(或如上所述對應的介面電路)。音頻輸出裝置2408可以包括產生聲音指示器的任何裝置,例如喇叭、耳機或耳塞。
計算裝置2400可以包括音頻輸入裝置2418(或如上所述對應的介面電路)。音頻輸入裝置2418可以包括產生代表聲音的信號的任何裝置,諸如麥克風、麥克風陣列或數位樂器(例如,具有樂器數位介面(MIDI)輸出的樂器)。
計算裝置2400可以包括GPS裝置2416(或如上所述對應的介面電路)。如本領域中已知的,GPS裝置2416可以與基於衛星的系統通信且可以接收計算裝置2400的位置。
計算裝置2400可以包括其他輸出裝置2410(或如上所述對應的介面電路)。其他輸出裝置2410的示例可以包括音頻編解碼器、視訊編解碼器、印表機、用於向其他裝置提供資訊的有線或無線發送器、或其他儲存裝置。
計算裝置2400可以包括其他輸入裝置2420(或如上所述對應的介面電路)。其他輸入裝置2420的示例可以包括加速度計、陀螺儀、指南針、影像捕獲裝置、鍵盤、諸如滑鼠之類的游標控制裝置、觸控筆、觸控板、條碼讀取器、快速回應(QR)代碼讀取器、任何感測器或射頻識別(RFID)讀取器。
計算裝置2400可以具有任何所需的形狀因數,例如手持式或行動計算裝置(例如,行動電話、智慧型手機、行動網際網路裝置、音樂播放器、平板電腦、膝上型電腦、連網小筆電(netbook)、超薄型筆電(ultrabook)、個人數位助理(PDA)、超行動(ultramobile)個人電腦等)、桌上型計算裝置、伺服器或其它聯網計算部件、印表機、掃描器、監視器、機上盒、娛樂控制單元、車輛控制單元、數位相機、數位錄影機或可穿戴計算裝置。在一些實施例中,計算裝置2400可以是處理資料的任何其他電子裝置。
根據本文揭露的任何實施例,圖9是一個示例RF裝置2500的方塊圖,其可包括一或多個具有一或多個IC結構的組件,該一或多個IC結構具有一或多個平面電晶體,該一或多個平面電晶體具有環繞式閘極及/或一或多個環繞式S/D接點。例如,RF裝置2500的任何合適組件可以包括晶粒(例如,參照圖5描述的晶粒2002或參照圖1或圖2描述的實現IC結構的晶粒),其包括根據本文公開的任何實施例的具有環繞式閘極及/或一或多個環繞式S/D接點的一或多個平面電晶體。RF裝置2500的任何組件可包括如參照圖6所描述的IC裝置(例如,圖1-2的IC結構)及/或IC封裝2200。RF裝置2500的任何組件可以包括如參考圖7所描述的IC裝置組件2300。在一些實施例中,如參考圖8所描述,RF裝置2500可以被包括在計算裝置2400的任何組件內,或可以耦合至計算裝置2400的任何組件,例如,耦合至記憶體2404及/或計算裝置2400的處理裝置2402。如圖8所示,在其他實施例中,RF裝置2500可以進一步包括參考圖8描述的任何組件,諸如,但不限於,電池/電源電路2414、記憶體2404、以及各種輸入和輸出裝置。
通常,RF裝置2500可以是支援無線傳輸及/或接收電磁波形式的信號的任何裝置或系統,電磁波形式的射頻範圍約為3千赫茲(kHz)至300千兆赫(GHz)。在一些實施例中,RF裝置2500可以用於例如在任何合適的蜂巢式無線通信技術(例如,GSM、WCDMA或LTE)的BS或UE裝置中的無線通信。在另一示例中,RF裝置2500可以用作(或者例如在其中)毫米波無線技術(例如,第五代(5G)無線(即,高頻/短波長譜,例如,具有約20至60GHz範圍內的頻率,對應於約5至15毫米範圍內的波長))的BS或UE裝置。在又一示例中,RF裝置2500可以被用於使用無線通信Wi-Fi技術(例如,2.4GHz的頻帶,對應於約12厘米的波長,或5.8GHz的頻帶,頻譜,對應到約5厘米的波長)),例如在具有Wi-Fi功能的裝置中,例如桌上型電腦、筆記型電腦、視訊遊戲機、智慧型手機、平板電腦、智慧電視、數位音頻播放器、汽車、印表機等。在一些實施方式中,啟用Wi-Fi的裝置可以例如是被配置為與其他節點(例如,智慧感測器)通信資料的智慧系統中的節點。仍在另一個示例中,RF裝置2500可以用於使用藍牙技術(例如,從約2.4到約2.485GHz的頻帶,對應於約12cm的波長)的無線通信。在其他實施例中,RF裝置2500可用於出於通信以外的目的而發送及/或接收RF信號,例如在汽車雷達系統中、或在諸如磁共振成像(MRI)之類的醫療應用中。
在各種實施例中,RF裝置2500可以被包括在可以在蜂巢式網路中使用的頻率分配的頻域雙工(FDD, frequency-domain duplex)或時域雙工(TDD, time-domain duplex)變體中。在FDD系統中,上行鏈路(即,從UE裝置發送到BS的RF信號)和下行鏈路(即,從BS發送到US裝置的RF信號)可以同時使用單獨的頻帶。在TDD系統中,上行鏈路和下行鏈路可以使用相同的頻率但是在不同的時間。
圖9中示出的多個組件被包括在RF裝置2500中,但是這些組件中的任何一或多個可以被省略或複製,以適合於該應用。例如,在一些實施例中,RF裝置2500可以是支援RF信號的無線發送和接收兩者的RF裝置(例如,RF收發器),在這種情況下,它可以包括在此被稱為發送(TX)路徑的組件以及此處稱為接收(RX)路徑的組件兩者。然而,在其他實施例中,RF裝置2500可以是僅支援無線接收的RF裝置(例如,RF接收器),在這種情況下,它可以包括RX路徑的組成部分,但是不包括TX路徑的組成部分;或者RF裝置2500可以是僅支援無線傳輸的RF裝置(例如,RF發射器),在這種情況下,它可以包括本文稱為發送(TX)路徑以及本文稱為接收(RX)路徑的組件。
在一些實施例中,RF裝置2500中包括的一些或全部組件可以被附接到一或多個母板。在一些實施例中,這些部件中的一些或全部被製造在單個晶粒上,例如在單個SoC晶粒上。
另外,在各種實施例中,RF裝置2500可以不包括圖9所示的一或多個組件,但是RF裝置2500可以包括用於耦合到一或多個組件的介面電路。例如,RF裝置2500可以不包括天線2502,但是可以包括天線2502可以耦合到的天線介面電路(例如,匹配電路、連接器和驅動器電路)。在另一組示例中,RF裝置2500可以不包括數位處理單元2508或本地振盪器2506,但是可以包括數位處理單元2508或本地振盪器2506可以耦合到的裝置介面電路(例如,連接器和支援電路)。
如圖9所示,RF裝置2500可以包括天線2502、雙工器2504、本地振盪器2506、數位處理單元2508。如圖9示出,RF裝置2500可以包括RX路徑,該RX路徑可以包括RX路徑放大器2512、RX路徑預混濾波器2514、RX路徑混頻器2516、RX路徑後混濾波器2518以及類比數位轉換器(ADC)2520。如圖9進一步所示,RF裝置2500可以包括TX路徑,該TX路徑可以包括TX路徑放大器2522、TX路徑後混濾波器2524、TX路徑混頻器2526、TX路徑預混濾波器2528以及類比數位轉換器(DAC)2530。此外,RF裝置2500可以進一步包括阻抗調諧器(tuner)2532和RF開關2534。在各種實施例中,RF裝置2500可以包括圖9所示的任何組件的多個實例。在一些實施例中,RX路徑放大器2512、TX路徑放大器2522中、雙工器2504、以及RF開關2534可以被認為形成了RF裝置2500的RF FE或是其一部分。在一些實施例中,RX路徑混頻器2516和TX路徑混頻器2526(可能具有在圖9中示出的它們的相關聯的預混和後混濾波器)可以被認為形成RF裝置2500的RF收發器(或者如果RF裝置2500中僅分別包括RX路徑或TX路徑分量,則為RF接收器或RF發射器)或是其一部分。儘管在圖9中未具體示出,RF裝置2500可以進一步包括用於RF裝置的一或多個控制邏輯元件/電路(例如,在RF FR控制介面中),例如,以增強對複雜RF系統環境的控制、支援封包追踪技術、減少耗散功率等。本文所描述的各種IC結構對於實現這種控制邏輯元件/電路的至少一部分可能是特別有利的。
天線2502可以配置為根據任何無線標準或協定,例如Wi-Fi、LTE或GSM)以及任何其他指定為3G、4G、5G及更高版本的無線協定,進行無線發送及/或接收RF信號。如果RF裝置2500是FDD收發器,則可以將天線2502配置為在分離的(即,非重疊的和非連續的)頻帶,例如彼此間隔例如20 MHz的頻帶中同時接收和發送通信信號。如果RF裝置2500是TDD收發器,則天線2502可以被配置為在可以相同或對於TX和RX路徑重疊的頻帶中順序地接收和發送通信信號。在一些實施例中,RF裝置2500可以是多頻帶RF裝置,在這種情況下,天線2502可以被配置用於同時接收在分離的頻帶中具有多個RF分量的信號及/或被配置用於同時發送在單獨頻帶中具有多個RF分量的信號。在這樣的實施例中,天線2502可以是單個寬帶天線或多個頻帶專用天線(即,多個天線,每個天線被配置為在特定頻帶中接收及/或發送信號)。在各種實施例中,天線2502可以包括多個天線元件,例如,形成相位天線陣列的多個天線元件(即,通信系統或天線陣列,其可以使用多個天線元件並且相移以發射和接收RF信號)。相較於單天線系統,相位天線陣列可提供諸如增益增加、方向轉向能力以及同時通信之類的優勢。在一些實施例中,RF裝置2500可以包括一個以上的天線2502以實施天線分集(diversity)。在一些這樣的實施例中,RF開關2534可以被部署為在不同的天線之間切換。
天線2502的輸出可以耦合到雙工器2504的輸入。雙工器2504可以是被配置為對多個信號進行濾波以允許在雙工器2504和天線2502之間的單個路徑上的雙向通信的任何合適的組件。雙工器2504可以被配置為用於向RF裝置2500的RX路徑提供RX信號,且用於從RF裝置2500的TX路徑接收TX信號。
RF裝置2500可以包括一或多個本地振盪器2506,其被配置為提供本地振盪器信號,該本地振盪器信號可以用於天線2502所接收的RF信號的降頻及/或天線2502要發射的信號的升頻。
RF裝置2500可以包括數位處理單元2508,其可以包括一或多個處理裝置。在一些實施例中,數位處理單元2508可以被實施為圖8中所示的處理裝置2402,對它們的描述提供如上(當用作數位處理單元2508時,處理裝置2402可以但不必實施本文所述的任何IC結構,例如,根據本文所揭露的任何實施例,具有一或多個平面電晶體的IC結構,一或多個平面電晶體具有環繞式閘極及/或一或多個環繞式S/D接點)。數位處理單元2508可以被配置為執行與RX及/或TX信號的數位處理有關的各種功能。這樣的功能的示例包括但不限於抽取/縮減採樣(decimation/downsampling)、糾錯、數位降頻或升頻、DC偏移消除、自動增益控制等。儘管未在圖9中示出,在一些實施例中,RF裝置2500可以進一步包括記憶體裝置,例如,參照圖8描述的記憶體裝置2404,被配置為與數位處理單元2508合作。當在RF裝置2500內使用或耦合到RF裝置2500時,記憶體裝置2404可以但不必實施本文所述的任何IC結構,例如,根據本文所揭露的任何實施例,具有一或多個平面電晶體的IC結構,一或多個平面電晶體具有環繞式閘極及/或一或多個環繞式S/D接點。
轉向可以包括在RF裝置2500中的RX路徑的細節,RX路徑放大器2512可以包括低雜訊放大器(LNA)。RX路徑放大器2512的輸入可以例如經由雙工器2504耦合到天線2502的天線端口(未示出)。RX路徑放大器2512可以放大由天線2502接收的RF信號。
RX路徑放大器2512的輸出可以耦合到RX路徑預混濾波器2514的輸入,該RX路徑預混濾波器2514可以是諧波或帶通(例如,低通)濾波器,被配置為對接收到透過RX路徑放大器2512放大的RF信號進行濾波。
RX路徑預混濾波器2514的輸出可以耦合到RX路徑混頻器2516的輸入,也稱為降頻轉換器。RX路徑混頻器2516可以包括兩個輸入和一個輸出。第一輸入可以被配置為接收RX信號,其可以是指示透過天線2502接收到的信號的當前信號(例如,第一輸入可以接收RX路徑預混濾波器2514的輸出)。第二輸入可以被配置為從本地振盪器2506之一接收本地振盪器信號。RX路徑混頻器2516然後可以混頻在其兩個輸入處接收的信號以產生在RX路徑混頻器2516的輸出處提供的降頻RX信號。如本文所使用,降頻是指將接收到的RF信號與本地振盪器信號混頻以產生較低頻率的信號的過程。特別地,降頻RX路徑混頻器2516可以被配置為當在兩個輸入端口處提供兩個輸入頻率時在輸出端口處產生和頻(sum frequency)及/或差頻(difference frequency)。在一些實施例中,RF裝置2500可以實施直接轉換接收器(DCR, direct-conversion receiver),也被稱為零差(homodyne)、同步(synchrodyne)或零中頻接收器,在這種情況下,RX路徑混頻器2516可以被配置為使用來解調輸入無線電信號,該輸入無線電信號使用其頻率等於或非常接近無線電信號載波頻率的本地振盪器信號。在其他實施例中,RF裝置2500可以利用降頻到中頻(IF)。IF可以用於超外差式無線電接收機中,其中在完成對接收信號中資訊的最終檢測之前,將接收到的RF信號轉換為IF。出於多種原因,轉換為IF可能會很有用。例如,當使用幾級濾波器時,都可以將它們都設置為固定頻率,這使得它們更易於建立和調整。在一些實施例中,RX路徑混頻器2516可以包括幾個IF轉換這樣的級(stage)。
儘管在圖9的RX路徑中示出單個RX路徑混頻器2516,在一些實施例中,RX路徑混頻器2516可以被實施為正交降頻轉換器,在這種情況下,它將包括第一RX路徑混頻器和第二RX路徑混頻器。第一RX路徑混頻器可以被配置用於通過將天線2502接收的RX信號與本地振盪器2506提供的本地振盪器信號的同相分量進行混頻來執行降頻以產生同相(I)降頻的RX信號。第二RX路徑混頻器可以被配置為用於通過將天線2502接收的RX信號與本地振盪器2506提供的本地振盪器信號的正交分量混頻(正交分量是一個與本地振盪器信號的同相分量相位偏移90度的分量)來執行降頻以產生正交(Q)降頻的RX信號。第一RX路徑混頻器的輸出可被提供給I信號路徑,而第二RX路徑混頻器的輸出可被提供給Q信號路徑,其可以是與I信號路徑大致90度的相位差。
RX路徑混頻器2516的輸出可以可選地耦合到RX路徑後混濾波器2518,其可以是低通濾波器。在RX路徑混頻器2516是實施如上所述的第一和第二混頻器的正交混頻器的情況下,分別在第一和第二混頻器的輸出處提供的同相和正交分量可以耦合到包括在濾波器2518中各自的單獨的第一和第二RX路徑後混濾波器。
ADC2520可以被配置為將來自RX路徑混頻器2516的混頻的RX信號從類比域轉換為數位域。ADC2520可以是正交ADC,類似於RX路徑正交混頻器2516,其可以包括兩個ADC,被配置為數位化以同相和正交分量分離的降頻的RX路徑信號。ADC2520的輸出可以被提供給數位處理單元2508,其被配置為執行與RX信號的數位處理有關的各種功能,從而可以提取編碼在RX信號中的資訊。
轉向可包括在RF裝置2500中的TX路徑的細節,稍後將由天線2502發送的數位信號(TX信號)從數位處理單元2508提供給DAC2530。類似於ADC2520,DAC2530可以包括兩個DAC,其被配置為分別將數位I路徑和Q路徑TX信號分量轉換為類比形式。
可選地,DAC2530的輸出可以耦合到TX路徑預混濾波器2528,其可以是帶通(例如,低通)濾波器(或者一對帶通,例如,低通濾波器,在正交處理的情況下)配置為從DAC2530輸出的類比TX信號中濾除所需頻帶之外的信號分量。然後可以將數位TX信號提供給TX路徑混頻器2526,其也可以稱為升頻轉換器。類似於RX路徑混頻器2516,TX路徑混頻器2526可以包括一對TX路徑混頻器,用於同相和正交分量混頻。類似於可以包括在RX路徑中的第一和第二RX路徑混頻器,TX路徑混頻器2526的每個TX路徑混頻器可以包括兩個輸入和一個輸出。第一輸入可以接收被相應的DAC2530轉換為類比形式的TX信號分量,所述TX信號分量將被升頻以產生要發送的RF信號。第一TX路徑混頻器可以通過將由DAC2530轉換為類比形式的TX信號分量與從本地振盪器2506提供的TX路徑本地振盪器信號的同相分量進行混頻來產生同相(I)升頻信號(在各種實施例中,本地振盪器2506可以包括多個不同的本地振盪器,或者被配置為為RX路徑中的混頻器2516和TX路徑中的混頻器2526提供不同的本地振盪器頻率)。第二TX路徑混頻器可以通過將由DAC2530轉換為類比形式的TX信號分量與TX路徑本地振盪器信號的正交分量進行混頻來產生正交相位(Q)升頻的信號。第二TX路徑混頻器的輸出可以被添加到第一TX路徑混頻器的輸出以建立真實的RF信號。每個TX路徑混頻器的第二輸入可以耦合到本地振盪器2506。
可選地,RF裝置2500可以包括TX路徑後混濾波器2524,其被配置為對TX路徑混頻器2526的輸出進行濾波。
TX路徑放大器2522可以是功率放大器(PA),被配置為在將升頻的RF信號提供給天線2502進行傳輸之前放大該升頻的RF信號。
在各個實施例中,RX路徑預混濾波器2514、RX路徑後混濾波器2518、TX後混濾波器2524和TX預混濾波器2528中的任何一個可以被實施為RF濾波器。在一些實施例中,每個這樣的RF濾波器可以包括一或多個,通常是多個,例如以梯形配置佈置的諧振器(resonator)(例如,薄膜壓電諧振器(FBAR)、蘭姆(Lamb)波諧振器及/或輪廓波(contour-wave)諧振器)。RF濾波器的單個諧振器可以包括壓電材料層,例如氮化鋁(AlN),其包圍在底部電極和頂部電極之間,並在每個電極的一部分周圍提供空腔,以允許一部分壓電材料在濾波器工作期間振動。在一些實施例中,RF濾波器可以被實施為多個RF濾波器或濾波器組(filter bank)。濾波器組可以包括多個RF諧振器,其可以耦合到開關,例如,RF開關2534,其被配置為選擇性地接通和斷開多個RF諧振器中的任何一個(即,啟動多個RF諧振器中的任何一個),以達到濾波器組的所需濾波特性(即,以便對濾波器組進行程式化)。例如,當RF裝置2500是或被包括在BS或UE裝置中時,這樣的濾波器組可以用於在不同的RF頻率範圍之間切換。在另一個示例中,這樣的濾波器組可以是可程式化的,以抑制不同雙工距離上的TX洩漏。
阻抗調諧器2532可以包括被配置為匹配不同RF電路的輸入和輸出阻抗以最小化RF裝置2500中的信號損失的任何合適的電路。例如,阻抗調諧器2532可以包括天線阻抗調諧器。能夠調諧天線2502的阻抗可能特別有利,因為天線的阻抗是RF裝置2500所處環境的函數,例如天線的阻抗變化取決於,例如天線是否握在手中、放在車頂上等。
如上所述,RF開關2534可以用於選擇性地在圖9所示的組件中的任何一個的多個實例之間切換,以實施RF裝置2500的期望行為和特性。例如,在一些實施例中,RF開關可用於在不同天線2502之間切換。在其他實施例中,RF開關可以用於在RF裝置2500中包括的任何濾波器的多個RF諧振器之間進行切換(例如,通過選擇性地打開和關閉RF諧振器)。
在各種實施例中,本文所述的一或多個III-N族電晶體當使用在雙工器2504、RX路徑放大器2512、RX路徑預混濾波器2514、RX路徑後混濾波器2518、TX路徑放大器2522、TX路徑預混濾波器2528、TX路徑後混濾波器2524、阻抗調諧器2532及/或RF開關2534中的任何一個時,可能特別有利。
RF裝置2500提供簡化版本,且在進一步的實施例中,可包括未在圖9中具體示出的其他組件。例如,RF裝置2500的RX路徑可以包括在RX路徑混頻器2516和ADC2520之間的電流-電壓放大器,其可以被配置為將降頻的信號放大並將其轉換為電壓信號。在另一個示例中,RF裝置2500的RX路徑可以包括用於產生平衡信號的平衡-不平衡變換器(balun)。在又一個示例中,RF裝置2500可以進一步包括時脈產生器,該時脈產生器可以例如包括合適的鎖相環(PLL, phase-locked loop),其被配置為接收參考時脈信號且使用它來產生不同的時脈信號,然後其可以被用來對ADC2520,DAC2530的操作進行定時,及/或也可以被本地振盪器2506用來產生要在RX路徑或TX路徑中使用的本地振盪器信號。選擇示例
下面的段落提供對本文揭露的實施方式的各種示例。
示例1提供的IC結構,其包括支撐結構,(例如,基板、晶粒或晶片),及平面III-N族電晶體。電晶體包括:設置在支撐結構上方的通道堆疊,通道堆疊包括設置在所述支撐結構上方的III-N族半導體材料,及設置在所述III-N族半導體材料上方的極化材料,第一和第二源極/汲極(S/D)區域設置在通道堆疊中,且閘極堆疊設置在第一和第二S/D區域之間的通道堆疊的一部分上方,其中,閘極堆疊至少部分地環繞在通道的上部。
示例2提供根據示例1的IC結構,其中環繞通道堆疊的上部的閘極堆疊包括設置在通道堆疊的上表面(例如,通道堆疊最遠離支撐結構的表面)上方的閘極堆疊並沿著通道堆疊的至少一個側壁(較佳地沿著兩個側壁)延伸到的深度約2至100奈米之間,包括在其中所有的值和範圍,例如,在約4至50奈米之間,或在約5至30奈米之間。
示例3提供根據示例2的IC結構,其中,閘極堆疊包括環繞通道堆疊的上部的閘極介電材料和環繞閘極介電材料的閘極電極材料。
示例4提供根據示例3的IC結構,其中一部分閘極介電材料在通道堆疊的至少一個側壁處與III-N族半導體材料接觸。
示例5提供根據前述示例中任何一個的IC結構,其中第一和第二S/D區域中的每一個延伸到通道堆疊中的深度在約8至200奈米之間,包括在其中所有的值和範圍,例如在約10至150奈米之間,或在約10至80奈米之間。
示例6提供根據前述示例中任何一個的IC結構,其中,在垂直於閘極長度的方向上,通道堆疊的寬度在約20奈米至1毫米之間,包括在其中所有的值和範圍,例如在約50奈米至500微米之間,或在約100奈米至50微米之間。
示例7提供根據前述示例中任何一個的IC結構,其中,所述極化材料包括的材料具有比III-N族半導體材料更強的壓電極化行為/特性,且被配置在III-N族半導體材料引起拉伸應力(tensile stress)。
示例8提供根據示例7的IC結構,其中極化材料包括鋁、銦、鎵和氮(例如,Alx Iny Gaz N)。
示例9提供根據前述示例中任何一個的IC結構,其中,在閘極堆疊和III-N族半導體材料之間的極化材料的厚度在約0.1至50奈米之間,例如在約1至20奈米之間,或在約1至10奈米之間。
示例10提供根據前述示例中任何一個的IC結構,其中,III-N族半導體材料包括氮以及鎵和鋁中的一或多種(例如,GaN、AlN或AlGaN)。
示例11提供根據前述示例中任何一個的IC結構,其中III-N族半導體材料的厚度在約5至1000奈米之間,例如,在約5至100奈米之間,或者在約10至50奈米之間。
示例12提供根據前述示例中任何一個的IC結構,進一步包括在III-N族半導體材料與支撐結構之間的緩衝材料,其中,緩衝材料的能隙大於III-N族半導體材料的能隙。
示例13提供根據示例12的IC結構,其中,緩衝材料包括包括鋁、鎵和氮的材料(例如,AlGaN),或者包括鋁和氮的材料(例如,AlN)。
示例14提供根據示例12或13的IC結構,其中緩衝材料的厚度在約100至5000奈米之間,例如,在約250至500奈米之間。
示例15提供根據前述示例中任何一個的IC結構,進一步包括S/D接點材料(例如,用於實施S/D接點126的導電材料118),其至少部分地環繞在第一和第二S/D區域中的至少一個(例如第一S/D區域)的上部分。
示例16提供根據示例15的IC結構,其中,環繞第一S/D區域的上部的S/D接點材料包括設置在第一S/D區域的上表面(例如,距支撐結構最遠的第一S/D區域的表面)上方的S/D接點材料,且沿著通道堆疊的至少一個側壁(較佳地沿著兩個側壁)延伸到約2至150奈米之間的深度,包括在其中所有的值和範圍,例如約4至75奈米之間,或約5至45奈米之間。
示例17提供根據示例16的IC結構,其中,所述S/D接點材料的一部分與所述第一S/D區域的至少一個側壁接觸。
示例18提供根據前述示例中任何一個的IC結構,其中平面III-N族電晶體是RF電路的一部分,或者III-N族電晶體是電源電路的一部分。
示例19提供一種IC封裝,其包括IC晶粒和另一IC部件,耦合到所述IC晶粒。IC晶粒包括具有通道堆疊和閘極堆疊的平面電晶體。通道堆疊包括一或多個半導體材料(例如,III-N族半導體材料和設置在所述III-N族半導體材料上方的極化材料)。通道堆疊具有上表面、和一對相對側壁,其中一對相對側壁的距離是約20奈米至1毫米之間,包括在其中所有的值和範圍,例如,約50奈米至500微米之間,或大於約100奈米(例如,在約100奈米至50微米之間)。閘極堆疊包括與通道堆疊的上表面的一部分接觸的第一部分,和與通道堆疊的一對相對側壁的至少一者的部分接觸的第二部分。
示例20提供根據示例19的IC封裝,其中在通道堆疊的一對相對側壁的至少一個側壁處,閘極堆疊的第二部分與通道堆疊的一或多種半導體材料中的至少一種接觸(例如,與通道堆疊III-N族半導體材料接觸)。
示例21提供根據示例19或20的IC封裝,其中第一部分與第二部分連續(例如,閘極堆疊環繞通道堆疊的一對相對側壁的至少一個側壁)。
示例22提供根據示例19-21中任何一個的IC封裝,其中,其他IC組件包括封裝基板、中介層或其他IC晶粒中的一個。
示例23提供根據示例19-22中任何一個的IC封裝,其中該IC封裝包括在RF通信裝置(例如,RF收發器)的開關、功率放大器、低雜訊放大器、濾波器、濾波器組、雙工器、升頻轉換器,降頻轉換器、或邏輯電路之一中、且/或IC封裝被包括在無線通信系統的基站或無線通信系統的UE裝置(例如,行動裝置)中。
在各個其他示例中,根據前述示例中任何一個的IC封裝的IC晶粒可以包括根據前述示例中的任何一個的IC結構,例如,根據示例1-18的任何一個的IC結構。
示例24提供一種製造IC結構的方法。所述方法包括形成在支撐結構上方的通道堆疊,通道堆疊包括III-N族半導體材料和在所述III-N族半導體材料上方的極化材料;在通道堆疊中形成一對源/汲極(S/D)區域;和在一對S/D區域之間的通道堆疊的一部分提供閘極堆疊,其中所述閘極堆疊至少部分地環繞通道堆疊的上部。
示例25提供根據示例24的方法,其中,環繞通道堆疊的上部的閘極堆疊包括:設置在通道堆疊的上表面上方且沿著通道疊層的至少一個側壁(較佳地沿著兩個側壁)延伸到約2至100之間的深度的閘極堆疊,包括在其中的所有值和範圍,例如在約4至50奈米之間,或約5至30奈米之間。
示例26提供根據示例24的方法,其中,通道堆疊包括上表面和一對相對側壁,且其中提供閘極堆疊包括在介電材料中形成凹部,該凹部包圍所述通道堆疊的一對相對側壁,以暴露通道堆疊的一對相對側壁的至少一個側壁的一部分,其中沿著通道堆疊的至少一個側壁(較佳地沿著兩側壁),通道堆疊的一對相對側壁的至少一個側壁的一部分的高度,在約2至100奈米之間,包括在其中所有的值和範圍,例如在約4至50奈米之間,或者約5至30奈米之間,沉積在通道堆疊的上表面上方以及在通道堆疊的一對相對側壁的至少一個側壁的暴露部分上的閘極介電材料,及在閘極介電材料上方沉積閘極堆疊的閘極電極材料。
在根據示例24-26中任何一個的各種其他方法的示例中,IC結構是根據示例1-18中任何一個的IC結構,且該方法包括製造這些IC結構中的任何一個的相應的進一步製程。
示例27提供一種IC結構,其包括支撐結構(例如,基板、晶粒或晶片)和平面電晶體。電晶體包括設置在支撐結構上方的通道堆疊,通道堆疊包括設置在支撐結構上方的一或更多種半導體材料(例如,III-N族半導體材料和設置在所述III-N族半導體材料的極化材料),設置在通道堆疊中的第一和第二源極/汲極(S/D)區域,及S/D接點材料,其中S/D接點材料至少部分地環繞第一/第二S/D區域的至少一個的上部,例如第一S/D區域。如果S/D接點材料環繞在S/D區域兩者的上部,則其是環繞在每個相應的S/D區域的所述材料(例如,不連續材料)的不同情況。
示例28提供根據示例27的IC結構,其中,S/D接點材料環繞第一S/D區域的上部包括設置S/D接點材料在第一S/D區域的上表面(例如,距支撐結構最遠的S/D區域的表面)上方,且沿著通道堆疊的至少一個側壁(較佳地沿著兩個側壁)延伸約2至150奈米之間的深度,包括在其中所有的值和範圍,例如約4至75奈米之間,或約5至45奈米之間。
示例29提供根據示例28所述的IC結構,其中,所述S/D接點材料的一部分與所述第一S/D區域的至少一個側壁接觸。
示例30提供一種電子裝置,其包括載體基板;及耦合到所述載體基板的IC晶粒,其中,所述IC晶粒包括根據示例1-18或27-29中任一個示例的電晶體設置,及/或包括在根據示例19-23中任一個的IC封裝。
示例31提供根據示例30的電子裝置,其中計算裝置是可穿戴式或手持式電子裝置。
示例32提供根據示例30或31的電子裝置,其中電子裝置進一步包括一或多個通信晶片和天線。
示例33提供根據示例30-32中任何一個的電子裝置,其中載體基板是母板。
示例34提供根據示例30-33中任何一個的電子裝置,其中,電子裝置是RF收發器。
示例35提供根據示例30-34中任何一個的電子裝置,其中,電子裝置是RF通信裝置(例如,RF收發器)的開關、功率放大器、低雜訊放大器、濾波器、濾波器組、雙工器、升頻轉換器、降頻轉換器、或邏輯電路(例如,控制邏輯)之一。
示例36提供根據示例30-35中任何一個的電子裝置,其中電子裝置被包括在無線通信系統的基站中。
示例37提供根據示例30-35中任何一個的電子裝置,其中,電子裝置被包括在無線通信系統的UE裝置(例如,行動裝置)中。
包括摘要中描述的內容在內的本揭露的圖示實施的以上描述並非旨在窮舉或將本揭露限制為所揭露的精確形式。儘管本文出於說明性目的描述本揭露的具體實施方式和示例,但是如相關領域的技術人員將認識到的,在本揭露的範圍內可以進行各種等效修改。可以根據以上詳細描述對本揭露進行這些修改。
100:IC結構 102:平面III-N族電晶體 108:支撐結構 110:絕緣體 112:III-N族材料 114:極化材料 116:S/D區域 118:導電材料 120:閘極介電材料 122:閘極電極材料 126:S/D接點 128:閘極堆疊 136:尺寸 140:尺寸 142:尺寸 144:深度 152:上表面 154:側壁 162:上表面 164:側壁 200:IC結構 202:緩衝材料 300:方法 302:製程 304:製程 306:製程 308:製程 310:製程 312:製程 402:IC結構 404:IC結構 406:IC結構 408:IC結構 410:IC結構 458:開口 2000:晶圓 2002:晶粒 2200:IC封裝 2252:封裝基板 2254:導電接點 2256:晶粒 2257:中介層 2258:第一級互連 2260:導電接點 2261:導電接點 2263:導電接點 2264:導電接點 2265:第一級互連 2266:底部填充材料 2268:模化合物 2270:第二級互連 2272:面 2274:面 2300:IC裝置組件 2302:電路板 2304:中介層 2306:矽通孔 2308:金屬互連 2310:通孔 2314:嵌入式裝置 2316:耦合部件 2318:耦合部件 2320:IC封裝 2322:耦合部件 2324:IC封裝 2326:IC封裝 2328:耦合部件 2330:耦合部件 2332:IC封裝 2334:堆疊式封裝結構 2336:中介層上封裝結構 2340:第一面 2342:第二面 2400:計算裝置 2402:處理裝置 2404:記憶體 2406:顯示裝置 2408:音頻輸出裝置 2410:其他輸出裝置 2412:通信晶片 2414:電池/電源電路 2416:GPS裝置 2418:音頻輸入裝置 2420:其他輸入裝置 2422:天線 2500:RF裝置 2502:天線 2504:雙工器 2506:本地振盪器 2508:數位處理單元 2512:RX路徑放大器 2514:RX路徑預混濾波器 2516:RX路徑混頻器 2518:RX路徑後混濾波器 2520:ADC 2522:TX路徑放大器 2524:TX路徑後混濾波器 2526:TX路徑混頻器 2528:TX路徑預混濾波器 2530:DAC 2532:阻抗調諧器 2534:RF開關
透過以下結合附圖的詳細描述,將容易理解實施例。為便於描述,相似的參考標號表示相似的結構元件。在附圖的圖中以示例而非限制的方式示出實施例。
根據本揭露的一些實施例,[圖1A-1C]提供各種剖面側視圖示出一積體電路(IC)結構,其包括一個具有環繞式閘極和環繞式源極/汲極(S/D)接點的平面III-N族電晶體。
根據本揭露的一些實施例,[圖2A-2C]提供各種剖面側視圖示出的IC結構,其包括一個具有環繞式閘極、環繞式S/D接點、和一個緩衝層的平面III-N族電晶體。
根據本揭露的各種實施例,[圖3]是製造IC結構的示例性方法的流程圖的,其包括一個具有環繞式閘極及/或環繞式S/D接點的平面III-N族電晶體。
根據本揭露的一些實施例,[圖4A-4E]是示出使用圖3的方法製造IC結構的不同示例階段的各種視圖。
根據本揭露的任何實施例,[圖5A-5B]是包括一或多個IC結構的晶片和晶粒的俯視圖,IC結構具有一或多個具有環繞式閘極及/或一或多個環繞式S/D接點的平面III-N族電晶體。
根據本揭露的任何實施例,[圖6]是IC封裝的剖面側視圖,其可包括一或多個IC結構,IC結構具有一或多個具有環繞式閘極及/或一或多個環繞式S/D接點的平面III-N族電晶體。
根據本揭露的任何實施例,[圖7]是IC裝置組件的剖面側視圖,該IC裝置組件可以包括一或多個IC結構,該IC結構具有一或多個具有環繞式閘極及/或一或多個環繞式S/D接點的平面III-N族電晶體。
根據本揭露的任何實施例,[圖8]是示例計算裝置的方塊圖,該示例計算裝置可以包括一或多個IC結構,該IC結構具有一或多個具有環繞式閘極及/或一或多個環繞式S/D接點的平面III-N族電晶體。
根據本揭露的任何實施例,[圖9]是示例性RF裝置的方塊圖,該示例性RF裝置可以包括一或多個IC結構,該IC結構具有一或多個具有環繞式閘極及/或一或多個環繞式S/D接點的平面III-N族電晶體。
100:IC結構
102:平面III-N族電晶體
108:支撐結構
110:絕緣體
112:III-N族材料
114:極化材料
116:S/D區域
118:導電材料
120:閘極介電材料
122:閘極電極材料
126:S/D接點
128:閘極堆疊
132,134:尺寸
140:尺寸

Claims (20)

  1. 一種積體電路(IC)結構,包括:支撐結構;及III-N族電晶體,包括:通道堆疊,在所述支撐結構上方,所述通道堆疊包括III-N族半導體材料及在所述III-N族半導體材料上方的極化材料;第一和第二源/汲極(S/D)區域,在所述通道堆疊中;及閘極堆疊,在所述第一和第二S/D區域之間的所述通道堆疊的一部分上方及在所述極化材料的第一凹部中;其中,所述閘極堆疊至少部分地環繞在所述通道堆疊的上部。
  2. 根據請求項1所述的IC結構,其中,所述閘極堆疊環繞所述通道堆疊的所述上部包括:所述閘極堆疊在所述通道堆疊的上表面上方且沿著所述通道堆疊的至少一側壁延伸2至100奈米之間的深度。
  3. 根據請求項2所述的IC結構,其中,所述閘極堆疊包括環繞所述通道堆疊的所述上部的閘極介電材料,以及環繞所述閘極介電材料的閘極電極材料。
  4. 根據請求項3所述的IC結構,其中,所述閘極介電材料的一部分在所述通道堆疊的至少一側壁處與所述III-N族半導體材料接觸。
  5. 根據請求項1所述的IC結構,其中,在垂 直於所述III-N族電晶體的閘極長度的方向上所述通道堆疊的尺寸在20奈米至1毫米之間。
  6. 根據請求項1所述的IC結構,其中,所述極化材料包括在所述III-N族半導體材料中引起拉伸應力的材料。
  7. 根據請求項1所述的IC結構,其中,在所述閘極堆疊與所述III-N族半導體材料之間的所述極化材料的厚度在0.1至50奈米之間。
  8. 根據請求項1所述的IC結構,進一步包括在所述III-N族半導體材料和所述支撐結構之間的緩衝材料,其中,所述緩衝材料的能隙大於所述III-N族半導體材料的能隙。
  9. 根據請求項1所述的IC結構,進一步包括S/D接點材料,所述S/D接點材料至少部分地環繞所述第一S/D區域的上部。
  10. 根據請求項9所述的IC結構,其中,環繞所述第一S/D區域的所述上部的所述S/D接點材料包括所述S/D接點材料在所述第一S/D區域的上表面上方且沿著所述通道堆疊的所述至少一側壁延伸2至150奈米之間的深度。
  11. 根據請求項10所述的IC結構,其中,所述S/D接點材料的一部分與所述第一S/D區域的至少一側壁接觸。
  12. 根據請求項1所述的IC結構,其中,所 述III-N族電晶體是射頻電路或電源電路的一部分。
  13. 一種積體電路(IC)封裝,包括:IC晶粒,包括平面電晶體,所述平面電晶體包括:一或多種半導體材料的通道堆疊,所述通道堆疊具有上表面和一對相對側壁,其中所述相對側壁之間的距離大於100奈米;及閘極堆疊,在所述極化材料的第一凹部中,其中所述閘極堆疊包括:第一部分,與所述通道堆疊的所述上表面的一部分接觸;及第二部分,與所述通道堆疊的所述一對相對側壁中的至少一側壁的一部分接觸;及其他IC組件,耦合到所述IC晶粒。
  14. 根據請求項13所述的IC封裝,其中,所述閘極堆疊的所述第二部分在所述通道堆疊的所述一對相對側壁中的所述至少一側壁處與所述通道堆疊的一或多種半導體材料中的至少一種接觸。
  15. 根據請求項13所述的IC封裝,其中,所述第一部分與所述第二部分連續。
  16. 根據請求項13所述的IC封裝,其中所述其他IC組件包括封裝基板、中介層、或其他IC晶粒中之一。
  17. 根據請求項13所述的IC封裝,其中所述IC封裝被包括在RF通信裝置的開關、功率放大器、低雜訊 放大器、濾波器、濾波器組、雙工器、升頻轉換器、降頻轉換器、或邏輯電路之一。
  18. 一種製造積體電路(IC)結構的方法,所述方法包括:在支撐結構上方形成通道堆疊,所述通道堆疊包括III-N族半導體材料和在所述III-N族半導體材料上方的極化材料;在所述通道堆疊中形成一對源/汲極(S/D)區域;及在所述一對S/D區域之間的所述通道堆疊的一部分上方形成閘極堆疊;其中,所述閘極堆疊至少部分地環繞所述通道堆疊的上部及在所述極化材料的第一凹部中。
  19. 根據請求項18所述的方法,其中,所述閘極堆疊環繞所述通道堆疊的所述上部包括:所述閘極堆疊在所述通道堆疊的上表面上方且沿著所述通道堆疊的至少一側壁延伸2至100奈米之間的深度。
  20. 根據請求項18所述的方法,其中,所述通道堆疊包括上表面和一對相對側壁,且其中提供所述閘極堆疊包括:在包圍所述通道堆疊的所述一對相對側壁的介電材料中形成凹部,以暴露所述通道堆疊的所述一對相對側壁的至少一側壁的一部分,其中沿著所述通道堆疊的至少一側壁,所述通道堆疊的所述一對相對側壁的所述至少一側壁的所述暴露部分的高度在2到100奈米之間; 在所述通道堆疊的所述上表面上方以及在所述通道堆疊的所述一對相對側壁的所述至少一側壁的所述暴露部分上方沉積所述閘極堆疊的閘極介電材料;及在所述閘極介電材料上方沉積所述閘極堆疊的閘極電極材料。
TW109102761A 2019-03-01 2020-01-30 具有環繞式閘極和環繞式源極和汲極接點的平面電晶體 TWI829858B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/289,824 2019-03-01
US16/289,824 US11588037B2 (en) 2019-03-01 2019-03-01 Planar transistors with wrap-around gates and wrap-around source and drain contacts

Publications (2)

Publication Number Publication Date
TW202101764A TW202101764A (zh) 2021-01-01
TWI829858B true TWI829858B (zh) 2024-01-21

Family

ID=72046184

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109102761A TWI829858B (zh) 2019-03-01 2020-01-30 具有環繞式閘極和環繞式源極和汲極接點的平面電晶體

Country Status (4)

Country Link
US (1) US11588037B2 (zh)
CN (1) CN111640741A (zh)
DE (1) DE102020102334A1 (zh)
TW (1) TWI829858B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11587924B2 (en) * 2019-03-22 2023-02-21 Intel Corporation Integration of passive components in III-N devices
US12027613B2 (en) * 2019-05-22 2024-07-02 Intel Corporation III-N transistor arrangements for reducing nonlinearity of off-state capacitance
CN113327974B (zh) * 2021-01-29 2023-11-24 上海先进半导体制造有限公司 场效应晶体管及其制造方法
US11423204B1 (en) 2021-04-14 2022-08-23 Taiwan Semiconductor Manufacturing Company Limited System and method for back side signal routing
US11824133B2 (en) * 2021-07-22 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Detection using semiconductor detector

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106684151A (zh) * 2016-12-08 2017-05-17 中国电子科技集团公司第五十五研究所 一种GaN侧墙绝缘栅鳍式高电子迁移率晶体管及其制造方法
TW201810663A (zh) * 2016-07-01 2018-03-16 英特爾公司 針對氮化鎵(GaN)增強模式電晶體效能之閘極堆疊設計

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8502302B2 (en) * 2011-05-02 2013-08-06 Alpha And Omega Semiconductor Incorporated Integrating Schottky diode into power MOSFET
KR102400212B1 (ko) * 2014-03-28 2022-05-23 가부시키가이샤 한도오따이 에네루기 켄큐쇼 트랜지스터 및 반도체 장치
US9425250B2 (en) * 2014-12-30 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor with wurtzite channel
JP6600475B2 (ja) * 2015-03-27 2019-10-30 ローム株式会社 半導体装置
EP3314666A4 (en) * 2015-06-26 2019-02-13 INTEL Corporation SOURCE SPACER / SEMICONDUCTOR DRAIN WITH HIGH MOBILITY
US9911861B2 (en) * 2015-08-03 2018-03-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method of the same, and electronic device
US20190081166A1 (en) * 2017-09-08 2019-03-14 Electronics And Telecommunications Research Institute Gate-all-around device and method for fabricating the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201810663A (zh) * 2016-07-01 2018-03-16 英特爾公司 針對氮化鎵(GaN)增強模式電晶體效能之閘極堆疊設計
CN106684151A (zh) * 2016-12-08 2017-05-17 中国电子科技集团公司第五十五研究所 一种GaN侧墙绝缘栅鳍式高电子迁移率晶体管及其制造方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
網路文獻 賴姿侑 氮化鎵(GaN)功率元件技術 2013-02-27 https://www.digitimes.com.tw/tech/dt/n/shwnws.asp?id=0000324112_t8t01z9f2fh5zc1dwif6d *

Also Published As

Publication number Publication date
US11588037B2 (en) 2023-02-21
TW202101764A (zh) 2021-01-01
DE102020102334A1 (de) 2020-09-03
CN111640741A (zh) 2020-09-08
US20200279932A1 (en) 2020-09-03

Similar Documents

Publication Publication Date Title
US11502124B2 (en) Filter-centric III-N films enabling RF filter integration with III-N transistors
TWI829858B (zh) 具有環繞式閘極和環繞式源極和汲極接點的平面電晶體
US11791221B2 (en) Integration of III-N transistors and semiconductor layer transfer
US20200227407A1 (en) Integration of iii-n transistors and polysilicon resistors
US11652143B2 (en) III-N transistors integrated with thin-film transistors having graded dopant concentrations and/or composite gate dielectrics
US11515424B2 (en) Field-effect transistors with asymmetric gate stacks
US11527532B2 (en) Enhancement-depletion cascode arrangements for enhancement mode III-N transistors
US20200335526A1 (en) Integration of Si-based transistors with non-Si technologies by semiconductor regrowth over an insulator material
US12027613B2 (en) III-N transistor arrangements for reducing nonlinearity of off-state capacitance
US11538804B2 (en) Stacked integration of III-N transistors and thin-film transistors
US11658217B2 (en) Transistors with ion- or fixed charge-based field plate structures
US11145732B2 (en) Field-effect transistors with dual thickness gate dielectrics
US11581313B2 (en) Integration of III-N transistors and non-III-N transistors by semiconductor regrowth
US20200227469A1 (en) Iii-n transistors integrated with resonators of radio frequency filters
US11848362B2 (en) III-N transistors with contacts of modified widths
US11502191B2 (en) Transistors with backside field plate structures
US11715790B2 (en) Charge-induced threshold voltage tuning in III-N transistors
US11670709B2 (en) III-N transistors with local stressors for threshold voltage control
US11450617B2 (en) Transmission line structures for III-N devices
US20220068910A1 (en) Iii-n transistors with integrated linearization devices
US20200395358A1 (en) Co-integration of extended-drain and self-aligned iii-n transistors on a single die
US11587924B2 (en) Integration of passive components in III-N devices
US20240105718A1 (en) Integrated circuit devices with protection liner between doped semiconductor regions
US20230420443A1 (en) Integrated circuit devices with diodes integrated in subfins
US20240105508A1 (en) Integrated circuit devices with contacts using nitridized molybdenum