TWI825547B - Semiconductor device with re-fill layer and method for fabricating the same - Google Patents

Semiconductor device with re-fill layer and method for fabricating the same Download PDF

Info

Publication number
TWI825547B
TWI825547B TW111100342A TW111100342A TWI825547B TW I825547 B TWI825547 B TW I825547B TW 111100342 A TW111100342 A TW 111100342A TW 111100342 A TW111100342 A TW 111100342A TW I825547 B TWI825547 B TW I825547B
Authority
TW
Taiwan
Prior art keywords
die
layer
semiconductor device
stacked die
base
Prior art date
Application number
TW111100342A
Other languages
Chinese (zh)
Other versions
TW202331990A (en
Inventor
施信益
Original Assignee
南亞科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/497,754 external-priority patent/US11646292B2/en
Priority claimed from US17/500,026 external-priority patent/US20230113020A1/en
Application filed by 南亞科技股份有限公司 filed Critical 南亞科技股份有限公司
Publication of TW202331990A publication Critical patent/TW202331990A/en
Application granted granted Critical
Publication of TWI825547B publication Critical patent/TWI825547B/en

Links

Abstract

The present application discloses a semiconductor device with a re-fill layer and method for preparing the same. The semiconductor device includes a chip stack including a first base die; a first stacked die positioned on a front surface of the first base die; and a re-fill layer positioned on a sidewall of the stacked die. The re-fill layer includes silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, titanium oxide, aluminum oxide, or hafnium oxide.

Description

具有再填充層的半導體元件及其製備方法Semiconductor component with refill layer and preparation method thereof

本申請案主張美國第17/497,754號及第17/500,026號專利申請案(優先權日為「2021年10月8日」及「2021年10月13日」)的優先權及益處,該等美國申請案之內容以全文引用之方式併入本文中。 This application claims the priority and benefits of U.S. Patent Application Nos. 17/497,754 and 17/500,026 (priority dates are "October 8, 2021" and "October 13, 2021"), which The contents of the US application are incorporated herein by reference in their entirety.

本揭露關於一種半導體元件。特別是有關於一種具有一再填充層的半導體元件。 The present disclosure relates to a semiconductor device. In particular, it relates to a semiconductor device having a refill layer.

半導體元件使用在不同的電子應用,例如個人電腦、手機、數位相機,或其他電子設備。半導體元件的尺寸逐漸地變小,以符合計算能力所逐漸增加的需求。然而,在尺寸變小的製程期間,增加不同的問題,且如此的問題在數量與複雜度上持續增加。因此,仍然持續著在達到改善品質、良率、效能與可靠度以及降低複雜度方面的挑戰。 Semiconductor components are used in various electronic applications, such as personal computers, mobile phones, digital cameras, or other electronic devices. The size of semiconductor devices is gradually becoming smaller to meet the increasing demand for computing power. However, during the downsizing process, different problems are added, and such problems continue to increase in number and complexity. Therefore, challenges remain in achieving improvements in quality, yield, performance and reliability, and in reducing complexity.

上文之「先前技術」說明僅提供背景技術,並未承認上文之「先前技術」說明揭示本揭露之標的,不構成本揭露之先前技術,且上文之「先前技術」之任何說明均不應作為本案之任一部分。 The above description of "prior art" only provides background technology, and does not admit that the above description of "prior art" reveals the subject matter of the present disclosure. It does not constitute prior art of the present disclosure, and any description of the above "prior art" does not constitute the prior art of the present disclosure. should not be used as any part of this case.

本揭露之一實施例提供一種半導體元件,包括一晶片堆 疊,包括一第一基礎晶粒;一第一堆疊晶粒,設置在該第一基礎晶粒的一前表面上;以及一再填充層,設置在該堆疊晶粒的一側壁上。該再填充層包括氧化矽、氮化矽、氮氧化矽、氧化氮化矽、氧化鈦、氧化鋁或氧化鉿。 An embodiment of the present disclosure provides a semiconductor device including a wafer stack The stack includes a first base die; a first stacked die disposed on a front surface of the first base die; and a refill layer disposed on a side wall of the stacked die. The refill layer includes silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, titanium oxide, aluminum oxide or hafnium oxide.

在一些實施例中,該再填充層的一厚度介於大約50Å到大約1000Å之間。 In some embodiments, the refill layer has a thickness between about 50 Å and about 1000 Å.

在一些實施例中,該第一基礎晶粒的一寬度大於該堆疊晶粒的一寬度。 In some embodiments, a width of the first base die is greater than a width of the stacked die.

在一些實施例中,半導體元件,還包括一第一模塑層,設置在該再填充層上以及在該堆疊晶粒的相反處。 In some embodiments, the semiconductor device further includes a first molding layer disposed on the refill layer and opposite the stacked die.

在一些實施例中,該晶片堆疊還包括一下鈍化層,設置在該第一基礎晶粒的一後表面上,而該第一基礎晶粒的該前表面與該第一基礎晶粒的該後表面相互相對設置。 In some embodiments, the wafer stack further includes a passivation layer disposed on a rear surface of the first base die, and the front surface of the first base die is in contact with the rear surface of the first base die. The surfaces are arranged opposite each other.

在一些實施例中,該晶片堆疊還包括一第一連接件,設置在該下鈍化層下方且在該第一基礎晶粒的相反處。 In some embodiments, the wafer stack further includes a first connector disposed below the lower passivation layer and opposite to the first base die.

在一些實施例中,該半導體元件還包括一封裝基底,其中該晶片堆疊設置在該封裝基底上。 In some embodiments, the semiconductor device further includes a packaging substrate, wherein the die stack is disposed on the packaging substrate.

在一些實施例中,該半導體元件還包括一第二連接件,設置在該封裝基底下方。 In some embodiments, the semiconductor component further includes a second connection member disposed under the packaging substrate.

在一些實施例中,該封裝基底的一寬度大於該晶片堆疊的一寬度。 In some embodiments, a width of the package substrate is greater than a width of the chip stack.

在一些實施例中,該半導體元件還包括一第二模塑層,設置在該封裝基底上並覆蓋該晶片堆疊。 In some embodiments, the semiconductor device further includes a second molding layer disposed on the packaging substrate and covering the chip stack.

在一些實施例中,該再填充層還水平設置在該第一基礎晶粒的該前表面上。 In some embodiments, the refill layer is also disposed horizontally on the front surface of the first base die.

本揭露之另一實施例提供一種半導體元件,包括一晶片堆疊,包括一第一基礎晶粒;一第一堆疊晶粒,設置在該第一基礎晶粒的一前表面上;以及一再填充層,完全覆蓋該堆疊晶粒並設置在該第一基礎晶粒的該前表面上。該再填充層包括氧化矽、氮化矽、氮氧化矽、氧化氮化矽、氧化鈦、氧化鋁或氧化鉿。 Another embodiment of the present disclosure provides a semiconductor device including a chip stack including a first base die; a first stacked die disposed on a front surface of the first base die; and a refill layer , completely covering the stacked die and being disposed on the front surface of the first base die. The refill layer includes silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, titanium oxide, aluminum oxide or hafnium oxide.

在一些實施例中,該晶片堆疊還包括一第一模塑層,完全覆蓋該再填充層。 In some embodiments, the wafer stack further includes a first molding layer that completely covers the refill layer.

本揭露之再另一實施例提供一種半導體元件的製備方法,包括提供一基礎晶圓,該基礎晶圓包括一切割部;經由一混合接合製程而將一第一堆疊晶粒與一第二堆疊晶粒接合到該基礎晶圓的一前表面上,其中該第一堆疊晶粒與該第二堆疊晶粒相互相對設置,且該切割部插置在其間;共形地形成一再填充層以覆蓋該第一堆疊晶粒與該第二堆疊晶粒;形成一第一模塑層以覆蓋該再填充層並配置成一中間半導體元件,該中間半導體元件包括該基礎晶圓、該第一堆疊晶粒、該第二堆疊晶粒、該再填充層以及該第一模塑層;以及沿著該切割部切割該中間半導體元件以將該第一堆疊晶粒與該第二堆疊晶粒、該再填充層、該第一模塑層以及該基礎晶圓分隔開,其中在切割之後,該基礎晶圓分隔成一第一基礎晶粒以及一第二基礎晶粒。該第一基礎晶粒、該第一堆疊晶粒、該再填充層以及該第一模塑層一起配置成一第一晶片堆疊。 Yet another embodiment of the present disclosure provides a method for manufacturing a semiconductor device, including providing a base wafer including a cutting portion; and bonding a first stacked die and a second stacked die through a hybrid bonding process. The die is bonded to a front surface of the base wafer, wherein the first stacked die and the second stacked die are disposed opposite to each other, and the cutting portion is interposed therebetween; a refill layer is conformally formed to cover The first stacked die and the second stacked die; forming a first molding layer to cover the refill layer and configured into an intermediate semiconductor component, the intermediate semiconductor component including the base wafer, the first stacked die , the second stacked die, the refill layer and the first molding layer; and cutting the intermediate semiconductor element along the cutting portion to separate the first stacked die, the second stacked die, the refill layer The layer, the first molding layer and the base wafer are separated, wherein after cutting, the base wafer is separated into a first base die and a second base die. The first base die, the first stacked die, the refill layer and the first molding layer are configured together into a first wafer stack.

在一些實施例中,該再填充層包括氧化矽、氮化矽、氮氧化矽、氧化氮化矽、氧化鈦、氧化鋁或氧化鉿。 In some embodiments, the refill layer includes silicon oxide, silicon nitride, silicon oxynitride, silicon oxynitride, titanium oxide, aluminum oxide, or hafnium oxide.

在一些實施例中,該混合接合製程的一製程壓力介於大約100MPa到大約150MPa之間。 In some embodiments, a process pressure of the hybrid bonding process ranges from about 100 MPa to about 150 MPa.

在一些實施例中,該混合接合製程的一製程溫度介於大約25℃到大約400℃之間。 In some embodiments, a process temperature of the hybrid bonding process ranges from about 25°C to about 400°C.

在一些實施例中,該第一堆疊晶粒與該基礎晶圓以一面對面配置進行接合。 In some embodiments, the first stacked die and the base wafer are bonded in a face-to-face configuration.

在一些實施例中,該半導體元件的製備方法還包括在經由該混合接合製程而將該第一堆疊晶粒與該第二堆疊晶粒接合到該基礎晶圓的該前表面上之前,在該基礎晶圓的該前表面上執行一表面處理。該表面處理包括一濕式化學清洗或是一氣相熱處理。 In some embodiments, the method of manufacturing a semiconductor device further includes, before bonding the first stacked die and the second stacked die to the front surface of the base wafer via the hybrid bonding process, in the A surface treatment is performed on the front surface of the base wafer. The surface treatment includes a wet chemical cleaning or a vapor phase heat treatment.

在一些實施例中,該半導體元件的製備方法還包括將該晶片堆疊接合到一封裝基底上。 In some embodiments, the method of manufacturing a semiconductor device further includes bonding the wafer stack to a packaging substrate.

由於本揭露該半導體元件的設計,該再填充層可補償硬度差異並填滿該堆疊晶粒的裂痕(cracks)與接縫(seams)。因此,在切割期間,降低或避免其不利影響。另一方面,該切割製成並不需要過度最佳化,以便亦可降低該半導體元件之製造的成本與製程複雜度。 Due to the design of the semiconductor device of the present disclosure, the refill layer can compensate for hardness differences and fill cracks and seams of the stacked dies. Therefore, during cutting, its adverse effects are reduced or avoided. On the other hand, the cutting process does not need to be excessively optimized, so that the cost and process complexity of manufacturing the semiconductor device can be reduced.

上文已相當廣泛地概述本揭露之技術特徵及優點,俾使下文之本揭露詳細描述得以獲得較佳瞭解。構成本揭露之申請專利範圍標的之其它技術特徵及優點將描述於下文。本揭露所屬技術領域中具有通常知識者應瞭解,可相當容易地利用下文揭示之概念與特定實施例可作為修改或設計其它結構或製程而實現與本揭露相同之目的。本揭露所屬技術領域中具有通常知識者亦應瞭解,這類等效建構無法脫離後附之申請專利範圍所界定之本揭露的精神和範圍。 The technical features and advantages of the present disclosure have been summarized rather broadly above so that the detailed description of the present disclosure below may be better understood. Other technical features and advantages that constitute the subject matter of the patentable scope of the present disclosure will be described below. It should be understood by those of ordinary skill in the art that the concepts and specific embodiments disclosed below can be easily used to modify or design other structures or processes to achieve the same purposes of the present disclosure. Those with ordinary knowledge in the technical field to which the present disclosure belongs should also understand that such equivalent constructions cannot depart from the spirit and scope of the present disclosure as defined in the appended patent application scope.

10:製備方法 10:Preparation method

1A:半導體元件 1A: Semiconductor components

1B:半導體元件 1B:Semiconductor components

1C:半導體元件 1C: Semiconductor components

101:基礎晶圓 101:Basic wafer

101BS:後表面 101BS: Back surface

101FS:前表面 101FS: Front surface

103:第一基礎晶粒 103:The first basic grain

105:第二基礎晶粒 105: The second basic grain

107:基底 107: Base

109:裝置元件 109:Device components

111:介電層 111: Dielectric layer

113:導電特徵 113: Conductive characteristics

115:貫穿基底通孔 115: Through-base through hole

201:堆疊晶圓 201:Stacked wafers

201BS:後表面 201BS:Back surface

201FS:前表面 201FS:Front surface

203:基底 203:Base

205:裝置元件 205:Device components

207:介電層 207: Dielectric layer

209:導電特徵 209: Conductive characteristics

211:貫穿基底通孔 211:Through-substrate through hole

213:鈍化層 213: Passivation layer

215:接合墊 215:Joint pad

301:再填充層 301:Refill layer

301TS:上表面 301TS: Upper surface

401:第一模塑層 401: First molding layer

401TS:上表面 401TS: Upper surface

403:下鈍化層 403: Lower passivation layer

405:下接合墊 405: Lower joint pad

407:第一連接件 407: First connector

409:第二模塑層 409: Second molding layer

501:封裝基底 501:Packaging substrate

503:第二連接件 503: Second connector

601:載體層 601: Carrier layer

603:晶粒框架 603: Grain frame

CS1:晶片堆疊 CS1: Wafer stacking

CS2:晶片堆疊 CS2: Wafer stacking

ISD:中間半導體元件 ISD: Intermediate Semiconductor Device

S11:步驟 S11: Steps

S13:步驟 S13: Steps

S15:步驟 S15: Steps

S17:步驟 S17: Steps

S19:步驟 S19: Steps

S21:步驟 S21: Steps

S23:步驟 S23: Steps

SD1:堆疊晶粒 SD1: Stacked die

SD1B:後表面 SD1B: Back surface

SD1F:前表面 SD1F: Front surface

SD1S:側壁 SD1S: side wall

SD2:堆疊晶粒 SD2: Stacked die

SD2B:後表面 SD2B: back surface

SD2F:前表面 SD2F: front surface

SD2S:側壁 SD2S: side wall

SD3:堆疊晶粒 SD3: stacked die

SD3S:側壁 SD3S: side wall

SD4:堆疊晶粒 SD4: stacked die

SD4S:側壁 SD4S: side wall

SD5:堆疊晶粒 SD5: stacked die

SD5B:後表面 SD5B: rear surface

SD5S:側壁 SD5S: side wall

SD6:堆疊晶粒 SD6: stacked die

SD6B:後表面 SD6B: rear surface

SD6S:側壁 SD6S: side wall

SL1:切割部 SL1: Cutting Department

SL2:切割部 SL2: Cutting Department

T1:厚度 T1:Thickness

W1:寬度 W1: Width

W2:寬度 W2: Width

W3:寬度 W3: Width

W4:寬度 W4: Width

Z:方向 Z: direction

參閱實施方式與申請專利範圍合併考量圖式時,可得以更全面了解本申請案之揭示內容,圖式中相同的元件符號指相同的元件。 By referring to the embodiments and the patent scope together with the drawings, the disclosure content of the present application can be more fully understood. The same element symbols in the drawings refer to the same elements.

圖1是流程示意圖,例示本揭露另一實施例之半導體元件的製備方法。 FIG. 1 is a schematic flowchart illustrating a method for manufacturing a semiconductor device according to another embodiment of the present disclosure.

圖2到圖14是剖視示意圖,例示本揭露一實施例製備半導體元件的一流程。 2 to 14 are schematic cross-sectional views illustrating a process of manufacturing a semiconductor device according to an embodiment of the present disclosure.

圖15到圖16是剖視示意圖,例示本揭露一些實施例的各半導體元件。 15 to 16 are schematic cross-sectional views illustrating semiconductor devices according to some embodiments of the present disclosure.

以下描述了組件和配置的具體範例,以簡化本揭露之實施例。當然,這些實施例僅用以例示,並非意圖限制本揭露之範圍。舉例而言,在敘述中第一部件形成於第二部件之上,可能包含形成第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不會直接接觸的實施例。另外,本揭露之實施例可能在許多範例中重複參照標號及/或字母。這些重複的目的是為了簡化和清楚,除非內文中特別說明,其本身並非代表各種實施例及/或所討論的配置之間有特定的關係。 Specific examples of components and configurations are described below to simplify embodiments of the present disclosure. Of course, these embodiments are only for illustration and are not intended to limit the scope of the present disclosure. For example, in the description, the first component is formed on the second component, which may include an embodiment in which the first and second components are in direct contact, or may include an additional component formed between the first and second components. An embodiment such that the first and second components are not in direct contact. In addition, embodiments of the present disclosure may repeat reference numbers and/or letters in many examples. These repetitions are for simplicity and clarity and do not in themselves represent a specific relationship between the various embodiments and/or configurations discussed unless otherwise specified herein.

此外,為易於說明,本文中可能使用例如「之下(beneath)」、「下面(below)」、「下部的(lower)」、「上方(above)」、「上部的(upper)」等空間相對關係用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對關係用語旨在除圖中所繪示的取向外亦囊括元件在使用或操作中的不同取向。所述裝置可具有其 他取向(旋轉90度或處於其他取向)且本文中所用的空間相對關係描述語可同樣相應地進行解釋。 In addition, for ease of explanation, spaces such as "beneath", "below", "lower", "above", "upper", etc. may be used in this article. Relative terms are used to describe the relationship of one element or feature shown in the figures to another (other) element or feature. These spatially relative terms are intended to encompass different orientations of the elements in use or operation in addition to the orientation depicted in the figures. The device may have its or otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

應當理解,當形成一個部件在另一個部件之上(on)、與另一個部件相連(connected to)、及/或與另一個部件耦合(coupled to),其可能包含形成這些部件直接接觸的實施例,並且也可能包含形成額外的部件介於這些部件之間,使得這些部件不會直接接觸的實施例。 It will be understood that when one component is formed on, connected to, and/or coupled to another component, it may include forming direct contact between those components. examples, and may also include embodiments in which additional components are formed between the components so that the components are not in direct contact.

應當理解,儘管這裡可以使用術語第一,第二,第三等來描述各種元件、部件、區域、層或區段(sections),但是這些元件、部件、區域、層或區段不受這些術語的限制。相反,這些術語僅用於將一個元件、組件、區域、層或區段與另一個區域、層或區段所區分開。因此,在不脫離本發明進步性構思的教導的情況下,下列所討論的第一元件、組件、區域、層或區段可以被稱為第二元件、組件、區域、層或區段。 It will be understood that, although the terms first, second, third, etc. may be used herein to describe various elements, components, regions, layers or sections, these elements, components, regions, layers or sections are not governed by these terms. limits. Rather, these terms are only used to distinguish one element, component, region, layer or section from another region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the present progressive concept.

除非內容中另有所指,否則當代表定向(orientation)、布局(layout)、位置(location)、形狀(shapes)、尺寸(sizes)、數量(amounts),或其他量測(measures)時,則如在本文中所使用的例如「同樣的(same)」、「相等的(equal)」、「平坦的(planar)」,或是「共面的(coplanar)」等術語(terms)並非必要意指一精確地完全相同的定向、布局、位置、形狀、尺寸、數量,或其他量測,但其意指在可接受的差異內,包含差不多完全相同的定向、布局、位置、形狀、尺寸、數量,或其他量測,而舉例來說,所述可接受的差異可因為製造流程(manufacturing processes)而發生。術語「大致地(substantially)」可被使用在本文中,以表現出此意思。舉例來說,如大致地相同的(substantially the same)、大致地相等的(substantially equal),或是大致地平坦的(substantially planar),為精確地相同的、相等的,或是平坦的,或者是其可為在可接受的差異內的相同的、相等的,或是平坦的,而舉例來說,所述可接受的差異可因為製造流程而發生。 Unless otherwise specified in the content, when referring to orientation, layout, location, shapes, sizes, amounts, or other measures, Then terms such as "same", "equal", "planar", or "coplanar" as used in this article are not necessary means an exactly identical orientation, arrangement, position, shape, size, quantity, or other measurement, but it means a nearly identical orientation, arrangement, position, shape, size, within acceptable differences , quantity, or other measurement, and the acceptable differences may occur due to manufacturing processes, for example. The term "substantially" may be used herein to convey this meaning. For example, substantially the same, substantially equal, or substantially flat. planar), be exactly the same, equal, or flat, or they may be the same, equal, or flat within an acceptable difference, for example, said acceptable Variations can occur due to manufacturing processes.

在本揭露中,一半導體元件通常意指可藉由利用半導體特性(semiconductor characteristics)運行的一元件,而一光電元件(electro-optic device)、一發光顯示元件(light-emitting display device)、一半導體線路(semiconductor circuit)以及一電子元件(electronic device),均包括在半導體元件的範疇中。 In this disclosure, a semiconductor device generally refers to a device that can operate by utilizing semiconductor characteristics, and an electro-optic device, a light-emitting display device, a A semiconductor circuit (semiconductor circuit) and an electronic device (electronic device) are both included in the category of semiconductor components.

應當理解,在本揭露的描述中,上方(above)(或之上(up))對應Z方向箭頭的該方向,而下方(below)(或之下(down))對應Z方向箭頭的相對方向。 It should be understood that in the description of the present disclosure, above (or up) corresponds to the direction of the Z-direction arrow, and below (or down) corresponds to the opposite direction of the Z-direction arrow. .

應當理解,「正在形成(forming)」、「已經形成(formed)」以及「形成(form)」的術語,可表示並包括任何產生(creating)、構建(building)、圖案化(patterning)、植入(implanting)或沉積(depositing)一元件(element)、一摻雜物(dopant)或一材料的方法。形成方法的例子可包括原子層沉積(atomic layer deposition)、化學氣相沉積(chemical vapor deposition)、物理氣相沉積(physical vapor deposition)、噴濺(sputtering)、旋轉塗佈(spin coating)、擴散(diffusing)、沉積(depositing)、生長(growing)、植入(implantation)、微影(photolithography)、乾蝕刻以及濕蝕刻,但並不以此為限。 It should be understood that the terms "forming", "formed" and "form" can mean and include any creating, building, patterning, planting. A method of implanting or depositing an element, a dopant or a material. Examples of formation methods may include atomic layer deposition, chemical vapor deposition, physical vapor deposition, sputtering, spin coating, diffusion (diffusing), depositing (depositing), growing (growing), implantation (implantation), photolithography (photolithography), dry etching and wet etching, but are not limited thereto.

應當理解,在本揭露的描述中,文中所提到的功能或步驟可發生不同於各圖式中之順序。舉例來說,連續顯示的兩個圖式實際上可以大致同時執行,或者是有時可以相反順序執行,其取決於所包含的功能 或步驟。 It should be understood that in the description of the present disclosure, functions or steps mentioned herein may occur in a different order than in the figures. For example, two diagrams shown in succession may actually execute at approximately the same time, or sometimes in reverse order, depending on the functionality involved. or steps.

圖1是流程示意圖,例示本揭露另一實施例之半導體元件1A的製備方法10。圖2到圖14是剖視示意圖,例示本揭露一實施例製備半導體元件1A的一流程。 FIG. 1 is a schematic flowchart illustrating a method 10 for manufacturing a semiconductor device 1A according to another embodiment of the present disclosure. 2 to 14 are cross-sectional schematic diagrams illustrating a process for preparing a semiconductor device 1A according to an embodiment of the present disclosure.

請參考圖1及圖2,在步驟S11,可提供一基礎晶圓101,而基礎晶圓101具有一切割部SL1。 Please refer to FIGS. 1 and 2 . In step S11 , a base wafer 101 may be provided, and the base wafer 101 has a cutting part SL1 .

請參考圖2,基礎晶圓101可包括一基底107、複數個裝置元件109、複數個介電層111、複數個導電特徵113以及複數個貫穿基底通孔115。 Referring to FIG. 2 , the base wafer 101 may include a substrate 107 , a plurality of device components 109 , a plurality of dielectric layers 111 , a plurality of conductive features 113 and a plurality of through-substrate vias 115 .

請參考圖2,在一些實施例中,基底107可為一塊狀(bulk)半導體基底,其完全地由至少一半導體材料所組成;該塊狀半導體基底並不包含任何介電質、隔離層或是導電特徵。舉例來說,該塊狀半導體基底可包含一元素半導體、一化合物半導體或其組合,元素半導體例如矽或鍺,化合物半導體例如矽鍺、碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦或其他III-V族化合物半導體或II-VI化合物半導體。 Please refer to FIG. 2. In some embodiments, the substrate 107 can be a bulk semiconductor substrate, which is completely composed of at least one semiconductor material; the bulk semiconductor substrate does not include any dielectric or isolation layer. Or conductive features. For example, the bulk semiconductor substrate may include an elemental semiconductor, a compound semiconductor, or a combination thereof. The elemental semiconductor is such as silicon or germanium. The compound semiconductor is such as silicon germanium, silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, Indium arsenide, indium antimonide or other III-V compound semiconductors or II-VI compound semiconductors.

在一些實施例中,基底107可包括一絕緣體上覆半導體結構,其從下到上由所一處置(handle)基底、一隔離層以及一最上面半導體材料層所組成。處置基底與最上面半導體材料層可包含與前述塊狀半導體基底相同的材料。隔離層可為一晶體或非晶體介電材料,例如一氧化物及/或氮化物。舉例來說,隔離層可為一介電氧化物,例如氧化矽。舉另一個例子,隔離層可為一介電氮化物,例如氮化矽或氮化硼。再舉另一個例子,隔離層可包括一介電氧化物與一介電氮化物的一堆疊,例如以任何順序之氧化矽與氮化矽或氮化硼的一堆疊。隔離層可具有一厚度,介於大約 10nm到大約200nm之間。 In some embodiments, the substrate 107 may include a semiconductor-on-insulator structure consisting, from bottom to top, of a handle substrate, an isolation layer, and an uppermost semiconductor material layer. The handling substrate and the uppermost semiconductor material layer may include the same materials as the aforementioned bulk semiconductor substrate. The isolation layer may be a crystalline or amorphous dielectric material, such as an oxide and/or nitride. For example, the isolation layer can be a dielectric oxide, such as silicon oxide. As another example, the isolation layer may be a dielectric nitride, such as silicon nitride or boron nitride. As yet another example, the isolation layer may include a stack of a dielectric oxide and a dielectric nitride, such as a stack of silicon oxide and silicon nitride or boron nitride in any order. The isolation layer may have a thickness between approximately Between 10nm and approximately 200nm.

應當理解,術語「大約(about)」修飾成分(ingredient)、部件的一數量(quantity),或是本揭露的反應物(reactant),其表示可發生的數值數量上的變異(variation),舉例來說,其經由典型的測量以及液體處理程序(liquid handling procedures),而該液體處理程序用於製造濃縮(concentrates)或溶液(solutions)。再者,變異的發生可源自於應用在製造組成成分(compositions)或實施該等方法或其類似方式在測量程序中的非故意錯誤(inadvertent error)、在製造中的差異(differences)、來源(source)、或成分的純度(purity)。在一方面,術語「大約(about)」意指報告數值的10%以內。在另一方面,術語「大約(about)」意指報告數值的5%以內。在再另一方面,術語「大約(about)」意指報告數值的10、9、8、7、6、5、4、3、2或1%以內。 It should be understood that the term "about" modifies a quantity of an ingredient, component, or reactant of the present disclosure, which represents a numerical variation that may occur, for example This is done through typical measurements and liquid handling procedures used to create concentrates or solutions. Furthermore, variation can occur due to inadvertent errors in the measurement procedures applied to the manufacturing compositions or implementation of these methods or similar methods, differences in manufacturing, and sources. (source), or purity of ingredients. In one aspect, the term "about" means within 10% of the reported value. On the other hand, the term "about" means within 5% of the reported value. In yet another aspect, the term "about" means within 10, 9, 8, 7, 6, 5, 4, 3, 2, or 1% of the reported value.

請參考圖2,複數個裝置元件109可形成在基底107上。複數個裝置元件109的一些部分可形成在基底107中。複數個裝置元件109可為電晶體,例如互補式金屬氧化物半導體電晶體(complementary metal-oxide semiconductor transistors)、金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field-effect transistors)、鰭式場效電晶體、類似物或其組合。 Referring to FIG. 2 , a plurality of device components 109 may be formed on a substrate 107 . Portions of device elements 109 may be formed in substrate 107 . The plurality of device elements 109 may be transistors, such as complementary metal-oxide semiconductor transistors, metal-oxide-semiconductor field-effect transistors, fin field transistors, etc. Effective transistors, the like, or combinations thereof.

請參考圖2,複數個介電層111可形成在基底107上並覆蓋複數個裝置元件109。在一些實施例中,舉例來說,複數個介電層111可包含氧化矽、硼磷矽酸鹽玻璃、未摻雜矽酸鹽玻璃、氟矽酸鹽玻璃、低介電常數的介電材料、類似物或其組合。低介電常數的介電材料可具有一介電常數,介電常數小於3.0或甚至小於2.5。在一些實施例中,介電常數的 介電材料可具有一介電常數,介電常數小於2.0。複數個介電層111的製作技術可包含多個沉積製程,例如化學氣相沉積、電漿加強化學氣相沉積或類似方法。在該等沉積製程之後可執行多個平坦化製程,以移除多餘材料並提供一大致平坦表面給接下來的處理步驟。 Referring to FIG. 2 , a plurality of dielectric layers 111 may be formed on the substrate 107 and cover the plurality of device components 109 . In some embodiments, for example, the plurality of dielectric layers 111 may include silicon oxide, borophosphosilicate glass, undoped silicate glass, fluorosilicate glass, or low dielectric constant dielectric materials. , the like, or combinations thereof. Low dielectric constant dielectric materials may have a dielectric constant less than 3.0 or even less than 2.5. In some embodiments, the dielectric constant of The dielectric material may have a dielectric constant less than 2.0. The manufacturing technology of the plurality of dielectric layers 111 may include multiple deposition processes, such as chemical vapor deposition, plasma enhanced chemical vapor deposition or similar methods. Multiple planarization processes may be performed after the deposition processes to remove excess material and provide a generally flat surface for subsequent processing steps.

請參考圖2,複數個導電特徵113可包括多個互連層、多個導電通孔以及多個導電墊。該等互連層可相互分隔開,並可沿著方向Z而水平設置在複數個介電層111中。在本實施例中,該等最上面互連層可視為該等導電墊。該等導電通孔可沿著方向Z連接相鄰的互連層、相鄰的裝置元件109與互連層,以及相鄰的導電墊與互連層。在一些實施例中,該等導電通孔可改善散熱並可提供結構支撐。在一些實施例中,舉例來說,複數個導電特徵113可包含鎢、鈷、鋯、鉭、鈦、鋁、釕、銅、金屬碳化物(例如碳化鉭、碳化鈦、碳化鉭鎂)、金屬氮化物(例如氮化鈦)、過渡金屬鋁化物或其組合。在複數個介電層111形成期間,可形成複數個導電特徵113。 Referring to FIG. 2 , the plurality of conductive features 113 may include a plurality of interconnect layers, a plurality of conductive vias, and a plurality of conductive pads. The interconnection layers may be spaced apart from each other and may be horizontally disposed in the plurality of dielectric layers 111 along the direction Z. In this embodiment, the uppermost interconnect layers can be regarded as conductive pads. The conductive vias may connect adjacent interconnect layers, adjacent device elements 109 and interconnect layers, and adjacent conductive pads and interconnect layers along direction Z. In some embodiments, the conductive vias can improve heat dissipation and provide structural support. In some embodiments, for example, the plurality of conductive features 113 may include tungsten, cobalt, zirconium, tantalum, titanium, aluminum, ruthenium, copper, metal carbides (eg, tantalum carbide, titanium carbide, tantalum magnesium carbide), metal Nitride (eg titanium nitride), transition metal aluminide, or combinations thereof. During formation of dielectric layers 111 , conductive features 113 may be formed.

請參考圖2,複數個貫穿基底通孔115可形成在基底107中,延伸到該等介電層111,並電性連接到相對應的該等導電特徵113。 Referring to FIG. 2 , a plurality of through-substrate vias 115 may be formed in the substrate 107 , extending to the dielectric layers 111 , and electrically connected to the corresponding conductive features 113 .

應當理解,在本揭露的描述中,該等裝置元件109、該等介電層111、該等導電特徵113與該等貫穿基底通孔115的數量僅用於例示目的。前述該等特徵的數量可大於或小於如圖2所示的數量。 It should be understood that in the description of the present disclosure, the numbers of the device elements 109 , the dielectric layers 111 , the conductive features 113 and the through-substrate vias 115 are for illustrative purposes only. The number of the aforementioned features may be greater or less than that shown in FIG. 2 .

在一些實施例中,複數個裝置元件109、複數個導電特徵113與複數個貫穿基底通孔115可一起配置成基礎晶圓101的多個功能單元。在本揭露的描述中,一功能單元通常表示成功能相關聯電路,其已經根據功能目的而分隔成一獨特單元(distinct unit)。在一些實施例中,該等 功能單元通常為高度複雜電路,例如處理器核心、記憶體控制器或加速器單元。在一些其他實施例中,一功能單元的複雜度以及功能性可能更加複雜或是更不複雜。 In some embodiments, the plurality of device elements 109 , the plurality of conductive features 113 , and the plurality of through-substrate vias 115 may be configured together into functional units of the base wafer 101 . In the description of this disclosure, a functional unit is generally represented as a functionally associated circuit that has been separated into a distinct unit according to functional purposes. In some embodiments, the Functional units are often highly complex circuits such as processor cores, memory controllers or accelerator units. In some other embodiments, the complexity and functionality of a functional unit may be more complex or less complex.

請參考圖2,基礎晶圓101可包括相互相對設置的一前表面101FS以及一後表面101BS。應當理解,在本揭露的描述中,術語「前(front)」表面為一技術領域的一術語,其隱含該結構的主要表面,而該結構形成多個裝置元件與多個導電特徵。類似地,一結構的「後(back)」表面為與相對於該表面的主要表面。在本揭露中,基礎晶圓101的前表面101FS可為最上面介電層111的上表面。基礎晶圓101的後表面101BS可為基底107的下表面。 Referring to FIG. 2 , the base wafer 101 may include a front surface 101FS and a back surface 101BS disposed opposite to each other. It should be understood that in the description of this disclosure, the term "front" surface is a technical term that implies the main surface of the structure forming device elements and conductive features. Similarly, the "back" surface of a structure is the principal surface relative to that surface. In the present disclosure, the front surface 101FS of the base wafer 101 may be the upper surface of the uppermost dielectric layer 111 . The back surface 101BS of the base wafer 101 may be the lower surface of the substrate 107 .

應當理解,在本揭露的描述中,一元件(或特徵)沿維度Z位在最高垂直位面處的一表面被稱為該元件(或該特徵)的一上表面。一元件(或特徵)沿維度Z位在最低垂直位面處的一表面被稱為該元件(或該特徵)的一下表面。 It should be understood that in the description of this disclosure, a surface of an element (or feature) at the highest vertical plane along dimension Z is referred to as an upper surface of the element (or feature). The surface of a component (or feature) at the lowest vertical plane along dimension Z is called the lower surface of the component (or feature).

請參考圖2,基礎晶圓101沿著方向Z的一中間區可表示成切割部SL1。切割部SL1可將基礎晶圓101區分成一左區以及一右區。在沿著切割部SL1切割製程之後,基礎晶圓101的左區可表示成一第一基礎晶粒103,且基礎晶圓101的右區可表示成一第二基礎晶粒105,該切割製程將於後詳述。在一些實施例中,切割部SL1可具有一寬度,介於大約110μm到大約220μm之間。 Referring to FIG. 2 , a middle area of the base wafer 101 along the direction Z may be represented as a cutting portion SL1 . The cutting part SL1 can divide the base wafer 101 into a left area and a right area. After the cutting process along the cutting portion SL1, the left area of the base wafer 101 can be represented as a first base die 103, and the right area of the base wafer 101 can be represented as a second base die 105. The cutting process will be More details later. In some embodiments, the cutting portion SL1 may have a width between about 110 μm and about 220 μm.

請參考圖1及圖3到圖8,在步驟S13,複數個堆疊晶粒SD1、SD2、SD3、SD4、SD5、SD6可接合到基礎晶圓101上。 Please refer to FIG. 1 and FIG. 3 to FIG. 8. In step S13, a plurality of stacked dies SD1, SD2, SD3, SD4, SD5, and SD6 may be bonded to the base wafer 101.

請參考圖3,可提供一堆疊晶圓201。在一些實施例中,堆 疊晶圓201可具有類似於基礎晶圓101的一結構,但並不以此為限。在一些實施例中,堆疊晶圓201可包括一基底203、複數個裝置元件205、複數個介電層207、複數個導電特徵209以及複數個貫穿基底通孔211。 Referring to Figure 3, a stacked wafer 201 may be provided. In some embodiments, the heap The stacked wafer 201 may have a structure similar to the base wafer 101, but is not limited thereto. In some embodiments, the stacked wafer 201 may include a substrate 203 , a plurality of device components 205 , a plurality of dielectric layers 207 , a plurality of conductive features 209 , and a plurality of through-substrate vias 211 .

請參考圖3,在一些實施例中,基底203可為一塊狀(bulk)半導體基底,其完全由至少一半導體材料所組成;塊狀半導體基底並未包含任何介電質、隔離層或導電特徵。塊狀半導體基底的製作技術可相同於用於基底107的製作技術,且在文中不再重複其描述。在一些實施例中,基底203可包括一絕緣體上覆半導體結構,其相同於基底107的結構,且在文中不再重複其描述。 Please refer to FIG. 3. In some embodiments, the substrate 203 can be a bulk semiconductor substrate, which is entirely composed of at least one semiconductor material; the bulk semiconductor substrate does not include any dielectric, isolation layer or conductive layer. Characteristics. The fabrication technology of the bulk semiconductor substrate may be the same as that used for the substrate 107, and its description will not be repeated herein. In some embodiments, the substrate 203 may include a semiconductor-on-insulator structure, which is the same as the structure of the substrate 107 and its description will not be repeated herein.

請參考圖3,複數個裝置元件205可形成在基底203上。複數個裝置元件205的一些部分可形成在基底203中。複數個裝置元件205可為電晶體,例如互補式金屬氧化物半導體電晶體(complementary metal-oxide semiconductor transistors)、金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field-effect transistors)、鰭式場效電晶體、類似物或其組合。 Referring to FIG. 3 , a plurality of device components 205 may be formed on the substrate 203 . Portions of device elements 205 may be formed in substrate 203 . The plurality of device elements 205 may be transistors, such as complementary metal-oxide semiconductor transistors, metal-oxide-semiconductor field-effect transistors, fin field transistors, etc. Effective transistors, the like, or combinations thereof.

請參考圖3,複數個介電層207可形成在基底203上並覆蓋複數個裝置元件205。在一些實施例中,舉例來說,複數個介電層207可包含氧化矽、硼磷矽酸鹽玻璃、未摻雜矽酸鹽玻璃、氟矽酸鹽玻璃、低介電常數的介電材料、類似物或其組合。低介電常數的介電材料可具有一介電常數,介電常數小於3.0或甚至小於2.5。在一些實施例中,介電常數的介電材料可具有一介電常數,介電常數小於2.0。複數個介電層207的製作技術可包含多個沉積製程,例如化學氣相沉積、電漿加強化學氣相沉積或類似方法。在該等沉積製程之後可執行多個平坦化製程,以移除多餘材料 並提供一大致平坦表面給接下來的處理步驟。 Referring to FIG. 3 , a plurality of dielectric layers 207 may be formed on the substrate 203 and cover the plurality of device components 205 . In some embodiments, for example, the plurality of dielectric layers 207 may include silicon oxide, borophosphosilicate glass, undoped silicate glass, fluorosilicate glass, or low dielectric constant dielectric materials. , the like, or combinations thereof. Low dielectric constant dielectric materials may have a dielectric constant less than 3.0 or even less than 2.5. In some embodiments, the dielectric material having a dielectric constant may have a dielectric constant less than 2.0. The manufacturing technology of the plurality of dielectric layers 207 may include multiple deposition processes, such as chemical vapor deposition, plasma enhanced chemical vapor deposition or similar methods. Multiple planarization processes may be performed after these deposition processes to remove excess material and provide a generally flat surface for subsequent processing steps.

請參考圖3,複數個導電特徵209可包括多個互連層、多個導電通孔以及多個導電墊。該等互連層可相互分隔開,並可沿著方向Z而水平設置在複數個介電層207中。在本實施例中,該等最上面互連層可視為該等導電墊。該等導電通孔可沿著方向Z連接相鄰的互連層、相鄰的裝置元件205與互連層,以及相鄰的導電墊與互連層。在一些實施例中,該等導電通孔可改善散熱並可提供結構支撐。在一些實施例中,舉例來說,複數個導電特徵209可包含鎢、鈷、鋯、鉭、鈦、鋁、釕、銅、金屬碳化物(例如碳化鉭、碳化鈦、碳化鉭鎂)、金屬氮化物(例如氮化鈦)、過渡金屬鋁化物或其組合。在複數個介電層207形成期間,可形成複數個導電特徵209。 Referring to FIG. 3 , the plurality of conductive features 209 may include a plurality of interconnect layers, a plurality of conductive vias, and a plurality of conductive pads. The interconnection layers may be spaced apart from each other and may be horizontally disposed in the plurality of dielectric layers 207 along the direction Z. In this embodiment, the uppermost interconnect layers can be regarded as conductive pads. The conductive vias may connect adjacent interconnect layers, adjacent device elements 205 and interconnect layers, and adjacent conductive pads and interconnect layers along direction Z. In some embodiments, the conductive vias can improve heat dissipation and provide structural support. In some embodiments, for example, the plurality of conductive features 209 may include tungsten, cobalt, zirconium, tantalum, titanium, aluminum, ruthenium, copper, metal carbides (eg, tantalum carbide, titanium carbide, tantalum magnesium carbide), metal Nitride (eg titanium nitride), transition metal aluminide, or combinations thereof. During formation of dielectric layer 207, conductive features 209 may be formed.

請參考圖3,複數個貫穿基底通孔211可形成在基底203中,延伸到該等介電層207,並電性連接到相對應的該等導電特徵209。 Referring to FIG. 3 , a plurality of through-substrate vias 211 may be formed in the substrate 203 , extending to the dielectric layers 207 , and electrically connected to the corresponding conductive features 209 .

應當理解,在本揭露的描述中,該等裝置元件205、該等介電層207、該等導電特徵209與該等貫穿基底通孔211的數量僅用於例示目的。前述該等特徵的數量可大於或小於如圖3所示的數量。 It should be understood that in the description of the present disclosure, the numbers of device elements 205 , dielectric layers 207 , conductive features 209 and through-substrate vias 211 are for illustrative purposes only. The number of the aforementioned features may be greater or less than the number shown in FIG. 3 .

請參考圖3,堆疊晶圓201可包括相互相對設置的一前表面201FS以及一後表面201BS。在本揭露中,堆疊晶圓201的前表面201FS可為最上面介電層207的上表面。堆疊晶圓201的後表面201BS可為基底203的下表面。 Referring to FIG. 3 , the stacked wafer 201 may include a front surface 201FS and a back surface 201BS disposed opposite to each other. In the present disclosure, the front surface 201FS of the stacked wafer 201 may be the upper surface of the uppermost dielectric layer 207 . The back surface 201BS of the stacked wafer 201 may be the lower surface of the substrate 203 .

在一些實施例中,複數個裝置元件205、複數個導電特徵209以及複數個貫穿基底通孔211可一起配置成堆疊晶圓201的多個功能單元。 In some embodiments, device elements 205 , conductive features 209 , and through-substrate vias 211 may be configured together to form multiple functional units of stacked wafer 201 .

請參考圖3,堆疊晶圓201沿著方向Z的一中間區可表示成切割部SL2。切割部SL2可將堆疊晶圓201區分成一左區以及一右區。在沿著切割部SL2切割製程之後,堆疊晶圓201的左區可表示成一第一堆疊晶粒SD1(如之後的圖6所示),且堆疊晶圓201的右區可表示成一第二堆疊晶粒SD2(如之後的圖6所示),該切割製程將於後詳述。在一些實施例中,切割部SL2可具有一寬度,介於大約110μm到大約220μm之間。 Referring to FIG. 3 , a middle area of the stacked wafer 201 along the direction Z may be represented as a cutting portion SL2 . The cutting part SL2 can divide the stacked wafer 201 into a left area and a right area. After the cutting process along the cutting portion SL2, the left area of the stacked wafer 201 can be represented as a first stacked die SD1 (as shown in FIG. 6 below), and the right area of the stacked wafer 201 can be represented as a second stacked die. For die SD2 (as shown in Figure 6 below), the cutting process will be described in detail later. In some embodiments, the cutting portion SL2 may have a width between about 110 μm and about 220 μm.

請參考圖4,堆疊晶圓201可翻轉且堆疊晶圓201的前表面201FS可暫時接合在一載體層601上。在目前階段中,堆疊晶圓201的後表面201BS可朝上面對。 Referring to FIG. 4 , the stacked wafer 201 can be turned over and the front surface 201FS of the stacked wafer 201 can be temporarily bonded to a carrier layer 601 . At this stage, the back surface 201BS of the stacked wafer 201 may face upward.

請參考圖5,一鈍化層213可形成在堆疊晶圓201的後表面201BS上。在一些實施例中,鈍化層213可包含聚合物材料(polymeric material),例如聚苯并惡唑(polybenzoxazole)、聚醯亞胺(polyimide)、苯環丁烯(benzocyclobutene)、味之素構成膜(ajinomoto buildup film)、阻焊膜(solder resist film)或類似物。聚合物材料(例如聚醯亞胺)可具有一些有吸引力的特徵,例如填滿高深寬比之多個開口的能力、一相對低的介電常數(大約3.2)、一簡單的沉積製程、降低在下層之尖銳特徵或步驟,以及在固化之後的高耐溫性(high temperature tolerance)。此外,一些光敏聚合物材料(例如光敏聚醯亞胺)可具有所有前述的特性,並可圖案化類似一光阻遮罩,並可在圖案化與蝕刻之後維持在表面上,而光敏聚合物材料已經沉積在該表面上以當作一鈍化層的一部分。 Referring to FIG. 5 , a passivation layer 213 may be formed on the back surface 201BS of the stacked wafer 201 . In some embodiments, the passivation layer 213 may include a polymer material, such as polybenzoxazole, polyimide, benzocyclobutene, and Ajinomoto to form a film. (ajinomoto buildup film), solder resist film (solder resist film) or the like. Polymeric materials (such as polyimide) can have some attractive characteristics, such as the ability to fill multiple openings with high aspect ratios, a relatively low dielectric constant (approximately 3.2), a simple deposition process, Reduction of sharp features or steps in underlying layers and high temperature tolerance after curing. Additionally, some photopolymer materials (such as photopolymers) can have all of the aforementioned properties and can be patterned like a photoresist mask and remain on the surface after patterning and etching, whereas photopolymers Material has been deposited on the surface as part of a passivation layer.

在一些實施例中,舉例來說,鈍化層213的製作技術可包含旋轉塗佈、層壓(lamination)、沉積或類似方法。沉積可包括化學氣相沉積,例如電漿加強化學氣相沉積。電漿加強化學氣相沉積的製程溫度可 介於大約350℃到大約450℃之間。電漿加強化學氣相沉積的製程壓力可介於2.0Torr到大約2.8Torr之間。電漿加強化學氣相沉積的製程持續時間可介於大約8秒到大約12秒之間。 In some embodiments, for example, the manufacturing technology of the passivation layer 213 may include spin coating, lamination, deposition or similar methods. Deposition may include chemical vapor deposition, such as plasma enhanced chemical vapor deposition. The process temperature of plasma enhanced chemical vapor deposition can be Between about 350°C and about 450°C. The process pressure of plasma enhanced chemical vapor deposition can range from 2.0 Torr to about 2.8 Torr. The plasma enhanced chemical vapor deposition process duration may be between about 8 seconds and about 12 seconds.

請參考圖5,複數個接合墊215可形成在鈍化層213中,並可電性耦接到相對應的該等貫穿基底通孔211。在一些實施例中,多個焊墊開口(在圖5中未顯示)可形成在鈍化層213中,並可形成一導電材料以填滿該等焊墊開口,進而形成複數個接合墊215。焊墊開口的製作技術可包含一微影製程以及接續的一蝕刻製程。在一些實施例中,蝕刻製程可為使用氬以及四氟甲烷(tetrafluoromethane)當作蝕刻劑的一非等向性乾蝕刻製程。蝕刻製程的製程溫度可介於大約120℃到大約160℃之間。蝕刻製程的製程壓力可介於大約0.3Torr到大約0.4Torr之間。蝕刻製程的蝕刻持續時間可介於大約33秒到大約39秒之間。或者是,在一些實施例中,蝕刻製程可為使用氦以及三氟化氮(nitrogen trifluoride)當作蝕刻劑的一非等向性乾蝕刻製程。蝕刻製程的製程溫度可介於大約80℃到大約100℃之間。蝕刻製程的製程壓力可介於大約1.2Torr到大約1.3Torr之間。蝕刻製程的蝕刻持續時間可介於大約20秒到大約30秒之間。 Referring to FIG. 5 , a plurality of bonding pads 215 may be formed in the passivation layer 213 and may be electrically coupled to the corresponding through-substrate vias 211 . In some embodiments, a plurality of bonding pad openings (not shown in FIG. 5 ) may be formed in the passivation layer 213 , and a conductive material may be formed to fill the bonding pad openings, thereby forming a plurality of bonding pads 215 . The manufacturing technology of the pad opening may include a photolithography process followed by an etching process. In some embodiments, the etching process may be an anisotropic dry etching process using argon and tetrafluoromethane as etchants. The process temperature of the etching process may range from about 120°C to about 160°C. The process pressure of the etching process may range from about 0.3 Torr to about 0.4 Torr. The etching duration of the etching process may range from about 33 seconds to about 39 seconds. Alternatively, in some embodiments, the etching process may be an anisotropic dry etching process using helium and nitrogen trifluoride (nitrogen trifluoride) as etchants. The process temperature of the etching process may range from about 80°C to about 100°C. The process pressure of the etching process may range from about 1.2 Torr to about 1.3 Torr. The etching duration of the etching process may range from about 20 seconds to about 30 seconds.

在一些實施例中,該等焊墊開口可藉由噴濺或無電鍍覆而以導電材料依序填滿。舉例來說,當焊墊開口使用一鋁銅材料當作來源而藉由噴濺所填滿時,則噴濺的製程溫度可介於大約100℃到大約400℃之間。噴濺的製程壓力可介於大約1mTorr到大約100mTorr之間。舉另一個例子,藉由使用一鍍覆溶液的一電鍍製程填充該等焊墊開口。鍍覆溶液可包括硫酸銅(copper sulfate)、甲烷磺酸銅(copper methane sulfonate)、葡萄糖酸鹽銅(copper gluconate)、氨基磺酸鹽銅(copper sulfamate)、硝酸 銅(copper nitrate)、磷酸銅(copper phosphate)或是氯化銅(copper chloride)。鍍覆溶液的pH值可介於大約2到大約6之間,或是介於大約3到大約5之間。電鍍製程的製程溫度可維持在大約40℃到大約75℃之間,或是介於大約50℃到大約70℃之間。 In some embodiments, the pad openings may be sequentially filled with conductive material by sputtering or electroless plating. For example, when the pad opening is filled by sputtering using an aluminum-copper material as the source, the sputtering process temperature may be between about 100°C and about 400°C. The sputtering process pressure may range from approximately 1 mTorr to approximately 100 mTorr. As another example, the pad openings are filled by a plating process using a plating solution. The plating solution may include copper sulfate, copper methane sulfonate, copper gluconate, copper sulfamate, nitric acid Copper (copper nitrate), copper phosphate (copper phosphate) or copper chloride (copper chloride). The pH of the plating solution may be between about 2 and about 6, or between about 3 and about 5. The process temperature of the electroplating process can be maintained between about 40°C and about 75°C, or between about 50°C and about 70°C.

請參考圖6,可執行一分離製程(separation process)以沿著切割部SL2切割堆疊晶圓201。可藉由一雷射切割器(laser cutter)或是一鋸刀片(saw blade)執行分離製程。在分離製程之後,堆疊晶圓201可區分成堆疊晶粒SD1與堆疊晶粒SD2。堆疊晶粒SD1可包括相互相對設置的一前表面SD1F以及一後表面SD1B。在圖6中,堆疊晶粒SD1的前表面SD1F為鈍化層213的上表面,而堆疊晶粒SD1的後表面SD1B為最下面介電層207的下表面。因此,堆疊晶粒SD2可包括相互相對設置的一前表面SD2F以及一後表面SD2B。複數個堆疊晶粒SD1、SD2可從載體層601轉變成一晶粒框架603。應當理解,在分離製程之後,微小的裂痕與接縫可能發生在堆疊晶粒SD1與堆疊晶粒SD2的各側壁SD1S、SD2S上。 Referring to FIG. 6 , a separation process may be performed to cut the stacked wafer 201 along the cutting portion SL2. The separation process can be performed by a laser cutter or a saw blade. After the separation process, the stacked wafer 201 can be divided into stacked die SD1 and stacked die SD2. The stacked die SD1 may include a front surface SD1F and a back surface SD1B that are opposite to each other. In FIG. 6 , the front surface SD1F of the stacked die SD1 is the upper surface of the passivation layer 213 , and the rear surface SD1B of the stacked die SD1 is the lower surface of the lowermost dielectric layer 207 . Therefore, the stacked die SD2 may include a front surface SD2F and a back surface SD2B disposed opposite to each other. A plurality of stacked dies SD1, SD2 can be transformed from the carrier layer 601 into a die frame 603. It should be understood that after the separation process, tiny cracks and seams may occur on each sidewall SD1S, SD2S of stacked die SD1 and stacked die SD2.

請參考圖7,堆疊晶粒SD1與堆疊晶粒SD2可依序接合到基礎晶圓101上。堆疊晶粒SD1可藉由一混合接合製程而以一面對面配置(face-to-face configuration)接合到基礎晶圓101上。堆疊晶粒SD1的前表面SD1F可接合到基礎晶圓101的前表面101FS上。在一些實施例中,舉例來說,混合接合製程可為熱壓接合(thermo-compression bonding)、鈍化罩蓋層輔助接合(passivation-capping-layer assisted bonding)或是表面活化接合(surface activated bonding)。舉例來說,混合接合製程可包括活化堆疊晶粒SD1之最下面介電層207的暴露表面以及最上面介電層111(例如在電漿製程中)、在活化之後清洗介電層111與207、使介電層111的活化 表面與介電層207的活化表面接觸,以及執行熱退火製程以加強介電層111與介電層207之間的接合。 Referring to FIG. 7 , the stacked die SD1 and the stacked die SD2 can be sequentially bonded to the base wafer 101 . The stacked die SD1 may be bonded to the base wafer 101 in a face-to-face configuration through a hybrid bonding process. The front surface SD1F of the stacked die SD1 may be bonded to the front surface 101FS of the base wafer 101 . In some embodiments, for example, the hybrid bonding process may be thermo-compression bonding, passivation-capping-layer assisted bonding, or surface activated bonding. . For example, the hybrid bonding process may include activating the exposed surfaces of the lowermost dielectric layer 207 and the uppermost dielectric layer 111 of the stacked die SD1 (eg, in a plasma process), and cleaning the dielectric layers 111 and 207 after activation. , activating the dielectric layer 111 The surface is in contact with the activated surface of dielectric layer 207 , and a thermal annealing process is performed to strengthen the bond between dielectric layer 111 and dielectric layer 207 .

在一些實施例中,混合接合製程的製程壓力可介於大約100MPa到大約150MPa之間。在一些實施例中,混合接合製程的製程溫度可介於大約室溫(例如25℃)到大約400℃之間。在一些實施例中,可使用例如濕式化學清洗以及氣體/氣相熱處理的表面處理,以降低混合接合製程的製程溫度或是縮短混合接合製程的時間消耗。 In some embodiments, the process pressure of the hybrid bonding process may range from about 100 MPa to about 150 MPa. In some embodiments, the process temperature of the hybrid bonding process may range from approximately room temperature (eg, 25°C) to approximately 400°C. In some embodiments, surface treatments such as wet chemical cleaning and gas/vapor phase heat treatment may be used to lower the process temperature of the hybrid bonding process or shorten the time consumption of the hybrid bonding process.

在一些實施例中,混合接合製程可包括介電質對介電質接合、金屬對金屬接合以及金屬對介電質接合。介電質對介電質接合可源自於堆疊晶粒SD1的最下面介電層207與基礎晶圓101的最上面介電層111之間的接合。金屬對金屬接合可源自於堆疊晶粒SD1的該等導電墊與基礎晶圓101的該等導電墊之間的接合。金屬對介電質接合可源自於堆疊晶粒SD1的最下面介電層207與基礎晶圓101的該等導電墊之間的接合以及基礎晶圓101的最上面介電層111與堆疊晶粒SD1的該等導電墊間的接合。 In some embodiments, hybrid bonding processes may include dielectric-to-dielectric bonding, metal-to-metal bonding, and metal-to-dielectric bonding. The dielectric-to-dielectric bond may originate from the bond between the lowermost dielectric layer 207 of the stacked die SD1 and the uppermost dielectric layer 111 of the base wafer 101 . Metal-to-metal bonding may result from the bonding between the conductive pads of stacked die SD1 and the conductive pads of base wafer 101 . The metal-to-dielectric bond may originate from the bonding between the lowermost dielectric layer 207 of the stacked die SD1 and the conductive pads of the base wafer 101 and the uppermost dielectric layer 111 of the base wafer 101 and the stacked die. The bonding between the conductive pads of particle SD1.

在一些實施例中,舉例來說,當堆疊晶粒SD1的最下面介電層207與基礎晶圓101的最上面介電層111可包含氧化矽或氮化矽,介電層111與207之間的接合可依據親水性接合(hydrophilic bonding)機制。在接合之前,親水性表面改良可施加到介電層111與207。 In some embodiments, for example, when the lowermost dielectric layer 207 of the stacked die SD1 and the uppermost dielectric layer 111 of the base wafer 101 may include silicon oxide or silicon nitride, one of the dielectric layers 111 and 207 The bonding between them can be based on the hydrophilic bonding mechanism. Hydrophilic surface modification may be applied to dielectric layers 111 and 207 prior to bonding.

在一些實施例中,當堆疊晶粒SD1的最下面介電層207與基礎晶圓101的最上面介電層111包含聚合物黏著劑,例如聚醯亞胺、苯環丁烯、聚苯并惡唑時,介電層111與207之間的接合可依據熱壓接合。 In some embodiments, when stacking the lowermost dielectric layer 207 of the die SD1 and the uppermost dielectric layer 111 of the base wafer 101, the stack includes a polymer adhesive, such as polyimide, phenylcyclobutene, polybenzo When using oxazole, the bonding between the dielectric layers 111 and 207 can be based on thermocompression bonding.

在一些實施例中,在接合製程之後,可執行一熱退火製程以加強介電質對介電質接合,並產生金屬對金屬接合的熱膨脹,以便進一 步改善接合品質。 In some embodiments, after the bonding process, a thermal annealing process may be performed to strengthen the dielectric-to-dielectric bond and generate thermal expansion of the metal-to-metal bond to further further improve the joint quality.

請參考圖7,堆疊晶粒SD2可以類似於堆疊晶粒SD1得一程序而接合到基礎晶圓101上,且在文中不再重複其描述。在本實施例中,堆疊晶粒SD1接合到基礎晶圓101的左區。堆疊晶粒SD2接合到基礎晶圓101的右區並遠離堆疊晶粒SD1設置。 Referring to FIG. 7 , the stacked die SD2 can be bonded to the base wafer 101 in a procedure similar to the stacked die SD1 , and its description will not be repeated herein. In this embodiment, stacked die SD1 is bonded to the left region of base wafer 101 . The stacked die SD2 is bonded to the right region of the base wafer 101 and is disposed away from the stacked die SD1.

請參考圖8,可以類似於堆疊晶粒SD1、SD2的一程序而提供堆疊晶粒SD3、SD4、SD5、SD6,且在文中不再重複其描述。經由類似於如圖7所描述的混合接合製程而分別且對應使堆疊晶粒SD3可接合到堆疊晶粒SD1上,以及堆疊晶粒SD4可接合到堆疊晶粒SD2,且在文中不再重複描述。在一些實施例中,堆疊晶粒SD3與堆疊晶粒SD1可以一面對背(face-to-back)配置而接合。在一些實施例中,堆疊晶粒SD3與堆疊晶粒SD1可以一背對背(back-to-back)配置而接合。在一些實施例中,一重分布層可形成在堆疊晶粒SD3與堆疊晶粒SD1之間,以電性耦接堆疊晶粒SD3與堆疊晶粒SD1的該等導電特徵。堆疊晶粒SD4、SD5、SD6可以類似於堆疊晶粒SD3的一程序而接合,且在文中不再重複其描述。在本實施例中,最上面堆疊晶粒SD5、SD6可能不包括該等貫穿基底通孔。 Referring to FIG. 8 , the stacked dies SD3 , SD4 , SD5 , and SD6 can be provided in a procedure similar to the stacked dies SD1 and SD2 , and their description will not be repeated in the text. The stacked die SD3 can be bonded to the stacked die SD1 and the stacked die SD4 can be bonded to the stacked die SD2 respectively and correspondingly through a hybrid bonding process similar to that described in FIG. 7 , and will not be described again herein. . In some embodiments, stacked die SD3 and stacked die SD1 may be bonded in a face-to-back configuration. In some embodiments, stacked die SD3 and stacked die SD1 may be bonded in a back-to-back configuration. In some embodiments, a redistribution layer may be formed between stacked die SD3 and stacked die SD1 to electrically couple the conductive features of stacked die SD3 and stacked die SD1 . Stacked dies SD4, SD5, SD6 may be bonded in a procedure similar to stacked die SD3, and their description will not be repeated herein. In this embodiment, the uppermost stacked dies SD5 and SD6 may not include the through-substrate via holes.

在一些實施例中,堆疊晶粒SD1與堆疊晶粒SD3可具有相同布局並可包括相同功能單元。在一些實施例中,堆疊晶粒SD1與堆疊晶粒SD3可具有不同布局並可包括不同功能單元。 In some embodiments, stacked die SD1 and stacked die SD3 may have the same layout and may include the same functional units. In some embodiments, stacked die SD1 and stacked die SD3 may have different layouts and may include different functional units.

應當理解,在本揭露的描述中,該等堆疊晶粒的數量僅為例示目的。該等堆疊晶粒的數量可大於或小於如圖8所示的數量。 It should be understood that in the description of the present disclosure, the number of stacked dies is for illustrative purposes only. The number of stacked dies may be greater or less than that shown in FIG. 8 .

請參考圖1及圖9,在步驟S15,可共形地形成一再填充層301以覆蓋負數個堆疊晶粒SD1、SD2、SD3、SD4、SD5、SD6以及基礎 晶圓101的前表面101FS。 Referring to Figures 1 and 9, in step S15, a refill layer 301 can be conformally formed to cover the negative number of stacked dies SD1, SD2, SD3, SD4, SD5, SD6 and the base Front surface 101FS of wafer 101 .

請參考圖9,再填充層301可共形地形成在基礎晶圓101的前表面101FS上、在複數個堆疊晶粒SD1、SD2、SD3、SD4、SD5、SD6的各側壁SD1S、SD2S、SD3S、SD4S、SD5S、SD6S上以及在複數個堆疊晶粒SD5、SD6的各後表面SD5B、SD6B上。在切割期間,可以再填充層301填滿複數個堆疊晶粒SD1、SD2、SD3、SD4、SD5、SD6的裂痕與接縫。在一些實施例中,再填充層301的厚度T1可介於大約50Å到大約1000Å之間。舉例來說,在一些實施例中,再填充層301可包含氧化矽、氮化矽、氮氧化矽、氧化氮化矽、氧化鈦、氧化鋁、氧化鉿或其組合。舉例來說,再填充層301的製作技術可包含原子層沉積。 Referring to FIG. 9 , the refill layer 301 may be conformally formed on the front surface 101FS of the base wafer 101 and on each sidewall SD1S, SD2S, SD3S of the plurality of stacked dies SD1, SD2, SD3, SD4, SD5, and SD6. , SD4S, SD5S, SD6S and on each rear surface SD5B, SD6B of a plurality of stacked dies SD5, SD6. During cutting, the refill layer 301 can be used to fill the cracks and seams of the plurality of stacked dies SD1, SD2, SD3, SD4, SD5, and SD6. In some embodiments, the thickness T1 of the refill layer 301 may be between about 50 Å and about 1000 Å. For example, in some embodiments, refill layer 301 may include silicon oxide, silicon nitride, silicon oxynitride, silicon oxynitride, titanium oxide, aluminum oxide, hafnium oxide, or combinations thereof. For example, the fabrication technology of the refill layer 301 may include atomic layer deposition.

通常,原子層沉積是基於典型上自限制(self-limiting)反應物,藉由使用反應物之依序且交錯的脈衝以大約每一沉積週期沉積一原子(或分子)單層材料。典型地選擇沉積條件與前驅物以提供自飽和(self-saturating)反應物,以使一反應物的一吸收層留下表面終止(surface termination),其與相同反應物之氣相反應物未產生反應。基底依序與一不同反應物接觸,其與前述終止產生反應以繼續產生沉積。因此,該等交錯脈衝的每一週期通常只留下不超過大約一個單層的所需材料。然而,在原子層沉積的一或多個週期中,可沉積大於一個單層材料。 In general, atomic layer deposition is based on typically self-limiting reactants by depositing a single layer of material approximately one atomic (or molecule) per deposition cycle using sequential and staggered pulses of reactants. Deposition conditions and precursors are typically chosen to provide self-saturating reactants such that an absorbing layer of one reactant leaves a surface termination that is not produced by a gas phase reactant of the same reactant reaction. The substrate is sequentially contacted with a different reactant, which reacts with the aforementioned termination to continue to produce deposition. Therefore, each cycle of the interleaved pulses typically leaves no more than about a single layer of the desired material. However, during one or more cycles of atomic layer deposition, more than a single layer of material may be deposited.

在本實施例中,再填充層301可包含氮化矽,且其製作技術可包含原子層沉積。舉例來說,其上進行預期之沉積的基底表面(例如基礎晶圓101的前表面101FS、複數個堆疊晶粒SD1、SD2、SD3、SD4、SD5、SD6的各側壁SD1S、SD2S、SD3S、SD4S、SD5S、SD6S以及在複數個堆疊晶粒SD5、SD6的各後表面SD5B、SD6B)與一第一氣相反應 物接觸,該第一氣相反應物包括一矽前驅物,該矽前驅物用化學方法吸附到該基底表面上,形成不超過一個單層反應物種類在該基底表面上。在一些實施例中,在進行到後續處理步驟之前,即在接續的一接觸步驟或移除/清除步驟之前,每個接觸步驟可以重複一次或多次。 In this embodiment, the refill layer 301 may include silicon nitride, and its fabrication technology may include atomic layer deposition. For example, the substrate surface on which the intended deposition is performed (such as the front surface 101FS of the base wafer 101, each sidewall SD1S, SD2S, SD3S, SD4S of the plurality of stacked dies SD1, SD2, SD3, SD4, SD5, SD6 , SD5S, SD6S and on each rear surface SD5B, SD6B of a plurality of stacked dies SD5, SD6) react with a first gas phase The first gas phase reactant includes a silicon precursor that is chemically adsorbed onto the substrate surface to form no more than a single layer of reactant species on the substrate surface. In some embodiments, each contact step may be repeated one or more times before proceeding to subsequent processing steps, ie, a subsequent contact step or removal/cleaning step.

在一些實施例中,一矽前驅物可包括一鹵化矽(silicon halide)源。在一些實施例中,第一氣相反應物可包括一鹵化矽源且還可包括以下至少其一:四碘化矽(silicon tetraiodide)、四溴化矽(silicon tetrabromide)、四氯化矽(silicon tetrachloride)、六氯乙矽烷(hexachlorodisilane)、hexaiododisilane以及octoiodotrisilane。在一些實施例中,可預熱鹵化矽源以提供足夠之用於傳送的氣相壓力。舉例來說,可預熱鹵化矽源到介於大約90℃到大約125℃之間,或是到大約100℃。 In some embodiments, a silicon precursor may include a silicon halide source. In some embodiments, the first gas phase reactant may include a silicon halide source and may further include at least one of the following: silicon tetraiodide, silicon tetrabromide, silicon tetrabromide ( silicon tetrachloride), hexachlorodisilane, hexaiododisilane and octoiodotrisilane. In some embodiments, the silicon halide source may be preheated to provide sufficient gas phase pressure for delivery. For example, the silicon halide source may be preheated to between about 90°C and about 125°C, or to about 100°C.

在一些實施例中,將基底表面接觸(或暴露)到一鹵化矽源可包括矽前驅物在基底表面上產生脈衝,其時間區間介於大約0.5秒到大約30秒之間,或是介於大約0.5秒到大約10.0秒之間,或是介於大約0.5秒到大約5.0秒之間。此外,在脈衝期間,鹵化矽源的流量可小於2000sccm,或小於1000sccm,或小於500sccm,或小於250sccm,或甚至小於100sccm。 In some embodiments, contacting (or exposing) the substrate surface to a silicon halide source may include pulsing a silicon precursor on the substrate surface for a time interval of between about 0.5 seconds to about 30 seconds, or between Between about 0.5 seconds and about 10.0 seconds, or between about 0.5 seconds and about 5.0 seconds. Furthermore, during the pulse, the flow rate of the silicon halide source may be less than 2000 sccm, or less than 1000 sccm, or less than 500 sccm, or less than 250 sccm, or even less than 100 sccm.

多餘的鹵化矽源以及反應物副產品(若有的話)可從基底表面移除,例如藉由清除一惰性氣體。在一些實施例中,原子層沉積可包括一清除週期,其中清除基底表面一時間區間,其小於大約5.0秒。多餘的鹵化矽源以及任何反應物副產品可藉由一幫浦系統所產生的一真空以幫助移除。 Excess silicon halide sources and reactant by-products, if any, can be removed from the substrate surface, for example by purging an inert gas. In some embodiments, atomic layer deposition may include a purge cycle in which the substrate surface is purged for a time period of less than approximately 5.0 seconds. Excess silicon halide sources and any reactant by-products can be aided in removal by a vacuum created by a pump system.

接下來,基地表面與一第二氣相反應物接觸,該第二氣相 反應物包括一氮源。在一些實施例中,第二氣相反應物可包括以下至少其一:氨水(ammonia)、聯氨(hydrazine)或是烷基肼(alkyl-hydrazine)。烷基肼可表示成聯氨的一衍生物,其可包括一烷基(alkyl)的功能群組,且亦可包括額外的功能群組,一烷基肼之非限制例示實施例可包括叔丁基肼(tertbutylhydrazine)、甲基聯胺(methylhydrazine)或是二甲基肼(dimethylhydrazine)。 Next, the base surface is contacted with a second gas phase reactant. The second gas phase The reactants include a nitrogen source. In some embodiments, the second gas phase reactant may include at least one of the following: ammonia, hydrazine, or alkyl-hydrazine. Alkyl hydrazine can be represented as a derivative of hydrazine, which can include an alkyl (alkyl) functional group, and can also include additional functional groups. Non-limiting exemplary embodiments of an alkyl hydrazine can include tert. Butylhydrazine (tertbutylhydrazine), methylhydrazine (methylhydrazine) or dimethylhydrazine (dimethylhydrazine).

在一些實施例中,將基底表面接觸(或暴露)到一氮源可包括氮源在基底表面上產生脈衝,其時間區間介於大約0.5秒到大約30秒之間,或是介於大約0.5秒到大約10.0秒之間,或是介於大約0.5秒到大約5.0秒之間。在脈衝期間,氮源的流量可小於4000sccm,或小於2000sccm,或小於1000sccm,或甚至小於250sccm。 In some embodiments, contacting (or exposing) the substrate surface to a nitrogen source may include the nitrogen source generating a pulse on the substrate surface for a time interval of between about 0.5 seconds to about 30 seconds, or between about 0.5 seconds to approximately 10.0 seconds, or between approximately 0.5 seconds to approximately 5.0 seconds. During the pulse, the flow rate of the nitrogen source may be less than 4000 sccm, or less than 2000 sccm, or less than 1000 sccm, or even less than 250 sccm.

多餘的第二氣相反應物以及反應物副產品,若有的話,可從基底表面移除,例如藉由一清除氣體脈衝及/或由一幫浦系統所產生的真空。較佳者,清除氣體為任何惰性氣體,例如氬、氮或氦,但並不以此為限。 Excess second gas phase reactants and reactant by-products, if any, may be removed from the substrate surface, for example, by a purge gas pulse and/or a vacuum generated by a pump system. Preferably, the purge gas is any inert gas, such as argon, nitrogen or helium, but is not limited thereto.

在一些實施例中,原子層沉積可在一反應腔室壓力下執行,該反應腔室壓力小於大約50Torr,該反應腔室壓力小於25Torr或是該反應腔室壓力甚至小於5Torr。 In some embodiments, atomic layer deposition can be performed at a reaction chamber pressure less than approximately 50 Torr, a reaction chamber pressure less than 25 Torr, or even a reaction chamber pressure less than 5 Torr.

在一些實施例中,一旦再填充層301沉積,則為了改善再填充層301的材料特性,再填充層301可暴露在一電漿中。在一些實施例中,電漿可配置有一電容耦合電漿源、一電感耦合電漿源或是一遠程電漿源(remote plasma source)。在一些實施例中,來自電漿所產生的源氣體可包括一或多個氮、氦、氫以及氬。在一些實施例中,供給電漿源氣體能量 的功率(power)可大於大約150W,或是大於大約300W,或是大於大約600W,或是甚至大於大約900W。在一些實施例中,將再填充層301暴露在電漿的壓力可小於大約4Torr,小於大約2Torr,或是可甚至小於1Torr。在一些實施例中,將再填充層301暴露在電漿的持續時間可小於大約300秒,小於大約150秒,或是甚至小於大約90秒。 In some embodiments, once refill layer 301 is deposited, refill layer 301 may be exposed to a plasma in order to improve the material properties of refill layer 301 . In some embodiments, the plasma may be configured as a capacitively coupled plasma source, an inductively coupled plasma source, or a remote plasma source. In some embodiments, the source gas generated from the plasma may include one or more of nitrogen, helium, hydrogen, and argon. In some embodiments, supplying plasma source gas energy The power may be greater than about 150W, or greater than about 300W, or greater than about 600W, or even greater than about 900W. In some embodiments, the pressure at which refill layer 301 is exposed to the plasma may be less than about 4 Torr, less than about 2 Torr, or may even be less than 1 Torr. In some embodiments, the duration of exposure of refill layer 301 to the plasma may be less than about 300 seconds, less than about 150 seconds, or even less than about 90 seconds.

請參考圖1、圖10及圖11,在步驟S17,一第一模塑層401可形成在再填充層301上。 Please refer to FIGS. 1, 10 and 11. In step S17, a first molding layer 401 may be formed on the refill layer 301.

請參考圖10,第一模塑層401可形成在再填充層301上,以完全覆蓋再填充層301、基礎晶圓101的前表面101FS以及複數個堆疊晶粒SD1、SD2、SD3、SD4、SD5、SD6。應當理解,堆疊晶粒SD1、SD3、SD5與堆疊晶粒SD2、SD4、SD6之間的間隙可完全被第一模塑層401所填滿。在一些實施例中,第一模塑層401可包含一模塑化合物,例如聚苯并惡唑(polybenzoxazole)、聚醯亞胺(polyimide)、苯環丁烯(benzocyclobutene)、環氧層壓板(epoxy laminate)或是氟化氫銨(ammonium bifluoride)。第一模塑層401的製作技術可包含壓縮模塑(compressive molding)、轉移模塑(transfer molding)、液體封裝模塑(liquid encapsulant molding)或其他模塑。舉例來說,一模塑化合物可分配成液體形式。接下來,執行一固化(curing)製程以固體化該模塑化合物。模塑化合物的形成可能會溢出如圖10所示的中間半導體元件,以使模塑化合物可完全覆蓋再填充層301與複數個堆疊晶粒SD1、SD2、SD3、SD4、SD5、SD6。 Referring to FIG. 10 , the first molding layer 401 may be formed on the refill layer 301 to completely cover the refill layer 301 , the front surface 101FS of the base wafer 101 and the plurality of stacked dies SD1, SD2, SD3, SD4, SD5, SD6. It should be understood that the gaps between stacked dies SD1, SD3, SD5 and stacked dies SD2, SD4, SD6 may be completely filled by the first molding layer 401. In some embodiments, the first molding layer 401 may include a molding compound such as polybenzoxazole, polyimide, benzocyclobutene, epoxy laminate ( epoxy laminate) or ammonium bifluoride. The manufacturing technology of the first molding layer 401 may include compression molding, transfer molding, liquid encapsulant molding or other molding. For example, a molding compound can be dispensed in liquid form. Next, a curing process is performed to solidify the molding compound. The formation of the molding compound may overflow the intermediate semiconductor element as shown in FIG. 10 so that the molding compound can completely cover the refill layer 301 and the plurality of stacked dies SD1, SD2, SD3, SD4, SD5, SD6.

請參考圖11,可執行一薄化製程以縮減基底203的一厚度,以便縮減複數個堆疊晶粒SD5、SD6的高度。此薄化製程可允許於改 善散熱並提供一較低元件輪廓。在一些實施例中,複數個堆疊晶粒SD5、SD6可薄化至一厚度,介於大約0.5μm到大約10μm之間。可完成薄化製程,例如使用機械磨損、研磨或類似方法,或者是使用化學移除,例如一濕蝕刻。 Referring to FIG. 11 , a thinning process may be performed to reduce a thickness of the substrate 203 so as to reduce the heights of the plurality of stacked dies SD5 and SD6. This thinning process allows for modification Good heat dissipation and provides a low component profile. In some embodiments, the plurality of stacked dies SD5, SD6 may be thinned to a thickness of between about 0.5 μm and about 10 μm. Thinning processes can be accomplished using mechanical abrasion, grinding or similar methods, or chemical removal such as a wet etch.

在一些實施例中,一薄化終止層(圖未示)可植入在用於薄化終止控制之複數個堆疊晶粒SD5、SD6的基底203中。薄化終止層可為一摻雜物層或一磊晶生長層,其具有一厚度,大約0.2μm到大約10μm之間。可選擇薄化終止層的厚度,以使其足夠厚而終止取決於所使用之蝕刻選擇性的薄化製程。舉例來說,若是所使用的蝕刻選擇性大約為1:100的話,則薄化終止層可具有一厚度,介於大約0.2μm到大約5μm之間。多個尺寸可使用於基於製程配置的薄化終止層。 In some embodiments, a thinning stop layer (not shown) may be implanted in the substrate 203 of the plurality of stacked dies SD5, SD6 for thinning stop control. The thinning stop layer may be a dopant layer or an epitaxial growth layer having a thickness of between about 0.2 μm and about 10 μm. The thickness of the thinned stop layer can be selected so that it is thick enough to terminate the thinning process depending on the etch selectivity used. For example, if the etching selectivity used is approximately 1:100, the thinning stop layer may have a thickness ranging from approximately 0.2 μm to approximately 5 μm. Multiple sizes are available for thinning the stop layer based on process configuration.

請參考圖1及圖12,在步驟S19,可形成複數個第一連接件407以電性耦接到基礎晶圓101的複數個裝置元件109。 Referring to FIGS. 1 and 12 , in step S19 , a plurality of first connectors 407 may be formed to electrically couple to the plurality of device components 109 of the base wafer 101 .

請參考圖12,可翻轉如圖11所描述的中間半導體元件ISD。一下鈍化層403可形成在基礎晶圓101的後表面101BS上。在一些實施例中,下鈍化層403可包含一聚合物材料,例如聚苯并惡唑(polybenzoxazole)、聚醯亞胺(polyimide)、苯環丁烯(benzocyclobutene)、味之素構成膜(ajinomoto buildup film)、阻焊膜(solder resist film)或類似物。聚合物材料(例如聚醯亞胺)可具有一些有吸引力的特徵,例如填滿高深寬比之多個開口的能力、一相對低的介電常數(大約3.2)、一簡單的沉積製程、降低在下層之尖銳特徵或步驟,以及在固化之後的高耐溫性(high temperature tolerance)。此外,一些光敏聚合物材料(例如光敏聚醯亞胺)可具有所有前述的特性,並可圖案化類似一光阻 遮罩,並可在圖案化與蝕刻之後維持在表面上,而光敏聚合物材料已經沉積在該表面上以當作一鈍化層的一部分。 Referring to Figure 12, the intermediate semiconductor device ISD as described in Figure 11 can be flipped. A lower passivation layer 403 may be formed on the back surface 101BS of the base wafer 101 . In some embodiments, the lower passivation layer 403 may include a polymer material, such as polybenzoxazole, polyimide, benzocyclobutene, or ajinomoto. buildup film, solder resist film or similar. Polymeric materials (such as polyimide) can have some attractive characteristics, such as the ability to fill multiple openings with high aspect ratios, a relatively low dielectric constant (approximately 3.2), a simple deposition process, Reduction of sharp features or steps in underlying layers and high temperature tolerance after curing. Additionally, some photopolymer materials (such as photopolymers) can have all of the aforementioned properties and can be patterned like a photoresist. The mask can remain on the surface after patterning and etching, and the photopolymer material has been deposited on the surface as part of a passivation layer.

在一些實施例中,舉例來說,下鈍化層403的製作技術可包含旋轉塗佈、層壓(lamination)、沉積或類似方法。沉積可包括化學氣相沉積,例如電漿加強化學氣相沉積。電漿加強化學氣相沉積的製程溫度可介於大約350℃到大約450℃之間。電漿加強化學氣相沉積的製程壓力可介於2.0Torr到大約2.8Torr之間。電漿加強化學氣相沉積的製程持續時間可介於大約8秒到大約12秒之間。 In some embodiments, for example, the manufacturing technology of the lower passivation layer 403 may include spin coating, lamination, deposition or similar methods. Deposition may include chemical vapor deposition, such as plasma enhanced chemical vapor deposition. The plasma enhanced chemical vapor deposition process temperature may be between about 350°C and about 450°C. The process pressure of plasma enhanced chemical vapor deposition can range from 2.0 Torr to about 2.8 Torr. The plasma enhanced chemical vapor deposition process duration may be between about 8 seconds and about 12 seconds.

請參考圖12,複數個下接合墊405可形成在下鈍化層403中,並可電性耦接到相對應的該等貫穿基底通孔115。在一些實施例中,多個焊墊開口(在圖12中未顯示)可形成在下鈍化層403中,並可形成一導電材料以填滿該等焊墊開口,進而形成下鈍化層403。焊墊開口的製作技術可包含一微影製程以及接續的一蝕刻製程。在一些實施例中,蝕刻製程可為使用氬以及四氟甲烷(tetrafluoromethane)當作蝕刻劑的一非等向性乾蝕刻製程。蝕刻製程的製程溫度可介於大約120℃到大約160℃之間。蝕刻製程的製程壓力可介於大約0.3Torr到大約0.4Torr之間。蝕刻製程的蝕刻持續時間可介於大約33秒到大約39秒之間。或者是,在一些實施例中,蝕刻製程可為使用氦以及三氟化氮(nitrogen trifluoride)當作蝕刻劑的一非等向性乾蝕刻製程。蝕刻製程的製程溫度可介於大約80℃到大約100℃之間。蝕刻製程的製程壓力可介於大約1.2Torr到大約1.3Torr之間。蝕刻製程的蝕刻持續時間可介於大約20秒到大約30秒之間。 Referring to FIG. 12 , a plurality of lower bonding pads 405 may be formed in the lower passivation layer 403 and may be electrically coupled to the corresponding through-substrate vias 115 . In some embodiments, a plurality of bonding pad openings (not shown in FIG. 12 ) may be formed in the lower passivation layer 403 , and a conductive material may be formed to fill the bonding pad openings, thereby forming the lower passivation layer 403 . The manufacturing technology of the pad opening may include a photolithography process followed by an etching process. In some embodiments, the etching process may be an anisotropic dry etching process using argon and tetrafluoromethane as etchants. The process temperature of the etching process may range from about 120°C to about 160°C. The process pressure of the etching process may range from about 0.3 Torr to about 0.4 Torr. The etching duration of the etching process may range from about 33 seconds to about 39 seconds. Alternatively, in some embodiments, the etching process may be an anisotropic dry etching process using helium and nitrogen trifluoride (nitrogen trifluoride) as etchants. The process temperature of the etching process may range from about 80°C to about 100°C. The process pressure of the etching process may range from about 1.2 Torr to about 1.3 Torr. The etching duration of the etching process may range from about 20 seconds to about 30 seconds.

在一些實施例中,該等焊墊開口可藉由噴濺或無電鍍覆而以導電材料依序填滿。舉例來說,當焊墊開口使用一鋁銅材料當作來源而 藉由噴濺所填滿時,則噴濺的製程溫度可介於大約100℃到大約400℃之間。噴濺的製程壓力可介於大約1mTorr到大約100mTorr之間。舉另一個例子,藉由使用一鍍覆溶液的一電鍍製程填充該等焊墊開口。鍍覆溶液可包括硫酸銅(copper sulfate)、甲烷磺酸銅(copper methane sulfonate)、葡萄糖酸鹽銅(copper gluconate)、氨基磺酸鹽銅(copper sulfamate)、硝酸銅(copper nitrate)、磷酸銅(copper phosphate)或是氯化銅(copper chloride)。鍍覆溶液的pH值可介於大約2到大約6之間,或是介於大約3到大約5之間。電鍍製程的製程溫度可維持在大約40℃到大約75℃之間,或是介於大約50℃到大約70℃之間。 In some embodiments, the pad openings may be sequentially filled with conductive material by sputtering or electroless plating. For example, when the pad opening uses an aluminum-copper material as the source When filling by sputtering, the sputtering process temperature may be between about 100°C and about 400°C. The sputtering process pressure may range from approximately 1 mTorr to approximately 100 mTorr. As another example, the pad openings are filled by a plating process using a plating solution. The plating solution may include copper sulfate, copper methane sulfonate, copper gluconate, copper sulfamate, copper nitrate, copper phosphate (copper phosphate) or copper chloride (copper chloride). The pH of the plating solution may be between about 2 and about 6, or between about 3 and about 5. The process temperature of the electroplating process can be maintained between about 40°C and about 75°C, or between about 50°C and about 70°C.

請參考圖12,複數個第一連接件407可形成在下鈍化層403上以及在複數個下接合墊405上。複數個第一連接件407可分別且對應電性連接到複數個下接合墊405。在一些實施例中,複數個第一連接件407可包括具有低電阻率的一導電材料,例如錫、鉛、銀、銅、鎳、鉍或其合金,且其製作技術可包含一適當的製程,例如蒸鍍、鍍覆或落球(ball drop)。 Referring to FIG. 12 , a plurality of first connections 407 may be formed on the lower passivation layer 403 and on a plurality of lower bonding pads 405 . The plurality of first connecting members 407 may be electrically connected to the plurality of lower bonding pads 405 respectively and correspondingly. In some embodiments, the plurality of first connectors 407 may include a conductive material with low resistivity, such as tin, lead, silver, copper, nickel, bismuth or alloys thereof, and its manufacturing technology may include an appropriate process. , such as evaporation, plating or ball drop.

在一些實施例中,複數個第一連接件407可為焊料接頭(solder joints)。該等焊料接頭可包括例如錫的一材料,或是其他適合的材料,例如銀或銅。在該等焊料接頭為錫焊料接頭的一實施例中,該等焊料接頭的製作技術可包含經由蒸鍍、電鍍、印刷、焊料轉移(solder transfer)或球置放(ball placement)而初始形成一層錫至一厚度,其大約為10μm到大約100μm之間。一旦該層錫已經形成在下鈍化層403,可執行一回焊(reflow)製程以將該等焊料接頭塑形成期望的形狀。 In some embodiments, the plurality of first connectors 407 may be solder joints. The solder joints may include a material such as tin, or other suitable materials such as silver or copper. In one embodiment where the solder joints are tin solder joints, the fabrication technique of the solder joints may include initially forming a layer via evaporation, electroplating, printing, solder transfer or ball placement. Tin to a thickness of between approximately 10 μm and approximately 100 μm. Once the layer of tin has been formed on the lower passivation layer 403, a reflow process can be performed to shape the solder joints into the desired shape.

在一些實施例中,複數個第一連接件407可為柱形凸塊, 舉例來說,該等柱形凸塊包含銅。該等柱形凸塊可直接形成在基礎晶圓101的後表面101BS上,而無須多個接觸墊、多個下凸塊金屬或類似物,因此還降低半導體元件1A的成本以及製程複雜度,其可允許增加該等柱形凸塊的密度。舉例來說,在一些實施例中,一柱形凸塊的一關鍵尺寸(例如間距(pitch))可小於大約5μm,該柱形凸塊可具有一高度,其小於大約10μm。該等柱形凸塊的製作技術可包含使用任何適合的方法,例如沉積一晶種層、選擇地形成一下凸塊金屬、使用一遮罩以界定該等柱形凸塊的一形狀、電化學鍍覆在遮罩中的該等柱形凸塊,以及接著移除遮罩以及晶種層的任何未期望的部分。可使用該等柱形凸塊以將半導體元件1A電性連接到其他封裝元件,例如一扇出(fan-out)重分布層、多個封裝基底、多個中介件(interposers)、多個印刷電路板以及類似物。 In some embodiments, the plurality of first connectors 407 may be cylindrical bumps, For example, the stud bumps include copper. The pillar bumps can be formed directly on the back surface 101BS of the base wafer 101 without the need for multiple contact pads, multiple lower bump metals or the like, thereby also reducing the cost and process complexity of the semiconductor device 1A. This may allow for an increase in the density of the stud bumps. For example, in some embodiments, a critical dimension (eg, pitch) of a stud bump may be less than approximately 5 μm, and the stud bump may have a height less than approximately 10 μm. Fabrication techniques for the pillar bumps may include using any suitable method, such as depositing a seed layer, selectively forming a bump metal, using a mask to define a shape of the pillar bumps, electrochemical Plating the stud bumps in the mask, and then removing the mask and any undesired portions of the seed layer. The stud bumps can be used to electrically connect the semiconductor device 1A to other packaging components, such as a fan-out redistribution layer, packaging substrates, interposers, printing Circuit boards and the like.

請參考圖1及圖13,在步驟S21,可沿著切割部SL1而執行一分離製程,以形成複數個晶片堆疊CS1、CS2。 Referring to FIGS. 1 and 13 , in step S21 , a separation process may be performed along the cutting portion SL1 to form a plurality of chip stacks CS1 and CS2 .

請參考圖13,可執行分離製程以沿著切割部SL2切割基礎晶圓101。在分離製程期間,第一模塑層401亦可填滿在堆疊晶粒SD1、SD3、SD5與堆疊晶粒SD2、SD4、SD6之間的間隙之間。分離製程的製作技術可包含一雷射切割器(laser cutter)或是一鋸刀片(saw blade)。在分離製程之後,基礎晶圓101可區分成第一基礎晶粒103以及第二基礎晶粒105。在一些實施例中,第一基礎晶粒103的寬度W1可大於堆疊晶粒SD1的寬度W2。 Referring to FIG. 13 , a separation process may be performed to cut the base wafer 101 along the cutting portion SL2 . During the separation process, the first molding layer 401 may also fill the gaps between the stacked dies SD1, SD3, SD5 and the stacked dies SD2, SD4, SD6. The manufacturing technology of the separation process may include a laser cutter or a saw blade. After the separation process, the base wafer 101 can be divided into a first base die 103 and a second base die 105 . In some embodiments, the width W1 of the first base die 103 may be greater than the width W2 of the stacked die SD1.

請參考圖13,第一基礎晶粒103、複數個堆疊晶粒SD1、SD3、SD5、餘留的再填充層301以及餘留的第一模塑層401一起配置成晶片堆疊CS1。第二基礎晶粒105、複數個堆疊晶粒SD2、SD4、SD6、餘留 的再填充層301以及餘留的第一模塑層401一起配置成晶片堆疊CS2。 Referring to FIG. 13 , the first base die 103 , a plurality of stacked dies SD1 , SD3 , SD5 , the remaining refill layer 301 and the remaining first molding layer 401 are configured together to form a chip stack CS1 . The second basic die 105, multiple stacked die SD2, SD4, SD6, remaining The refill layer 301 and the remaining first molding layer 401 are configured together to form a wafer stack CS2.

按照慣例,分離製程包括具有獨特硬度(distinct hardness)(例如軟性第一模塑層401以及硬性基礎晶圓101)的切割材料,以使多個製程參數可難以最佳化。此外,在切割具有較大硬度之材料期間的應力可引起複數個堆疊晶粒SD1、SD2、SD3、SD4、SD5、SD6之裂痕與接縫的傳播。因此,半導體元件1A的結構穩定性與可靠性可能會受到影響。 Conventionally, the separation process includes cutting materials with distinct hardness (eg, soft first mold layer 401 and hard base wafer 101) so that multiple process parameters may be difficult to optimize. Additionally, stresses during cutting of materials with greater hardness can cause cracks and seams to propagate across stacked dies SD1, SD2, SD3, SD4, SD5, SD6. Therefore, the structural stability and reliability of the semiconductor device 1A may be affected.

反之,再填充層301可補償硬度差異並填滿複數個堆疊晶粒SD1、SD2、SD3、SD4、SD5、SD6的裂痕與接縫。因此,可降低或避免在分離製程期間的不利影響。換言之,分離製程並不需要被過度最佳化,以便亦可降低半導體元件1A之製造的製程複雜鍍。 On the contrary, the refill layer 301 can compensate for the difference in hardness and fill the cracks and seams of the plurality of stacked dies SD1, SD2, SD3, SD4, SD5, and SD6. Therefore, adverse effects during the separation process can be reduced or avoided. In other words, the separation process does not need to be over-optimized so as to reduce the complexity of the manufacturing process of the semiconductor device 1A.

請參考圖1及圖14,在步驟S23,複數個晶片堆疊CS1、CS2可分別且對應接合到複數個封裝基底501上,且複數個第二連接件503可形成在複數個封裝基底501下方。 Referring to FIGS. 1 and 14 , in step S23 , the plurality of chip stacks CS1 and CS2 may be respectively and correspondingly bonded to the plurality of packaging substrates 501 , and a plurality of second connectors 503 may be formed under the plurality of packaging substrates 501 .

請參考圖14,晶片堆疊CS1可經由第一連接件407而接合到一封裝基底501。在一些實施例中,封裝基底501的寬度W3可大於晶片堆疊CS1的寬度W4。複數個第二連接件503可形成在封裝基底501的下方,且經由形成在封裝基底501中的多個導電特徵(為了清楚起見圖未示)而電性耦接到第一連接件407。在一些實施例中,複數個第二連接件503可為受控塌陷晶片連接(controlled collapse chip connection)(意即C4)凸塊,其製作技術包含一C4製程。 Referring to FIG. 14 , the chip stack CS1 may be bonded to a packaging substrate 501 via a first connector 407 . In some embodiments, the width W3 of the package substrate 501 may be greater than the width W4 of the die stack CS1. A plurality of second connections 503 may be formed under the packaging substrate 501 and electrically coupled to the first connections 407 via a plurality of conductive features formed in the packaging substrate 501 (not shown for clarity). In some embodiments, the plurality of second connectors 503 may be controlled collapse chip connection (C4) bumps, and their manufacturing technology includes a C4 process.

請參考圖14,一第二模塑層409可形成在封裝基底501上,以完全覆蓋晶片堆疊CS1。在一些實施例中,第二模塑層409可包含一模 塑化合物,例如聚苯并惡唑(polybenzoxazole)、聚醯亞胺(polyimide)、苯環丁烯(benzocyclobutene)、環氧層壓板(epoxy laminate)或是氟化氫銨(ammonium bifluoride)。第二模塑層409的製作技術可包含壓縮模塑(compressive molding)、轉移模塑(transfer molding)、液體封裝模塑(liquid encapsulant molding)或其他模塑。舉例來說,一模塑化合物可分配成液體形式。接下來,執行一固化(curing)製程以固體化該模塑化合物。模塑化合物的形成可能會溢出封裝基底501,以使模塑化合物可完全覆蓋晶片堆疊CS1。可應用一平坦化製程,例如機械研磨、化學機械研磨或其他回蝕技術,以移除該模塑化合物的多餘部分並提供一大致平坦表面。 Referring to FIG. 14, a second molding layer 409 may be formed on the packaging substrate 501 to completely cover the chip stack CS1. In some embodiments, second molding layer 409 may include a mold Plastic compounds such as polybenzoxazole, polyimide, benzocyclobutene, epoxy laminate or ammonium bifluoride. The manufacturing technology of the second molding layer 409 may include compression molding, transfer molding, liquid encapsulant molding, or other molding. For example, a molding compound can be dispensed in liquid form. Next, a curing process is performed to solidify the molding compound. The molding compound may be formed overflowing the packaging substrate 501 so that the molding compound may completely cover the die stack CS1. A planarization process, such as mechanical grinding, chemical mechanical grinding, or other etch-back techniques, can be applied to remove excess portions of the molding compound and provide a generally flat surface.

圖15到圖16是剖視示意圖,例示本揭露一些實施例的各半導體元件1B、1C。 15 to 16 are schematic cross-sectional views illustrating semiconductor devices 1B and 1C according to some embodiments of the present disclosure.

請參考圖15,半導體元件1B可具有類似於如圖14所描述的一結構。在圖15中相同於或類似於如圖14中的元件已經以類似的元件編號進行標示,並已經省略其重複描述。 Referring to FIG. 15 , the semiconductor device 1B may have a structure similar to that described in FIG. 14 . Elements in FIG. 15 that are the same as or similar to those in FIG. 14 have been labeled with similar element numbers, and repeated descriptions thereof have been omitted.

在半導體元件1B中,再填充層301還可覆蓋堆疊晶粒SD5的後表面SD5B。第二模塑層409的一部分可設置在再填充層301上。第一模塑層401的上表面401TS可大致與再填充層301的上表面301TS為共面。 In the semiconductor device 1B, the refill layer 301 may also cover the rear surface SD5B of the stacked die SD5. A portion of the second molding layer 409 may be disposed on the refill layer 301 . The upper surface 401TS of the first molding layer 401 may be substantially coplanar with the upper surface 301TS of the refill layer 301 .

請參考圖16,半導體元件1C可具有類似於如圖14所描述的一結構。在圖16中相同於或類似於如圖14中的元件已經以類似的元件編號進行標示,並已經省略其重複描述。 Referring to FIG. 16 , the semiconductor device 1C may have a structure similar to that described in FIG. 14 . Elements in FIG. 16 that are the same as or similar to those in FIG. 14 have been labeled with similar element numbers, and repeated descriptions thereof have been omitted.

在半導體元件1C中,再填充層301還可覆蓋堆疊晶粒SD5的後表面SD5B。第二模塑層409可完全覆蓋第一模塑層401。第二模塑層 409並未接觸再填充層301或是堆疊晶粒SD5的基底203。 In the semiconductor device 1C, the refill layer 301 may also cover the rear surface SD5B of the stacked die SD5. The second molding layer 409 may completely cover the first molding layer 401 . Second molding layer 409 does not contact the refill layer 301 or the base 203 of the stacked die SD5.

本揭露之一實施例提供一種半導體元件,包括一晶片堆疊,包括一第一基礎晶粒;一第一堆疊晶粒,設置在該第一基礎晶粒的一前表面上;以及一再填充層,設置在該堆疊晶粒的一側壁上。該再填充層包括氧化矽、氮化矽、氮氧化矽、氧化氮化矽、氧化鈦、氧化鋁或氧化鉿。 An embodiment of the present disclosure provides a semiconductor device, including a chip stack including a first base die; a first stacked die disposed on a front surface of the first base die; and a refill layer, disposed on one side wall of the stacked die. The refill layer includes silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, titanium oxide, aluminum oxide or hafnium oxide.

本揭露之另一實施例提供一種半導體元件,包括一晶片堆疊,包括一第一基礎晶粒;一第一堆疊晶粒,設置在該第一基礎晶粒的一前表面上;以及一再填充層,完全覆蓋該堆疊晶粒並設置在該第一基礎晶粒的該前表面上。該再填充層包括氧化矽、氮化矽、氮氧化矽、氧化氮化矽、氧化鈦、氧化鋁或氧化鉿。 Another embodiment of the present disclosure provides a semiconductor device including a chip stack including a first base die; a first stacked die disposed on a front surface of the first base die; and a refill layer , completely covering the stacked die and being disposed on the front surface of the first base die. The refill layer includes silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, titanium oxide, aluminum oxide or hafnium oxide.

本揭露之再另一實施例提供一種半導體元件的製備方法,包括提供一基礎晶圓,該基礎晶圓包括一切割部;經由一混合接合製程而將一第一堆疊晶粒與一第二堆疊晶粒接合到該基礎晶圓的一前表面上,其中該第一堆疊晶粒與該第二堆疊晶粒相互相對設置,且該切割部插置在其間;共形地形成一再填充層以覆蓋該第一堆疊晶粒與該第二堆疊晶粒;形成一第一模塑層以覆蓋該再填充層並配置成一中間半導體元件,該中間半導體元件包括該基礎晶圓、該第一堆疊晶粒、該第二堆疊晶粒、該再填充層以及該第一模塑層;以及沿著該切割部切割該中間半導體元件以將該第一堆疊晶粒與該第二堆疊晶粒、該再填充層、該第一模塑層以及該基礎晶圓分隔開,其中在切割之後,該基礎晶圓分隔成一第一基礎晶粒以及一第二基礎晶粒。該第一基礎晶粒、該第一堆疊晶粒、該再填充層以及該第一模塑層一起配置成一第一晶片堆疊。 Yet another embodiment of the present disclosure provides a method for manufacturing a semiconductor device, including providing a base wafer including a cutting portion; and bonding a first stacked die and a second stacked die through a hybrid bonding process. The die is bonded to a front surface of the base wafer, wherein the first stacked die and the second stacked die are disposed opposite to each other, and the cutting portion is interposed therebetween; a refill layer is conformally formed to cover The first stacked die and the second stacked die; forming a first molding layer to cover the refill layer and configured into an intermediate semiconductor component, the intermediate semiconductor component including the base wafer, the first stacked die , the second stacked die, the refill layer and the first molding layer; and cutting the intermediate semiconductor element along the cutting portion to separate the first stacked die, the second stacked die, the refill layer The layer, the first molding layer and the base wafer are separated, wherein after cutting, the base wafer is separated into a first base die and a second base die. The first base die, the first stacked die, the refill layer and the first molding layer are configured together into a first wafer stack.

由於本揭露該半導體元件的設計,再填充層301可補償硬度差異並填滿該等堆疊晶粒SD1、SD2、SD3、SD4、SD5、SD6的裂痕(cracks)與接縫(seams)。因此,在切割期間,降低或避免其不利影響。另一方面,該切割製成並不需要過度最佳化,以便亦可降低半導體元件1A之製造的成本與製程複雜度。 Due to the design of the semiconductor device of the present disclosure, the refill layer 301 can compensate for the hardness difference and fill the cracks and seams of the stacked dies SD1, SD2, SD3, SD4, SD5, and SD6. Therefore, during cutting, its adverse effects are reduced or avoided. On the other hand, the cutting process does not need to be excessively optimized, so that the cost and process complexity of manufacturing the semiconductor device 1A can also be reduced.

雖然已詳述本揭露及其優點,然而應理解可進行各種變化、取代與替代而不脫離申請專利範圍所定義之本揭露的精神與範圍。例如,可用不同的方法實施上述的許多製程,並且以其他製程或其組合替代上述的許多製程。 Although the disclosure and its advantages have been described in detail, it should be understood that various changes, substitutions and substitutions can be made without departing from the spirit and scope of the disclosure as defined by the claimed claims. For example, many of the processes described above may be implemented in different ways and replaced with other processes or combinations thereof.

再者,本申請案的範圍並不受限於說明書中所述之製程、機械、製造、物質組成物、手段、方法與步驟之特定實施例。該技藝之技術人士可自本揭露的揭示內容理解可根據本揭露而使用與本文所述之對應實施例具有相同功能或是達到實質上相同結果之現存或是未來發展之製程、機械、製造、物質組成物、手段、方法、或步驟。據此,此等製程、機械、製造、物質組成物、手段、方法、或步驟包含於本申請案之申請專利範圍內。 Furthermore, the scope of the present application is not limited to the specific embodiments of the process, machinery, manufacture, material compositions, means, methods and steps described in the specification. Those skilled in the art can understand from the disclosure content of this disclosure that existing or future developed processes, machinery, manufacturing, etc. that have the same functions or achieve substantially the same results as the corresponding embodiments described herein can be used according to the present disclosure. A material composition, means, method, or step. Accordingly, such processes, machines, manufacturing, material compositions, means, methods, or steps are included in the patent scope of this application.

1A:半導體元件 101BS:後表面 101FS:前表面 103:第一基礎晶粒 105:第二基礎晶粒 107:基底 109:裝置元件 111:介電層 113:導電特徵 203:基底 205:裝置元件 207:介電層 209:導電特徵 211:貫穿基底通孔 213:鈍化層 215:接合墊 301:再填充層 401:第一模塑層 403:下鈍化層 405:下接合墊 407:第一連接件 409:第二模塑層 501:封裝基底 503:第二連接件 CS1:晶片堆疊 SD1:堆疊晶粒 SD1S:側壁 SD3:堆疊晶粒 SD5:堆疊晶粒 W3:寬度 W4:寬度 Z:方向 1A: Semiconductor components 101BS: Back surface 101FS: Front surface 103:The first basic grain 105: The second basic grain 107: Base 109:Device components 111: Dielectric layer 113: Conductive characteristics 203:Base 205:Device components 207:Dielectric layer 209: Conductive characteristics 211:Through-substrate through hole 213: Passivation layer 215:Joint pad 301:Refill layer 401: First molding layer 403: Lower passivation layer 405: Lower joint pad 407: First connector 409: Second molding layer 501:Packaging substrate 503: Second connector CS1: Wafer stacking SD1: Stacked die SD1S: side wall SD3: stacked die SD5: stacked die W3: Width W4: Width Z: direction

Claims (20)

一種半導體元件,包括: 一晶片堆疊,包括: 一第一基礎晶粒; 一第一堆疊晶粒,設置在該第一基礎晶粒的一前表面上;以及 一再填充層,設置在該堆疊晶粒的一側壁上; 其中該再填充層包括氧化矽、氮化矽、氮氧化矽、氧化氮化矽、氧化鈦、氧化鋁或氧化鉿。 A semiconductor component including: A wafer stack includes: a first basic grain; a first stacked die disposed on a front surface of the first base die; and A refill layer is provided on one side wall of the stacked grain; The refill layer includes silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, titanium oxide, aluminum oxide or hafnium oxide. 如請求項1所述之半導體元件,其中該再填充層的一厚度介於大約50Å到大約1000Å之間。The semiconductor device of claim 1, wherein the refill layer has a thickness ranging from about 50Å to about 1000Å. 如請求項2所述之半導體元件,其中該第一基礎晶粒的一寬度大於該堆疊晶粒的一寬度。The semiconductor device of claim 2, wherein a width of the first base die is greater than a width of the stacked die. 如請求項3所述之半導體元件,還包括一第一模塑層,設置在該再填充層上以及在該堆疊晶粒的相反處。The semiconductor device according to claim 3, further comprising a first molding layer disposed on the refill layer and opposite to the stacked die. 如請求項4所述之半導體元件,其中該晶片堆疊還包括一下鈍化層,設置在該第一基礎晶粒的一後表面上,而該第一基礎晶粒的該前表面與該第一基礎晶粒的該後表面相互相對設置。The semiconductor device of claim 4, wherein the chip stack further includes a passivation layer disposed on a rear surface of the first base die, and the front surface of the first base die is in contact with the first base die. The rear surfaces of the grains are arranged opposite each other. 如請求項5所述之半導體元件,其中該晶片堆疊還包括一第一連接件,設置在該下鈍化層下方且在該第一基礎晶粒的相反處。The semiconductor device of claim 5, wherein the chip stack further includes a first connection member disposed below the lower passivation layer and opposite to the first base die. 如請求項6所述之半導體元件,還包括一封裝基底,其中該晶片堆疊設置在該封裝基底上。The semiconductor device according to claim 6, further comprising a packaging substrate, wherein the chip stack is disposed on the packaging substrate. 如請求項7所述之半導體元件,還包括一第二連接件,設置在該封裝基底下方。The semiconductor device according to claim 7, further comprising a second connection member disposed below the packaging substrate. 如請求項8所述之半導體元件,其中該封裝基底的一寬度大於該晶片堆疊的一寬度。The semiconductor device of claim 8, wherein a width of the packaging substrate is greater than a width of the chip stack. 如請求項9所述之半導體元件,還包括一第二模塑層,設置在該封裝基底上並覆蓋該晶片堆疊。The semiconductor device according to claim 9, further comprising a second molding layer disposed on the packaging substrate and covering the chip stack. 如請求項10所述之半導體元件,其中該再填充層還水平設置在該第一基礎晶粒的該前表面上。The semiconductor device of claim 10, wherein the refill layer is also horizontally disposed on the front surface of the first base die. 一種半導體元件,包括: 一晶片堆疊,包括: 一第一基礎晶粒; 一第一堆疊晶粒,設置在該第一基礎晶粒的一前表面上;以及 一再填充層,完全覆蓋該堆疊晶粒並設置在該第一基礎晶粒的該前表面上; 其中該再填充層包括氧化矽、氮化矽、氮氧化矽、氧化氮化矽、氧化鈦、氧化鋁或氧化鉿。 A semiconductor component including: A wafer stack includes: a first basic grain; a first stacked die disposed on a front surface of the first base die; and a refill layer completely covering the stacked die and disposed on the front surface of the first base die; The refill layer includes silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, titanium oxide, aluminum oxide or hafnium oxide. 如請求項12所述之半導體元件,其中該晶片堆疊還包括一第一模塑層,完全覆蓋該再填充層。The semiconductor device of claim 12, wherein the wafer stack further includes a first molding layer that completely covers the refill layer. 一種半導體元件的製備方法,包括: 提供一基礎晶圓,該基礎晶圓包括一切割部; 經由一混合接合製程而將一第一堆疊晶粒與一第二堆疊晶粒接合到該基礎晶圓的一前表面上,其中該第一堆疊晶粒與該第二堆疊晶粒相互相對設置,且該切割部插置在其間; 共形地形成一再填充層以覆蓋該第一堆疊晶粒與該第二堆疊晶粒; 形成一第一模塑層以覆蓋該再填充層並配置成一中間半導體元件,該中間半導體元件包括該基礎晶圓、該第一堆疊晶粒、該第二堆疊晶粒、該再填充層以及該第一模塑層;以及 沿著該切割部切割該中間半導體元件以將該第一堆疊晶粒與該第二堆疊晶粒、該再填充層、該第一模塑層以及該基礎晶圓分隔開,其中在切割之後,該基礎晶圓分隔成一第一基礎晶粒以及一第二基礎晶粒; 其中該第一基礎晶粒、該第一堆疊晶粒、該再填充層以及該第一模塑層一起配置成一第一晶片堆疊。 A method for preparing semiconductor components, including: Provide a base wafer, the base wafer including a cutting part; bonding a first stacked die and a second stacked die to a front surface of the base wafer via a hybrid bonding process, wherein the first stacked die and the second stacked die are disposed opposite to each other, and the cutting part is inserted therebetween; Conformally forming a refill layer to cover the first stacked die and the second stacked die; A first molding layer is formed to cover the refill layer and configured to form an intermediate semiconductor element, the intermediate semiconductor element including the base wafer, the first stacked die, the second stacked die, the refill layer and the first molding layer; and The intermediate semiconductor element is cut along the cutting portion to separate the first stacked die from the second stacked die, the refill layer, the first molding layer, and the base wafer, wherein after cutting , the basic wafer is divided into a first basic die and a second basic die; The first base die, the first stacked die, the refill layer and the first molding layer are configured together to form a first wafer stack. 如請求項14所述之半導體元件的製備方法,其中該再填充層包括氧化矽、氮化矽、氮氧化矽、氧化氮化矽、氧化鈦、氧化鋁或氧化鉿。The method of manufacturing a semiconductor device according to claim 14, wherein the refill layer includes silicon oxide, silicon nitride, silicon oxynitride, silicon nitride oxide, titanium oxide, aluminum oxide or hafnium oxide. 如請求項15所述之半導體元件的製備方法,其中該混合接合製程的一製程壓力介於大約100MPa到大約150MPa之間。The method of manufacturing a semiconductor device as claimed in claim 15, wherein a process pressure of the hybrid bonding process is between about 100 MPa and about 150 MPa. 如請求項15所述之半導體元件的製備方法,其中該混合接合製程的一製程溫度介於大約25℃到大約400℃之間。The method of manufacturing a semiconductor device as claimed in claim 15, wherein a process temperature of the hybrid bonding process is between about 25°C and about 400°C. 如請求項15所述之半導體元件的製備方法,其中該第一堆疊晶粒與該基礎晶圓以一面對面配置進行接合。The method of manufacturing a semiconductor device according to claim 15, wherein the first stacked die and the base wafer are bonded in a face-to-face configuration. 如請求項15所述之半導體元件的製備方法,還包括在經由該混合接合製程而將該第一堆疊晶粒與該第二堆疊晶粒接合到該基礎晶圓的該前表面上之前,在該基礎晶圓的該前表面上執行一表面處理; 其中該表面處理包括一濕式化學清洗或是一氣相熱處理。 The method of manufacturing a semiconductor device according to claim 15, further comprising: before bonding the first stacked die and the second stacked die to the front surface of the base wafer through the hybrid bonding process, Perform a surface treatment on the front surface of the base wafer; The surface treatment includes a wet chemical cleaning or a vapor phase heat treatment. 如請求項15所述之半導體元件的製備方法,還包括將該晶片堆疊接合到一封裝基底上。The method of manufacturing a semiconductor device according to claim 15, further comprising bonding the wafer stack to a packaging substrate.
TW111100342A 2021-10-08 2022-01-05 Semiconductor device with re-fill layer and method for fabricating the same TWI825547B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US17/497,754 2021-10-08
US17/497,754 US11646292B2 (en) 2021-10-08 2021-10-08 Method for fabricating semiconductor device with re-fill layer
US17/500,026 2021-10-13
US17/500,026 US20230113020A1 (en) 2021-10-13 2021-10-13 Semiconductor device with re-fill layer

Publications (2)

Publication Number Publication Date
TW202331990A TW202331990A (en) 2023-08-01
TWI825547B true TWI825547B (en) 2023-12-11

Family

ID=87358612

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111100342A TWI825547B (en) 2021-10-08 2022-01-05 Semiconductor device with re-fill layer and method for fabricating the same

Country Status (2)

Country Link
CN (1) CN115966521A (en)
TW (1) TWI825547B (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200611327A (en) * 2004-09-30 2006-04-01 United Microelectronics Corp Pre-process of cutting a wafer and method of cutting a wafer
TW201214626A (en) * 2010-09-03 2012-04-01 Stats Chippac Ltd Semiconductor device and method of forming interposer frame over semiconductor die to provide vertical interconnect
TW202013740A (en) * 2018-09-21 2020-04-01 台灣積體電路製造股份有限公司 Semiconductor device
TW202135280A (en) * 2020-03-09 2021-09-16 日商鎧俠股份有限公司 Semiconductor wafer and semiconductor chip

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200611327A (en) * 2004-09-30 2006-04-01 United Microelectronics Corp Pre-process of cutting a wafer and method of cutting a wafer
TW201214626A (en) * 2010-09-03 2012-04-01 Stats Chippac Ltd Semiconductor device and method of forming interposer frame over semiconductor die to provide vertical interconnect
TW202013740A (en) * 2018-09-21 2020-04-01 台灣積體電路製造股份有限公司 Semiconductor device
TW202135280A (en) * 2020-03-09 2021-09-16 日商鎧俠股份有限公司 Semiconductor wafer and semiconductor chip

Also Published As

Publication number Publication date
CN115966521A (en) 2023-04-14
TW202331990A (en) 2023-08-01

Similar Documents

Publication Publication Date Title
TWI793781B (en) Semiconductor device with stacked dies and method for fabricating the same
TW201703162A (en) Metal oxide layerd structure and mthoeds of forming the same
US11646292B2 (en) Method for fabricating semiconductor device with re-fill layer
US20240047448A1 (en) Semiconductor device with redistribution structure and method for fabricating the same
TWI825547B (en) Semiconductor device with re-fill layer and method for fabricating the same
TWI802998B (en) Semiconductor device with barrier layer and method for fabricating the same
US11749598B2 (en) Method for fabricating semiconductor device with test pad
US20230113020A1 (en) Semiconductor device with re-fill layer
TWI771241B (en) Semiconductor device with redistribution pattern and method for fabricating the same
TWI750069B (en) Semiconductor structure having buffer under bump pad and manufacturing method thereof
CN114864545A (en) Method for manufacturing semiconductor device
TWI803207B (en) Semiconductor device with redistribution structure
TWI794043B (en) Semiconductor device with redistribution structure
TWI833154B (en) Semiconductor device with redistribution structure
US20230395427A1 (en) Method for fabricating semiconductor device with redistribution plugs
US20240071746A1 (en) Plasma surface treatment for wafer bonding methods
CN116978801A (en) Chip packaging method and packaging structure
TW202412181A (en) Package structure and bonding method