TWI821369B - 用於防止高深寬比結構的黏滯效應及/或修補高深寬比結構的蒸氣輸送頭 - Google Patents

用於防止高深寬比結構的黏滯效應及/或修補高深寬比結構的蒸氣輸送頭 Download PDF

Info

Publication number
TWI821369B
TWI821369B TW108129410A TW108129410A TWI821369B TW I821369 B TWI821369 B TW I821369B TW 108129410 A TW108129410 A TW 108129410A TW 108129410 A TW108129410 A TW 108129410A TW I821369 B TWI821369 B TW I821369B
Authority
TW
Taiwan
Prior art keywords
substrate
vapor
substrates
delivery head
wet processing
Prior art date
Application number
TW108129410A
Other languages
English (en)
Other versions
TW202025229A (zh
Inventor
巴哈斯卡 班達拉普
世禮 梅
卡爾漢茲 霍翰瓦特
布奇 伯尼
那森 拉夫多夫斯基
克里斯欽 蒲紫
司弘博
羅伯特 強生
麥克 克萊姆
貝哈德 洛伊德爾
Original Assignee
奧地利商蘭姆研究股份公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 奧地利商蘭姆研究股份公司 filed Critical 奧地利商蘭姆研究股份公司
Publication of TW202025229A publication Critical patent/TW202025229A/zh
Application granted granted Critical
Publication of TWI821369B publication Critical patent/TWI821369B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Abstract

用於基板之濕式處理的蒸氣輸送頭包括主體,該主體包括一上表面、一下表面、一上氣室及一下氣室。第一孔佈設於主體之上表面上並流體連接至上氣室,以供應經加熱流體。第二孔佈設於主體之上表面上,並連接至上氣室,以移除經加熱流體。第三孔佈設於主體之上表面上,並連接至下氣室,以接收氣體混合物。穿過主體之下表面的複數通孔與下氣室流體連通。

Description

用於防止高深寬比結構的黏滯效應及/或修補高深寬比結構的蒸氣輸送頭
本發明係關於基板之處理,尤其關於防止高深寬比(HAR)結構的黏滯效應及/或修補HAR結構。
[相關申請案之交互參照]
本申請案主張2018年8月23日申請之美國臨時專利申請案第62/721,710號的優先權。上述引用之申請案的全部揭露內容皆併於此作為參考。
本文所提供的先前技術描述係為了概述本揭示內容上下文之目的。本案發明人的成果(在此先前技術段落中所述之範圍內)、以及在申請時可能未以其他方式認定為先前技術之描述態樣,並未明示或默示地被承認為是相對於本發明的先前技術。
基板處理系統可用以於例如半導體晶圓的基板上沉積膜或蝕刻、清潔及/或以其他方式處理基板之表面。於一些製程中,該基板可被施以濕式處理。於此等製程中,基板可被安裝於旋轉卡盤上。當旋轉卡盤旋轉時,流體噴嘴可用以分配例如液體或氣體之流體及/或可施加熱量以處理基板。
一些基板包含有高深寬比(HAR)結構。例如,HAR結構可包括奈米柱、溝槽或貫孔。HAR結構的寬度(平行於基板的表面)明顯小於特徵部的深度(垂直於基板的表面)。深寬比大於5:1的HAR結構相當常見。更先進的製程包括具有更高深寬比的HAR結構。圖案崩塌發生於一或更多HAR結構崩塌、相對於基板表面側向移動及/或直接接觸相鄰的HAR結構時。圖案崩塌經常發生於濕式清潔製程後之乾燥期間。
已使用若干製程來減少乾燥基板時之圖案崩塌。例如,基板可利用超臨界CO2來乾燥。然而,超臨界CO2相對昂貴且有實行問題。基板的表面可用一層來改質以防止黏滯效應。然而,表面改質通常是昂貴的,因為其需要使用額外的化學物質。表面改質亦會導致材料損失,因為需要將改質層移除。亦可使用異丙醇(IPA)乾燥基板,異丙醇係在接近IPA沸點的溫度下輸送至基板表面。然而,一些深寬比無法在不發生圖案崩塌的情況下使用沸騰IPA進行乾燥。
基板亦可在真空壓力下操作之真空設備中使用氫氟酸(HF)蒸氣蝕刻來進行處理。然而,真空設備通常是昂貴的,且無法用於進行濕式清潔。前置的濕式清潔步驟經常是必要的,以從基板的表面移除有機或金屬污染物。
修補崩塌結構可於真空設備中利用電漿蝕刻來執行。然而,所需的電漿蝕刻硬體卻是昂貴的。
用於基板之濕式處理的蒸氣輸送頭包括主體,其包括上表面、下表面、上氣室及下氣室。第一孔佈設於該主體之該上表面上,且流體連接至上氣室,以供應經加熱流體。第二孔佈設於主體之上表面上,且連接至上氣室,以移除經加熱流體。第三孔佈設於主體之上表面上,且連接至下氣室,以接收氣體混合物。複數通孔穿過主體之下表面,且與下氣室流體連通。
於其他特徵中,主體包括第一側、第二側及第三側,其中主體具有扇形。定義於第一側與第二側之間之角度係於30°至75°範圍內。定義於第一側與第二側之間之角度係於45°至60°範圍內。主體之半徑係大於基板之半徑的80%。
於其他特徵中,該主體更定義出外氣室,其佈設於上氣室下方且於下氣室外部。上氣室包括第一上氣室、第二上氣室、及佈設於第一上氣室與第二上氣室之間之分隔件。外氣室位於上氣室下方且於下氣室外部。
於其他特徵中,經加熱流體流入第一孔,進入第一上氣室,進入外氣室,進入第二上氣室,並通過第二孔流出。該經加熱流體包括經加熱氣體。
於其他特徵中,檔板佈設於第三孔與下氣室之間的下氣室中。該檔板包括複數擋板部,以將氣體混合物分佈於下氣室中。
於其他特徵中,檔板佈設於第三孔與下氣室之間的下氣室中,且包括複數擋板部,其佈設於進入下氣室之一開口周圍,以將與輸送至鄰近第一側與第二側之接合處區域的氣體混合物相比更多的該氣體混合物分佈至鄰近第三側之區域。
於其他特徵中,複數擋板部包括第一擋板部、第二擋板部、以及第三擋板部。第一擋板部、第二擋板部及第三擋板部係於進入下氣室之開口周圍佈設成相距120°。第一擋板部係位於主體之徑向線上最靠近第三側處。
於其他特徵中,該複數通孔係佈設於下表面上呈三角形之區域中。
用於基板之濕式處理的裝置包括一卡盤,其用以固持基板並旋轉基板。主體之下表面於處理期間係佈設為平行於基板。
一方法包括使用該裝置,以將含有氟化氫之氣體混合物引至基板之上表面上方。
於其他特徵中,該方法更包括在使用該裝置以將該氣體混合物引至基板之表面上方之前,使用第一沖洗液旋洗基板之表面,以及將第一沖洗液從基板之表面甩離。
於其他特徵中,氟化氫係第一反應性成分,且氣體混合物更含有第二反應性成分。至少為下述其中一者:第二反應性成分係一質子受體及/或第二反應性成分包括OH-基團。第二反應性成分係選自由水蒸氣、醇蒸氣、氨及胺所組成之群組。
用於基板之濕式處理的裝置包括一卡盤,其用以固持基板並旋轉基板。一臂可相對於該卡盤移動。蒸氣輸送頭連接至該臂並包括主體。第一流體通道輸送氣體混合物通過主體。蒸氣容納腔位於主體之朝向基板之表面上。 噴嘴位於蒸氣容納腔中,並流體連接至第一流體通道。該主體更包括:一第一部,具有該第一流體通道;一凸緣下部,鄰近該第一部;及一第二部,其中一氣室係定義於該凸緣下部的下表面與該第二部的上表面之間,並與該第一部之該第一流體通道流體連通,且其中該蒸氣容納腔係定義於該第二部的下表面之中。
於其他特徵中,蒸氣容納腔增加氣體混合物鄰近基板之停留時間。氣體混合物包括氟化氫。或者,氣體混合物包括氟化氫、惰性氣體或醇。噴嘴具有圓形橫截面或為狹縫形噴嘴。蒸氣輸送頭包括複數噴嘴。
於其他特徵中,蒸氣容納腔具有0.2mm至20mm範圍內之深度。或者,蒸氣容納腔具有0.3mm至10mm或1mm至6mm範圍內之深度。主體定義出一氣室,以接收氣體混合物。該主體更包括第一部(其包括第一流體通道)以及第二部。該氣室係定義於第一部與第二部之間,並與第一部之第一流體通道流體連通。
於其他特徵中,噴嘴穿過第二部。加熱器佈設於蒸氣輸送頭之主體中。加熱器可包括電阻式加熱器或接收經加熱流體之流體通道。加熱器將蒸氣輸送頭加熱至50℃至200℃範圍內或50℃至100℃範圍內之溫度。
蒸氣容納腔定義出一區域,其為基板之區域的0.1%至30%。於一些示例中,蒸氣容納腔定義出一區域,其為基板之區域的1%至15%。複數通孔佈設於蒸氣容納腔之該區域的一部分中,該部分位於蒸氣輸送頭之前緣附近。蒸氣容納腔之該區域的該部分小於蒸氣容納腔之該區域的50%。密封件位於第一部與第二部之間。
於其他特徵中,第二部包括朝下突伸凸緣,其位於第二部朝向基板之表面上。朝下突伸凸緣之內表面定義出蒸氣容納腔之外邊界。
於其他特徵中,該第一部更定義出第二流體通道。該氣室與第二流體通道流體連通。蒸氣輸送頭於平行於基板之平面上具有矩形橫截面或香蕉形橫截面。
於其他特徵中,液體噴嘴頭連接至第二臂,以輸送沖洗液至基板上,且馬達使帶有液體噴嘴頭之第二臂掃掠至基板各處。或者,液體噴嘴頭連接至該臂,以輸送沖洗液至基板上,且馬達使帶有液體噴嘴頭及蒸氣輸送頭之該臂掃掠至基板各處。
於其他特徵中,該臂係配置成在蒸氣容納腔位於距基板一預定距離下使蒸氣輸送頭掃過基板各處。該預定距離係於大於0.1mm至小於或等於10mm之範圍內。於一些示例中,該預定距離係於1mm至3mm之範圍內。
一方法包括使用該裝置,以將含有氟化氫之氣體混合物引至基板之上表面上方。該方法更包括在使用該裝置將氣體混合物引至基板之該表面上方之前,使用第一沖洗液旋洗基板之該表面,以及將第一沖洗液從基板之該表面甩離。
於其他特徵中,氟化氫係第一反應性成分,且氣體混合物更含有第二反應性成分。第二反應性成分係一質子受體及/或第二反應性成分包括OH-基團。第二反應性成分係選自由水蒸氣、醇蒸氣、氨及胺所組成之群組。
從詳細描述、申請專利範圍以及圖式,本發明能夠適用的更大範圍將變得顯而易見。詳細描述與具體示例僅僅是為了解釋說明目的,而並非意圖限制本發明的範圍。
1000:蒸氣輸送頭
1002:主體
1004:上表面
1006:側面
1008:側面
1014:安裝墊
1016:孔
1018:孔
1020:孔
1022:孔
1030:底表面
1040:複數通孔
1044:上氣室
1044A:第一上氣室
1044B:第二上氣室
1046:下氣室
1048:外氣室
1050:上部
1054:側壁
1060:擋板
1061:水平表面
1063:水平表面
1065:上部
1067:孔
1070:路徑
1072:擋板部
1072-1:擋板部
1072-2:擋板部
1072-M:擋板部
1080:分隔件
1082:第一部
1084:中間部
1086:第二部
1092:第一弓形凹入部
1094:第二弓形凹入部
110:基板
112-1:HAR結構
112-2:HAR結構
112-3:HAR結構
112-4:HAR結構
114:底層
120:橋接氧化物
200:系統
202:腔室
206:旋轉卡盤
208:基板
209:夾持銷
210:表面
211:加熱器
212:馬達
213:驅動軸
214:臂
216:噴嘴
220:馬達
222:閥
224:液體供應源
234:臂
236:蒸氣輸送頭
240:馬達
242:閥
250:氣體輸送系統
252:蒸氣供應
254:閥
262:氣體供應
262-1:氣體供應
262-2:氣體供應
262-N:氣體供應
264:閥
264-2:閥
264-N:閥
270:歧管
280:控制器
290:馬達
292:臂
294:組合頭
295:噴嘴頭
296:噴嘴
297:加熱器
298:溫度感測器
299:加熱器
300:蒸氣輸送頭
308:主體
310:第一部
311:上部
314:凸緣下部
318:第二部
320:密封件
322:緊固件
326:背側表面
330:底表面
410:埠
412:埠
450:蒸氣容納腔
454:通孔
510:內氣室
512:頂表面
514:向下突伸凸緣
530:管夾持件
532:夾持管
534:氣流通道
538:氣流通道
540:管夾持件
542:夾持管
544:氣流通道
548:氣流通道
560:邊緣
600:蒸氣輸送頭
620:加熱器
630:加熱器
700:蒸氣輸送頭
708-1:向下突伸凸緣
708-2:向下突伸凸緣
710-1:第一蒸氣容納腔
710-2:第二蒸氣容納腔
714-1:第一組
714-2:第二組
800:蒸氣輸送頭
814-1:第一組
814-2:第二組
920:彎曲蒸氣輸送頭
922:基板
924:部分
930:噴嘴頭
934:第一部
936:第二部
942:氣室
946:複數通孔
948:蒸氣容納腔
950:擾流件
從詳細描述以及所附圖式將使本發明變得更加全面地受到理解,其中:圖1A-1C為示出基板根據本發明進行濕式清潔及乾燥之前與之後以及修補之後的側剖視圖;圖2A為根據本發明用於處理基板之具有蒸氣輸送頭之旋轉卡盤的示例功能方塊圖;圖2B為圖2A之旋轉卡盤的平面圖;圖2C為根據本發明之旋轉卡盤的另一示例之平面圖,該旋轉卡盤具有於徑向方向掃過基板之臂;圖2D及2E為根據本發明之組合頭的示例之側視圖,該組合頭包括蒸氣輸送頭及噴嘴頭;圖3及4為根據本發明之蒸氣輸送頭的示例之頂部及底部立體圖;圖5A及5B為根據本發明之蒸氣輸送頭的示例之側剖視圖及底視圖;圖6A及6B為根據本發明之蒸氣輸送頭的另一示例之側剖視圖及底視圖; 圖7A及7B為根據本發明之蒸氣輸送頭的另一示例之側剖視圖及底視圖;圖8A及8B為根據本發明之蒸氣輸送頭的另一示例之側剖視圖及底視圖;圖9A為根據本發明之彎曲蒸氣輸送頭的另一示例之平面圖;圖9B為圖9A之彎曲蒸氣輸送頭的側剖視圖;圖9C為圖9A之彎曲蒸氣輸送頭的側視圖;圖10A為根據本發明之蒸氣輸送頭的頂部立體圖;圖10B為根據本發明之蒸氣輸送頭的底部立體圖;圖10C為根據本發明沿徑向中心線(圖10B之C-C)截取之蒸氣輸送頭的剖視圖;圖10D為根據本發明沿偏離並平行於徑向中心線之線(圖10B之D-D)截取之蒸氣輸送頭的剖視圖;圖10E為根據本發明之蒸氣輸送頭移除底表面之底面局部立體圖;圖10F為根據本發明沿垂直於徑向中心線的線截取之蒸氣輸送頭的側面局部剖視圖;圖10G為根據本發明沿圖10F中之G-G線截取之蒸氣輸送頭的平面剖視圖;以及圖10H為根據本發明沿圖10F中之H-H線截取之蒸氣輸送頭的平面剖視圖。
於圖式中,可重複使用元件符號以標識相似及/或相同元件。
根據本發明之蒸氣輸送頭可在包含有高深寬比(HAR)結構之基板進行濕式處理後之乾式蝕刻期間使用。蒸氣輸送頭係佈設成緊鄰基板(當基板旋轉時)。
在一些示例中,蒸氣輸送頭包括一主體,該主體包括上表面以及具有複數通孔之底表面。該主體定義出第一及第二上氣室、下氣室及佈設於下氣室周圍之外氣室。佈設於主體之上表面上的氣體混合物入口與下氣室流體連通。氣體混合物流入氣體混合物入口,進入下氣室,並通過複數通孔流出。
經加熱流體(例如經加熱氣體)流入佈設於主體之上表面上的另一入口,並進入第一上氣室。經加熱流體向下流入外氣室,向上流入第二上氣室,並通過佈設於主體之上表面上的出口流出。經加熱流體加熱蒸氣輸送頭的主體,以防止氣體混合物凝結。
在一些示例中,該主體具有扇形,但可使用其他形狀。在一些示例中,在使用蒸氣的處理期間(當基板旋轉時),蒸氣輸送頭的位置係相對於基板固定。在一些示例中,蒸氣輸送頭係在蒸氣處理之後移除,以允許其他類型的基板處理。
在其他示例中,蒸氣輸送頭包含有蒸氣容納腔,其增加基板附近之氣體混合物的濃度,以增加蝕刻。基板經處理後,可在大氣壓下或接近大氣壓下於濕式清潔工具中執行濕式處理及乾式蝕刻。濕式處理與乾式蝕刻合併於單一硬體設備中可提供比其他乾燥製程較不昂貴的替代方案,且幾乎不增加處理時間。或者,可在濕式處理工具中完成濕式處理,且可在分開的修補工具中執行修補製程。
在一些示例中,在暴露於例如異丙醇(IPA)之沖洗液後,使用蒸氣輸送頭將氣體混合物分配至基板的表面上。在一些示例中,氣體混合物包括例如氫氟酸(HF)氣體之氟氣物質,但亦可使用其他氣體或氣體混合物。關 於使用HF氣體之額外細節可在2017年10月23日申請之美國臨時專利申請案第62/575,705號中找到。根據本發明之蒸氣輸送頭係用以增加基板上方氣體混合物之停留時間,並降低氣體混合物由於周圍環境氣體的稀釋(與使用標準噴嘴以分配氣體混合物之系統相比)。
在一些示例中,氣體混合物可更包括第二反應性成分(例如溶劑蒸氣或質子受體或具有OH-基團的成分)及/或載氣。在一些示例中,載氣包括分子氮(N2),但亦可使用其他載氣。在一些示例中,第二反應性成分包括水或醇(甲醇、IPA或其他醇)。例如,形成溶劑的吸附層並生成HF2。SiO2與HF2反應,並形成SiF4,此導致SiO2層的蒸發(蝕刻)。
在一些示例中,氣體混合物包括0.5%至5%(體積百分比)範圍內之HF、0.5%至2.5%(體積百分比)範圍內之IPA、及92.5%至99%(體積百分比)範圍內之N2。在一些示例中,氣體混合物係透過使作為載氣的N2氣體流過濃HF水溶液(HF濃度於45%至55%體積百分比(例如49%體積百分比)範圍內)而產生。
在其他示例中,氣體混合物包括80%至99.9%(體積百分比)範圍內之惰性氣體、0.05%至10%(體積百分比)範圍內之HF、及0.05%至10%(體積百分比)範圍內之醇。在其他示例中,氣體混合物包括90%至99.8%(體積百分比)範圍內之惰性氣體、0.1%至5%(體積百分比)範圍內之HF、及0.1%至5%(體積百分比)範圍內之醇。
在一些示例中,氣體混合物係透過使作為載氣的N2氣體流過濃HF水溶液(HF濃度於45%至55%體積百分比(例如49%體積百分比)範圍內)而產生。
在其他示例中,當處理溫度高於100℃時,可視情況添加氨(NH3)或任何胺(例如乙胺、乙二胺、吡咯啶)至氣體混合物中。NH3的添加在高於100℃ 的溫度下特別有用,其中會NH4F的形成受抑制(因為其高於昇華溫度)並形成揮發性(NH4)2SiF6
或者,該製程亦可在沖洗液已被甩離基板且基板相對乾燥之後應用至基板。在一些示例中,該製程可包括在沖洗液存在時暴露,且在沖洗液已配甩離並乾燥之後再次暴露。該製程可重複一或更多次。
在一些示例中,該製程係於大氣壓或接近大氣壓下進行。例如,基板表面可於處理期間保持於900至1100百帕(hPa)範圍內之壓力下。在一些示例中,氣體混合物係利用噴嘴輸送至基板,該噴嘴沿線性方向(例如沿徑向線)或弓形路徑掃掠基板之表面各處。此外,可供應可增強該製程之蒸氣,例如水或氨NH3蒸氣(氣體)或胺。
在一些示例中,該製程係於20℃至400℃範圍內之預定溫度下執行。在其他示例中,該製程係於50℃至200℃或50℃至100℃範圍內之預定溫度下執行。HF及溶劑蒸氣之分壓可於1mTorr與高達特定製程溫度下之相應飽和蒸氣壓之間變化。
相對於其他IPA乾燥製程,使用蒸氣輸送頭將反應性蒸氣(例如HF/溶劑蒸氣組合)加至乾燥製程提供了改善的結果。在一些示例中,蒸氣蝕刻之可控性係使用具有徑向加熱的基板加熱器及/或可於徑向方向上及/或沿弓形路徑在基板上方掃掠之蒸氣輸送頭來執行。除了減少硬體及化學成本之外,本文描述之方法還提高了製程的產率。當使用蒸氣輸送頭時,該製程之效率得以提高。
現參考圖1A-1C,其示出基板之處理。於圖1A中,其示出濕式處理及乾燥前之基板110。該基板110包括定義於一或更多底層114上之高深寬比(HAR)結構112-1、112-2、112-3及112-4(統稱為HAR結構112)。例如,HAR 結構112包括柱、貫孔、溝槽及/或其他特徵部。圖1A中之基板110被施予濕式處理及乾燥。
於圖1B中,其示出濕式處理及乾燥後之基板110。HAR結構112-2及112-3部分崩塌並朝向彼此傾斜。在一些示例中,橋接氧化物120形成於HAR結構112-2與112-3之間。可形成之橋接氧化物的範例包括氧化矽(SiOx)、氮氧化矽(SiOxNy)、氧化鈦(TiOx)等。於圖1C中,示出使用本文所述方法進行處理後之基板110,如此得以移除橋接氧化物120並修補崩塌的HAR結構112-2及112-3。
現參考圖2A,其示出用於濕式處理並修補基板之系統200示例。系統200包括容置旋轉卡盤206之腔室202。基板208係佈設於旋轉卡盤206之表面上。在液體分配至基板208上時,旋轉卡盤206旋轉基板208,且/或用以將液體甩離。基板208可利用任何合適的機構附接至旋轉卡盤206。例如,基板208可利用夾持銷209而附接至旋轉卡盤206。夾持銷之合適實例呈現並描述於共同受讓之「Method and Apparatus for Processing Wafer-Shaped Articles」美國專利申請案第15/232,594號中(代理人檔案號3877-1US)。
在一些示例中,旋轉卡盤206的表面210為透明,且加熱器211佈設於表面210下方。在一些示例中,加熱器211包括佈設於一或更多徑向區域中之複數發光二極體(LED),以允許基板210之徑向加熱。在一些示例中,加熱器211可被操作用以提供從基板之中心位置向外移動至其徑向外邊緣之移動熱波。在一些示例中,旋轉卡盤206旋轉,而加熱器211則是固定的。對基板進行徑向加熱之旋轉卡盤的合適實例呈現並描述於美國專利申請案第15/232,594號中。
在一些示例中,旋轉卡盤206係由馬達212透過驅動軸213旋轉,如圖所示。在其他示例中,馬達212包括轉子及定子,且轉子為磁驅動而無物理 接觸。合適之實例示於共同受讓之美國專利案第6,485,531號中。沖洗液係由透過馬達220掃掠基板208各處之臂214(示於非作用位置)及噴嘴216輸送。馬達220沿徑向或弓形方向使臂掃掠至旋轉中基板各處。閥222從液體供應源224選擇性地供應沖洗液。
另一臂234(示於圖2A中之作用位置)及蒸氣輸送頭236可用於輸送氣體混合物。在一些示例中,氣體混合物包括氫氟酸(HF)氣體、載氣及/或第二反應性成分(例如,溶劑蒸氣及/或氨氣(NH3))中之一或更多者,如下進一步所述。在一些示例中,蒸氣輸送頭236之蒸氣容納腔在蝕刻期間係佈設於基板208之表面的預定距離內。在一些示例中,該預定距離係在大於或等於0.1mm至小於或等於10mm的範圍內。在其他示例中,該預定距離係在大於或等於0.1mm且小於或等於3mm的範圍內。在其他示例中,該預定距離係在1mm至3mm的範圍內。在一些示例中,該預定距離是2mm +/- 0.5mm。在一些示例中,該氣體混合物係以1至50m/s範圍內之預定速度輸送。在一些示例中,該氣體混合物係以每分鐘1至20標準升(slm)範圍內之預定流量輸送。
馬達240可用以使蒸氣輸送頭236掃掠至基板208各處,且閥242可用以選擇性地供應氣體混合物。氣體輸送系統250包括蒸氣供應252及閥254。在一些示例中,蒸氣供應252包括經加熱液體安瓿、起泡器或其他蒸發器。該氣體輸送系統250更包括一或更多氣體供應262-1、262-2、...及262-N(統稱為氣體供應262)以及閥264-1、264-2、...及264-N(統稱為閥264)。歧管270可用於允許氣體在透過閥242輸送之前混合。在一些示例中,提供質量流量控制器(未示出)及/或輔助閥,以更精確地控制氣體及/或溶劑蒸氣。控制器280控制閥、馬達及氣體輸送系統250。
於圖2B中,以平面圖示出臂214及234。臂214示於基板208上方的分配位置,而臂234示於非作用位置。臂214將沖洗液分配至基板上,且沖洗液 被甩離。在分配沖洗液之後,臂214移動至非作用位置,且臂234利用蒸氣輸送頭236分配氣體混合物,如下進一步描述。
於圖2A及2B中,蒸氣輸送頭236係沿弓形路徑移動至基板各處。於圖2C中,蒸氣輸送頭236可藉由馬達290及臂292於線性方向例如沿著徑向線或橫跨基板208之另一條線移動。
於圖2D中,組合頭294包括蒸氣輸送頭236及噴嘴頭295。噴嘴頭295包括一或更多噴嘴296,以輸送氣體及/或液體至基板上。例如,一或更多噴嘴296可用於輸送氣體,例如分子氮(N2)、丙醇(IPA)及/或去離子水(DIW)。可理解,此佈設需要單個臂而不是圖2A及2B中所示之兩個臂。
在一些示例中,蒸氣輸送頭236包括加熱器297以控制其溫度。在一些示例中,加熱器297包括電阻式加熱器。可使用例如熱電偶之溫度感測器298,以感測蒸氣輸送頭236之溫度。控制器280監控溫度感測器298,並調節加熱器之操作以提供所欲溫度。在其他示例中,加熱器297包括電阻溫度係數(TCR)加熱器,其具有與其溫度相關之電阻。若使用TCR加熱器,控制器280則監控供應至TCR加熱器之電壓及/或電流以判定電阻,並改變電壓及電流以提供對應於所欲溫度之所欲電阻。
現參考圖2E,蒸氣輸送頭236包括加熱器299,其接收來自流體源(未示出)之經加熱流體,例如經加熱氣體或經加熱液體。合適流體之非限制性示例包括加熱器分子氮(N2)、經加熱冷卻劑、經加熱水等。可使用泵(未示出),以使流體通過形成於蒸氣輸送頭236之一部分中的通道。
現參考圖3及圖4,其示出蒸氣輸送頭300。於圖3中,蒸氣輸送頭300包括主體308。主體308包括一第一部310(包括連接至凸緣下部314的上部311)及第二部318。可理解,蒸氣輸送頭300可實施為具有額外或更少部分。
緊固件322佈設於定義於凸緣下部314及第二部318中之孔中,以將第一部310連接至第二部318。蒸氣輸送頭300包括背側表面326及底表面330。背側表面326可連接至臂、噴嘴頭或其他支撐結構。底表面330於處理期間係佈設成鄰近且掃過基板之頂表面。
於圖4中,背側表面326包括一或更多埠410及412,其接收氣體混合物及/或液體混合物。在一些示例中,埠410及412包括管夾持部,以接合與之連接的氣管(未示出)。底表面330定義出蒸氣容納腔450。蒸氣容納腔增加氣體混合物鄰近於基板的停留時間並減少受到環境氣體的稀釋。
在一些示例中,蒸氣容納腔450係由第二部318之側表面及朝下表面界定。在一些示例中,蒸氣容納腔在垂直於基板之平面上具有帶有圓滑邊緣且呈大致矩形之橫截面,但亦可使用其他形狀。在一些示例中,蒸氣容納腔在垂直於基板的平面上具有香蕉形的橫截面,但亦可使用其他形狀。
通孔454從蒸氣輸送頭300所定義之內氣室(如下所示)穿過底表面330,以供應蒸氣及/或其他氣體至蒸氣容納腔450中。儘管示出了通孔454,但可使用一或更多噴嘴或狹縫形噴嘴。或者,流體通道可穿過蒸氣輸送頭的主體,並直接連接至通孔、噴嘴或狹縫形噴嘴。在一些示例中,通孔454的直徑於0.1mm至2mm範圍內,但亦可使用其他直徑。在一些示例中,通孔454的直徑於0.4mm至0.6mm範圍內,但亦可使用其他直徑。在一些示例中,蒸氣容納腔定義出覆蓋基板區域之0.1%至30%的區域。或是可使用一或更多狹縫形噴嘴。
如下進一步所述,可改變通孔454之數量及其相對佈設。同樣地,可變化蒸氣輸送頭300之橫截面形狀及蒸氣容納腔450之形狀。在一些示例中,當蒸氣輸送頭300在處理期間掃過旋轉基板時,通孔454係佈設成鄰近於蒸氣輸送頭300之前緣(成排的通孔佈設為垂直於掃掠方向)。在一些示例中,當不使用蒸氣輸送頭時,蒸氣輸送頭的通孔從基板的邊緣撤除。
現參考圖5A及5B,蒸氣輸送頭300定義出內氣室510,其與通孔454之一端流體連通。通孔454之相對端與蒸氣容納腔450流體連通。在一些示例中,內氣室510係由凸緣下部314之底表面與第二部318之頂表面512所定義。在一些示例中,第二部318之向下突伸凸緣514環繞蒸氣容納腔450,並於蝕刻期間與基板之頂表面保持預定距離。在一些示例中,該預定距離大於或等於0.1mm且小於或等於6mm、或小於或等於5mm、或小於或等於4mm、或小於或等於3mm、或小於或等於2mm或小於或等於1mm。在一些示例中,蒸氣容納腔在垂直方向上的深度小於或等於4mm、小於或等於3mm、小於或等於2mm或小於或等於1mm。在一些示例中,該預定距離大於0.5mm。在一些示例中,蒸氣容納腔的深度在0.3mm與6mm之範圍內。
在一些示例中,可使用密封件320(例如佈設在形成於第一部310及/或第二部318上之通道(未示出)中的O形環或墊圈),以於第一部310與第二部318之間提供密封。在其他示例中,第一部310與第二部318可焊接在一起以提供密封。
佈設於氣流通道534之開口處的管夾持件530夾持管532的一端。在一些示例中,氣流通道534沿水平方向佈設。氣流通道534係流體連接至氣流通道538。在一些示例中,氣流通道538沿垂直方向佈設。氣流通道538將氣流通道534流體連接至內氣室510。
佈設於氣流通道544之開口處的管夾持件540夾持管542的一端。在一些示例中,氣流通道544沿水平方向佈設。氣流通道544流體連接至氣流通道548。在一些示例中,氣流通道548沿垂直方向佈置。氣流通道548將氣流通道544流體連接至內氣室510。
在一些示例中,通孔454係佈設成鄰近於蒸氣輸送頭300的邊緣560。在一些示例中,通孔454包括有包含9、8及9個通孔454之交錯排,但亦可 使用額外或更少排及/或通孔。在一些示例中,通孔454係佈設於小於或等於蒸氣容納腔450所定義區域之25%的區域中。於圖5B中,第二部318定義出環繞底表面330之外周緣的向下突伸凸緣514。向下突伸凸緣514定義了蒸氣容納腔450之外周緣。
現參考圖6A及6B,其示出根據本發明之蒸氣輸送頭600的另一示例。提供了額外排的通孔454。在一些示例中,通孔454包括六個交錯排,其包括8或9個通孔454,但亦可使用其他佈設。在一些示例中,通孔454係佈設於小於蒸氣容納腔450之50%的區域中。在一些示例中,該等排係均勻地間隔開來。在其他示例中,間隔係單調地增加或減小。
於圖6A中,加熱器620定義出穿過蒸氣輸送頭600之第一部310的路徑。於圖6B中,加熱器630定義出穿過蒸氣輸送頭600之第二部318的通道。加熱器620及/或630可包括上述之電阻式或TCR加熱器及/或上述之流體加熱器。加熱器620及630中之一或兩者可用以將蒸氣輸送頭600加熱至預定溫度(有或沒有局部溫度反饋)。使用流體加熱器免去電性連接的需要。可執行使用加熱器620及/或630之溫度控制,以減少或消除由蒸氣輸送頭600供應之氣體混合物的冷凝。在一些示例中,加熱器620及/或630將蒸氣輸送頭加熱至50℃至200℃範圍內或50℃至100℃範圍內。
在一些示例中,當處理溫度高於100℃時,可視情況添加氨(NH3)或任何胺(例如乙胺、乙二胺、吡咯啶)至氣體混合物中。NH3的添加在高於100℃的溫度下特別有用,其中會抑制NH4F形成(因為其高於昇華溫度)並形成揮發性(NH4)2SiF6
現參考圖7A及7B,其示出根據本發明之蒸氣輸送頭700的另一示例。底部318包括向下突伸凸緣708-1及708-2,其共用一共同邊緣並定義出第一及第二蒸氣容納腔710-1及710-2。通孔454之第一組714-1將內氣室510流體連接 至第一蒸氣容納腔710-1。通孔454之第二組714-2將內氣室510流體連接至第二蒸氣容納腔710-2。在一些示例中,第一與第二組714-1及714-2包括三個交錯排,其包括9、8和9個通孔454,但亦可使用其他排數及/或通孔。
現參考圖8A及8B,其示出蒸氣輸送頭800之另一示例。通孔454之第一組814-1流體連接內氣室510至蒸氣容納腔450。通孔454之第二組814-2流體連接內氣室510至蒸氣容納腔450。於此示例中,通孔454包括一或更多排通孔之間的不規則間隔。在一些示例中,第一與第二組814-1及814-2包括三行交錯排,其各自包括9、8及9個通孔454,但亦可使用其他排數及/或通孔。通孔454之第一與第二組814-1及814-2間隔一距離,該距離大於通孔454之第一與第二組814-1及814-2內各別排間距。
現參考圖9A至9C中,其示出彎曲蒸氣輸送頭920。於圖9A中,其示出相對於下面基板922之蒸氣輸送頭920。在一些示例中,彎曲蒸氣輸送頭920之一部分924呈弓形,且蒸氣容納腔呈大致香蕉形。在一些示例中,該部分924具有與基板922之一節段相同或相似的曲率。彎曲蒸氣輸送頭920可附接至噴嘴頭930,如圖2D所示。彎曲蒸氣輸送頭920定義出蒸氣容納腔950。
於圖9B中,彎曲蒸氣輸送頭920包括連接至第二部936之第一部934。氣室942係定義於第一部934與第二部936之間。第二部定義出蒸氣容納腔948。複數通孔946將氣室942連接至蒸氣容納腔948。
於圖9C中,擾流件950可沿著蒸氣輸送頭920之前緣佈設,以減少可能由於鈍形前緣而發生的紊流。在一些示例中,擾流件950具有配置成分散空氣或其他環境氣體之楔形形狀。
現參考圖10A至圖10H,其示出根據本發明用於輸送氣體混合物至基板之蒸氣輸送頭1000的另一示例。於圖10A及10B中,蒸氣輸送頭1000包括具有上表面1004、側面1006及側面1008之主體1002。在一些示例中,側面1006 為大致平直之節段,而側面1008則包括彎曲或弓形之節段。在一些示例中,定義於側面1006之間的角度係於30°至75°範圍內。在一些示例中,定義於側面1006之間的角度係於45°至60°範圍內。在一些示例中,主體之徑向中心線的長度係於待處理基板之半徑的70-150%、80-130%或90-120%範圍內。
蒸氣輸送頭1000更包括佈設於上表面1004上之安裝墊1014。該安裝墊1014包括用於容置緊固件的孔1022,以將連接固定件(未示出)(用於蒸氣及經加熱流體)附接至蒸氣輸送頭1000。安裝墊1014更包括孔1016、1018及1020。在一些示例中,分別地,孔1016與經加熱流體出口或入口相接,孔1018與氣體混合物入口相接,而孔1020與經加熱流體入口或出口相接。
在一些示例中,於使用本文所述之氣體混合物進行基板之處理期間,蒸氣輸送頭1000係安裝於基板上方。當執行其他基板處理時,可移除蒸氣輸送頭1000。在一些示例中,蒸氣輸送頭1000係相對於旋轉基板固定。在一些示例中,蒸氣輸送頭1000係安裝至臂,該臂垂直地移動蒸氣輸送頭1000,以在蒸氣輸送頭1000與基板之間提供預定距離。在一些示例中,該預定距離係於0.3mm至5mm範圍內。在一些示例中,該臂側向移動蒸氣輸送頭,以為其他處理臂/噴嘴提供頂部通向基板的通道。在一些示例中,旋轉卡盤係佈設於具有環形管道之腔室中,該環形管道係佈設於旋轉卡盤的徑向外表面周圍,以於旋轉處理期間收集甩離基板之液體。
在一些示例中,孔1018供應上述氣體混合物,而孔1016及1020供應經加熱流體,例如經加熱液體或經加熱氣體。在一些示例中,經加熱氣體包括經加熱惰性氣體,例如分子氮N2,但亦可使用其他類型的氣體。
於圖10B中,主體1002之底表面1030係示為包括有佈設成鑽孔圖案之複數通孔1040。在一些示例中,該複數通孔1040包括50至1000個通孔。在其他示例中,該複數通孔1040包括300至500個通孔。在一些示例中,鑽孔圖案 之外邊界通常呈三角形,但亦可使用其他形狀。在一些示例中,該複數通孔之每一者亦於外邊界內相對於相鄰通孔而佈設為三角形圖案。可理解,通孔可佈設為其他圖案。
如下進一步描述,氣體混合物係供應至孔1018,並通過複數通孔1040而分配至基板之鄰近暴露表面上。經加熱流體係供應至孔1020,循環通過主體1002(不與氣體混合物混合),以加熱主體1002至升高溫度並防止氣體混合物中之蒸氣冷凝。經加熱流體返回至對應於經加熱流體出口的孔1016。
現參考圖10C至10D,主體1002之上部1050定義出第一上氣室1044A,其與對應於經加熱流體入口之孔1016流體連通。主體1002之上部1050亦定義出第二上氣室1044B(示於圖10H中),其與對應於經加熱流體出口之孔1018流體連通。第一上氣室1044A與第二上氣室1044B於本文中可統稱為上氣室1044。
上氣室1044係定義於主體1002之水平表面1061與水平表面1063之間。下氣室1046則由水平表面1063、側壁1054及底表面1030(其包括複數通孔1040)之上部1065所定義。外氣室1048係佈設於上氣室1044之下方並於下氣室1046之外部(於側壁1054與側面1006之間)。
現參考圖10C及10E,經加熱流體通過孔1020進入主體1002,並流入第一上氣室1044A。經加熱流體從第一上氣室1044A向下流入外氣室1048。接著,經加熱流體流過外氣室1048,並向上流入第二上氣室1044B。經加熱流體通過孔1016流出主體1002外。
於圖10E中,其示出經加熱流體之路徑1070,其係從第一上氣室1044A至主體1002之側表面1006之間接合處之一側附近的位置。經加熱流體向下流入外氣室1048並環繞鄰近下氣室1046且於下氣室1046外部之主體1002的周 圍。經加熱流體在主體1002之側表面1006之間接合處的另一側附近的位置處向上流入第二上氣室1044B。
氣體混合物於下氣室1046中之分佈亦示於圖10E中。對應於氣體混合物入口之孔1018將氣體混合物輸送至擋板1060。該擋板1060將氣體混合物分佈於下氣室1046中,並避免孔1018正下方的高壓。
於圖10F、10G及10H中,其示出蒸氣輸送頭1000之額外特徵。於圖10F中,孔1067容納緊固件以將連接固定件(未示出)附接至蒸氣輸送頭1000之上表面1004。於圖10G中,更詳細地示出擋板1060包括以360°/M佈設之擋板部1072-1、1072-2及1072-M(統稱為擋板部1072)。在一些示例中,M=3,且擋板部之一者(例如1072-2)係佈設於徑向中心線上遠離側面1006之間接合處的位置中。相較於鄰近於側面1006接合處之下氣室1046的較小區域,擋板部1072之佈設引導更多的氣體混合物朝向鄰近於表面1008之下氣室1046的較大區域。擋板1060亦透過降低高壓以提供更均勻的壓力,否則會在孔1018正下方發生高壓。
於圖10H中,中心分隔件1080係佈設於第一上氣室1044A與第二上氣室1044B之間,以防止經加熱流體直接流動於其間。中心分隔件1080包括一中間部1084、位於側面1006之間接合處附近之第一部1082及位於鄰近側面1008之第二部1086。在一些示例中,該中間部1084比第一部1082及中間部1084更寬(於垂直於徑向中心線之方向上)。孔1016、1018及1020佈設於中間部1084與第一部1082之間。第一部1082包括第一弓形凹入部1092,以將經加熱流體從第一上氣室1044A引入外氣室1048。第一部1082包括第二弓形凹入部1094,以將經加熱流體從外氣室1048引入第二上氣室1044B。
以上所述在本質上僅用以說明且決非意欲限制本發明、其應用、或使用。本發明之廣泛教示可以多種方式加以實施。因此,雖然本發明包含特 定示例,但本發明之真正範圍應不被如此限制,因為其他的變化將在研讀圖式、說明書及以下申請專利範圍後變得顯而易見。應理解方法中的一或更多步驟可以不同順序(或同時)加以執行而不改變本發明之原理。此外,雖然各個實施例係如上所述具有某些特徵,但關於本發明之任何實施例描述的此等特徵之任一或更多者可實施於及/或與任何其他實施例之特徵結合,即使結合並未被明確地描述。換言之,所述實施例並非互斥,且一或更多實施例彼此的置換仍於本發明之範圍內。
元件之間(例如:模組、電路元件、半導體層等之間)的空間及功能關係使用了諸多術語加以描述,包含:「連接」、「接合」、「耦接」、「鄰近」、「旁邊」、「在...上」、「上方」、「下方」、及「配置」。當第一與第二元件之間的關係描述於上述揭示內容中時,除非明確地描述為「直接」,該關係可為直接的關係,其中沒有其他中介元件存在於該第一與第二元件之間,但亦可為間接的關係,其中有一或更多中介元件(空間地或功能地)存在於該第一與第二元件之間。如本文中所使用,片語「A、B、及C之至少一者」應理解為表示使用非排他邏輯「或」之邏輯(A或B或C),而不應理解為表示「A之至少一者、B之至少一者、及C之至少一者」。
在一些實施方式中,控制器為系統之一部分,其可為上述示例之一部分。此等系統可包括半導體處理設備,而半導體處理設備包含一處理工具或複數工具、一腔室或複數腔室、一處理平台或複數平台、及/或特定處理構件(晶圓座、氣流系統等)。該等系統可與電子設備結合,以控制半導體晶圓或基板處理前、處理期間及處理後之操作。此等電子設備可指“控制器”,其可控制該系統或複數系統之諸多構件或次部件。決定於處理需求及/或系統類型之控制器可程式化,以控制本文所揭示之任何製程,包括處理氣體之傳送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF) 產生器設定、射頻匹配電路設定、頻率設定、流速設定、流體傳送設定、位置及操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,控制器可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特殊應用積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多各別設定(或程式檔案)之形式而傳送至控制器或系統的指令,該各別設定(或程式檔案)為實行(半導體晶圓上,或針對半導體晶圓,或對系統之)特定的製程而定義操作參數。在一些具體實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分:層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
在一些實施方式中,控制器可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些示例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含能夠進行參數及/或設定輸入或程式設計之使用者介面,接著該參數及/或設定可自遠端電腦傳送至系統。在一些示例中,控制器接收數據形式指令,該指令為即將於一或更多操作 期間進行之每一處理步驟指定參數。應當理解,參數可特定針對待執行之製程 類型、及控制器與之接合或加以控制之工具類型。因此,如上所述,控制器可 為分散式,例如藉由包含以網路方式接在一起、且朝向共同目的(例如,本文 所描述之製程及控制)運作之一或更多分離的控制器。用於此目的之分散式控 制器舉例為,腔室上與位於遠端的一或更多積體電路(例如,於平臺水平處、 或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制 腔室上的製程。
示例性系統可包含,但不限於,電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。
如上所述,取決於待藉由工具而執行之製程步驟或複數步驟,控制器可與半導體製造工廠中的一或更多以下者進行通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具攜帶晶圓容器往返工具位置及/或裝載埠。
300:蒸氣輸送頭
310:第一部
311:上部
314:凸緣下部
318:第二部
320:密封件
326:背側表面
450:蒸氣容納腔
454:通孔
510:內氣室
512:頂表面
514:向下突伸凸緣
530:管夾持件
532:夾持管
534:氣流通道
538:氣流通道
540:管夾持件
542:夾持管
544:氣流通道
548:氣流通道

Claims (22)

  1. 一種用於基板之濕式處理的裝置,包括:一卡盤,用以固持該基板並旋轉該基板;以及一臂,其可相對於該卡盤移動;以及一蒸氣輸送頭,其連接至該臂並包括:一主體;一第一流體通道,以輸送一氣體混合物通過該主體;一蒸氣容納腔,其位於該主體之一朝向基板之表面上;以及一噴嘴,其位於該蒸氣容納腔中,並流體連接至該第一流體通道,其中該主體更包括:一第一部,具有該第一流體通道;一凸緣下部,鄰近該第一部;及一第二部,其中一氣室係定義於該凸緣下部的下表面與該第二部的上表面之間,並與該第一部之該第一流體通道流體連通,且其中該蒸氣容納腔係定義於該第二部的下表面之中。
  2. 如申請專利範圍第1項所述之用於基板之濕式處理的裝置,其中該蒸氣容納腔具有0.2mm至20mm範圍內之深度。
  3. 如申請專利範圍第1項所述之用於基板之濕式處理的裝置,其中該主體定義出用以接收該氣體混合物的一氣室。
  4. 如申請專利範圍第1項所述之用於基板之濕式處理的裝置,其中該噴嘴穿過該第二部。
  5. 如申請專利範圍第1項所述之用於基板之濕式處理的裝置,更包括一加熱器,其佈設於該蒸氣輸送頭之該主體中。
  6. 如申請專利範圍第1項所述之用於基板之濕式處理的裝置,其中該蒸氣容納腔定義出一區域,其為該基板之區域的0.1%至30%。
  7. 如申請專利範圍第6項所述之用於基板之濕式處理的裝置,其中該噴嘴係佈設於該蒸氣容納腔之該區域的一部分中,該部分位於該蒸氣輸送頭之一前緣附近。
  8. 如申請專利範圍第7項所述之用於基板之濕式處理的裝置,其中該蒸氣容納腔之該區域的該部分小於該蒸氣容納腔之該區域的50%。
  9. 如申請專利範圍第1項所述之用於基板之濕式處理的裝置,更包括一密封件,其位於該第一部與該第二部之間。
  10. 如申請專利範圍第1項所述之用於基板之濕式處理的裝置,其中該第二部包括一朝下突伸凸緣,其位於該第二部之朝向基板之表面上,其中該朝下突伸凸緣之內表面定義出該蒸氣容納腔之一外邊界。
  11. 如申請專利範圍第1項所述之用於基板之濕式處理的裝置,其中該第一部更定義出一第二流體通道,且其中該氣室與該第二流體通道流體連通。
  12. 如申請專利範圍第1項所述之用於基板之濕式處理的裝置,其中該蒸氣輸送頭於平行於該基板之平面上具有香蕉形橫截面。
  13. 如申請專利範圍第1項所述之用於基板之濕式處理的裝置,更包括:一液體噴嘴頭,其連接至一第二臂,以輸送沖洗液至該基板上;以及一馬達,以使帶有該液體噴嘴頭之該第二臂掃掠至該基板各處。
  14. 如申請專利範圍第1項所述之用於基板之濕式處理的裝置,更包括: 一液體噴嘴頭,其連接至該臂,以輸送沖洗液至該基板上;以及一馬達,以使帶有該液體噴嘴頭及該蒸氣輸送頭之該臂掃掠至該基板各處。
  15. 如申請專利範圍第1項所述之用於基板之濕式處理的裝置,其中該臂係配置成在該蒸氣容納腔位於距該基板一預定距離下使該蒸氣輸送頭掃過該基板各處,其中該預定距離係於大於0.1mm至小於或等於10mm之範圍內。
  16. 如申請專利範圍第15項所述之用於基板之濕式處理的裝置,其中該預定距離係於1mm至3mm之範圍內。
  17. 如申請專利範圍第1項所述之用於基板之濕式處理的裝置,其中該蒸氣容納腔於平行該基板之平面上具有呈大致矩形之橫截面。
  18. 一種用於基板之濕式處理的方法,包括:使用如申請專利範圍第1項所述之該裝置,以將含有氟化氫之該氣體混合物引至該基板之一上表面上方。
  19. 如申請專利範圍第18項所述之方法,更包括在使用該裝置以將該氣體混合物引至該基板之該表面上方之前:使用一第一沖洗液旋洗該基板之該表面;以及將該第一沖洗液從該基板之該表面甩離。
  20. 如申請專利範圍第18項所述之方法,其中該氟化氫係一第一反應性成分,且該氣體混合物更含有一第二反應性成分。
  21. 如申請專利範圍第20項所述之方法,其中為下述至少一者:該第二反應性成分係一質子受體;及/或該第二反應性成分包括OH-基團。
  22. 如申請專利範圍第20項所述之方法,其中該第二反應性成分係選自由水蒸氣、醇蒸氣、氨及胺所組成之群組。
TW108129410A 2018-08-23 2019-08-19 用於防止高深寬比結構的黏滯效應及/或修補高深寬比結構的蒸氣輸送頭 TWI821369B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862721710P 2018-08-23 2018-08-23
US62/721,710 2018-08-23

Publications (2)

Publication Number Publication Date
TW202025229A TW202025229A (zh) 2020-07-01
TWI821369B true TWI821369B (zh) 2023-11-11

Family

ID=69591060

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108129410A TWI821369B (zh) 2018-08-23 2019-08-19 用於防止高深寬比結構的黏滯效應及/或修補高深寬比結構的蒸氣輸送頭

Country Status (5)

Country Link
US (1) US20210366738A1 (zh)
KR (1) KR20210036988A (zh)
CN (1) CN112602186A (zh)
TW (1) TWI821369B (zh)
WO (1) WO2020041441A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11823892B2 (en) 2018-10-03 2023-11-21 Lam Research Ag Gas mixture including hydrogen fluoride, alcohol and an additive for preventing stiction of and/or repairing high aspect ratio structures

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201137934A (en) * 2009-12-25 2011-11-01 Tokyo Electron Ltd Substrate processing method, storage medium and substrate processing apparatus
KR20130035039A (ko) * 2011-09-29 2013-04-08 주식회사 원익아이피에스 가스분사장치, 및 이를 포함하는 기판 처리장치
TW201816833A (zh) * 2016-09-12 2018-05-01 日商斯庫林集團股份有限公司 基板處理方法以及基板處理裝置

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7482269B2 (en) * 2005-09-28 2009-01-27 Tokyo Electron Limited Method for controlling the step coverage of a ruthenium layer on a patterned substrate
KR20110077705A (ko) * 2009-12-30 2011-07-07 주식회사 엘지실트론 매엽식 웨이퍼 세정 장치 및 방법
KR101579507B1 (ko) * 2013-05-08 2015-12-22 세메스 주식회사 기판 처리 장치

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201137934A (en) * 2009-12-25 2011-11-01 Tokyo Electron Ltd Substrate processing method, storage medium and substrate processing apparatus
KR20130035039A (ko) * 2011-09-29 2013-04-08 주식회사 원익아이피에스 가스분사장치, 및 이를 포함하는 기판 처리장치
TW201816833A (zh) * 2016-09-12 2018-05-01 日商斯庫林集團股份有限公司 基板處理方法以及基板處理裝置

Also Published As

Publication number Publication date
US20210366738A1 (en) 2021-11-25
KR20210036988A (ko) 2021-04-05
CN112602186A (zh) 2021-04-02
TW202025229A (zh) 2020-07-01
WO2020041441A1 (en) 2020-02-27

Similar Documents

Publication Publication Date Title
JP7163289B2 (ja) ラジカルおよび前駆体ガスを下流チャンバに供給して遠隔プラズマ膜蒸着を可能にするための温度制御を備えた統合シャワーヘッド
JP7395644B2 (ja) 基板処理システムにおける再循環を低減するためのカラー、円錐形シャワーヘッド、および/または、トッププレート
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
US10157755B2 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
TWI767074B (zh) 用以預防高深寬比結構之黏滯作用及/或修補高深寬比結構的系統及方法
KR102620610B1 (ko) 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
US20170175269A1 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
TWI821369B (zh) 用於防止高深寬比結構的黏滯效應及/或修補高深寬比結構的蒸氣輸送頭
TWI834675B (zh) 高深寬比結構之有效率的清潔和蝕刻
US11823892B2 (en) Gas mixture including hydrogen fluoride, alcohol and an additive for preventing stiction of and/or repairing high aspect ratio structures
JP2020530210A (ja) プラズマレス脱ハロゲン化のためのシステムおよび方法
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
TW202102714A (zh) 電漿腔室清潔設備
TW202013435A (zh) 高深寬比結構之有效率的清潔和蝕刻
TW201945087A (zh) 使用並行的原位及遠程電漿源之快速腔室清潔
KR20050053451A (ko) 히터블럭의 급속냉각모듈을 갖는 반도체 제조장비