TWI821198B - Integrated circuit, non-transitory computer readable medium and computing system - Google Patents

Integrated circuit, non-transitory computer readable medium and computing system Download PDF

Info

Publication number
TWI821198B
TWI821198B TW107128847A TW107128847A TWI821198B TW I821198 B TWI821198 B TW I821198B TW 107128847 A TW107128847 A TW 107128847A TW 107128847 A TW107128847 A TW 107128847A TW I821198 B TWI821198 B TW I821198B
Authority
TW
Taiwan
Prior art keywords
latch
signal
flip
flop
integrated circuit
Prior art date
Application number
TW107128847A
Other languages
Chinese (zh)
Other versions
TW201921272A (en
Inventor
李奉炫
Original Assignee
南韓商三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星電子股份有限公司 filed Critical 南韓商三星電子股份有限公司
Publication of TW201921272A publication Critical patent/TW201921272A/en
Application granted granted Critical
Publication of TWI821198B publication Critical patent/TWI821198B/en

Links

Landscapes

  • Engineering & Computer Science (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Computer Hardware Design (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Measurement And Recording Of Electrical Phenomena And Electrical Characteristics Of The Living Body (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Measuring Pulse, Heart Rate, Blood Pressure Or Blood Flow (AREA)

Abstract

An integrated circuit (IC) including a first synchronous circuit configured to operate in synchronization with a clock signal is provided. The first synchronous circuit includes a selector including a first input terminal configured to receive a first input signal, a second input terminal configured to receive a second input signal, and a third input terminal configured to receive a scan enable signal indicating one of a scan test mode and a function operation mode and a latch unit configured to operate as a flip-flop outputting a first output signal corresponding to the first input signal in the scan test mode and to operate as a latch outputting a second output signal corresponding to the second input signal in the function operation mode.

Description

積體電路、非暫態電腦可讀取媒體及計算系統Integrated circuits, non-transitory computer-readable media and computing systems [相關申請案的交叉參考] [Cross-reference to related applications]

本申請案主張於2017年8月18日在韓國智慧財產局提出申請的韓國專利申請案第10-2017-0104520號、及於2018年3月22日在韓國智慧財產局提出申請的韓國專利申請案第10-2018-0033490號的權利,所述韓國專利申請案的揭露內容全文併入本案供參考。 This application claims Korean Patent Application No. 10-2017-0104520, which was filed with the Korean Intellectual Property Office on August 18, 2017, and Korean Patent Application No. 10-2017-0104520, which was filed with the Korean Intellectual Property Office on March 22, 2018. No. 10-2018-0033490, the full disclosure content of the Korean patent application is incorporated into this case for reference.

本發明概念的示例性實施例是有關於一種儲存標準胞元庫的非暫態電腦可讀取媒體、一種包括同步電路的積體電路(integrated circuit,IC)及一種設計IC的計算系統。舉例而言,至少一些示例性實施例是有關於一種儲存包括與同步電路對應的標準胞元的標準胞元庫的非暫態電腦可讀取媒體、一種包括所述同步電路的IC及一種設計IC的計算系統。 Exemplary embodiments of the inventive concept relate to a non-transitory computer-readable medium storing a library of standard cells, an integrated circuit (IC) including a synchronous circuit, and a computing system for designing the IC. For example, at least some exemplary embodiments relate to a non-transitory computer-readable medium storing a standard cell library including standard cells corresponding to a synchronization circuit, an IC including the synchronization circuit, and a design IC computing system.

由於半導體晶片的積體化,因此可能在測試半導體晶片方面耗費時間及資源。可測試性設計(Design for testability,DFT)技術被廣泛用於維持半導體晶片的質量及提高測試效率。 Due to the integration of semiconductor wafers, testing the semiconductor wafers may consume time and resources. Design for testability (DFT) technology is widely used to maintain the quality of semiconductor wafers and improve test efficiency.

本發明概念的示例性實施例提供一種儲存包括與視模式而作為正反器或栓鎖器運作的同步電路對應的標準胞元的標準胞元庫的非暫態電腦可讀取媒體、一種包括所述同步電路的積體電路(IC)及一種設計IC的計算系統。 Exemplary embodiments of the inventive concept provide a non-transitory computer readable medium storing a library of standard cells including standard cells corresponding to synchronization circuits operating as flip-flops or latches depending on the mode, a medium including An integrated circuit (IC) of the synchronous circuit and a computing system for designing the IC.

根據本發明概念的示例性實施例,提供一種IC,所述IC包括第一同步電路,所述第一同步電路被配置成與時脈訊號同步運作,所述第一同步電路包括:選擇器,包括第一輸入端子、第二輸入端子及第三輸入端子,所述第一輸入端子被配置成接收第一輸入訊號,所述第二輸入端子被配置成接收第二輸入訊號,且所述第三輸入端子被配置成接收掃描賦能訊號,所述掃描賦能訊號指示所述第一同步電路以掃描測試模式及功能運作模式中的一種模式運作;以及可重新配置的栓鎖器,被配置成於在所述掃描測試模式中作為正反器運作與在所述功能運作模式中作為栓鎖器運作之間選擇性地切換,以使得在所述掃描測試模式中所述可重新配置的栓鎖器輸出與所述第一輸入訊號對應的第一輸出訊號且在所述功能運作模式中所述可重新配置的栓鎖器輸出與所述第二輸入訊號對應的第二輸出訊號。 According to an exemplary embodiment of the inventive concept, an IC is provided, the IC including a first synchronization circuit configured to operate in synchronization with a clock signal, the first synchronization circuit including: a selector, It includes a first input terminal, a second input terminal and a third input terminal, the first input terminal is configured to receive a first input signal, the second input terminal is configured to receive a second input signal, and the third input terminal is configured to receive a second input signal. three input terminals configured to receive a scan enable signal instructing the first synchronization circuit to operate in one of a scan test mode and a functional operation mode; and a reconfigurable latch configured selectively switching between operating as a flip-flop in the scan test mode and operating as a latch in the functional mode of operation such that the reconfigurable latch in the scan test mode The latch outputs a first output signal corresponding to the first input signal and the reconfigurable latch outputs a second output signal corresponding to the second input signal in the functional mode of operation.

根據本發明概念的另一示例性實施例,提供一種儲存標準胞元庫的非暫態電腦可讀取媒體,所述標準胞元庫包含關於多個標準胞元的資訊,所述標準胞元庫在由處理器執行時將所述處理器配置成:設計積體電路,所述積體電路包括與時脈訊號同步 運作的同步電路,所述同步電路包括:可重新配置的栓鎖器,被配置成因應於掃描賦能訊號而於在掃描測試模式中作為正反器運作與在功能運作模式中作為栓鎖器運作之間選擇性地切換,以使得在所述掃描測試模式中所述可重新配置的栓鎖器輸出與第一輸入訊號對應的第一輸出訊號且在所述功能運作模式中所述可重新配置的栓鎖器輸出與第二輸入訊號對應的第二輸出訊號。 According to another exemplary embodiment of the inventive concept, there is provided a non-transitory computer-readable medium storing a standard cell library, the standard cell library including information on a plurality of standard cells, the standard cells The library, when executed by the processor, configures the processor to: design an integrated circuit, the integrated circuit including synchronization with a clock signal An operational synchronization circuit including a reconfigurable latch configured to operate as a flip-flop in a scan test mode and as a latch in a functional mode of operation in response to a scan enable signal Selectively switching between operations such that the reconfigurable latch outputs a first output signal corresponding to a first input signal in the scan test mode and the reconfigurable latch in the functional operation mode The configured latch outputs a second output signal corresponding to the second input signal.

根據本發明概念的另一示例性實施例,提供一種計算系統,所述計算系統包括:儲存裝置,被配置成儲存標準胞元庫,所述標準胞元庫包含關於多個標準胞元的資訊,所述多個標準胞元包括多個正反器栓鎖器胞元及多個正反器胞元;以及處理器,被配置成設計積體電路(IC),所述積體電路包括具有多個同步電路的掃描測試電路,所述多個同步電路被配置成與時脈訊號同步運作,使得所述多個同步電路中的至少一者包括:可重新配置的栓鎖器,使用所述多個標準胞元形成,所述可重新配置的栓鎖器被配置成因應於具有第一邏輯位準的掃描賦能訊號而在掃描測試模式中作為正反器運作且因應於具有第二邏輯位準的所述掃描賦能訊號而在功能運作模式中作為栓鎖器運作。 According to another exemplary embodiment of the inventive concept, a computing system is provided, the computing system comprising: a storage device configured to store a standard cell library, the standard cell library including information on a plurality of standard cells. , the plurality of standard cells including a plurality of flip-flop latch cells and a plurality of flip-flop cells; and a processor configured to design an integrated circuit (IC), the integrated circuit including A scan test circuit of a plurality of synchronization circuits configured to operate in synchronization with a clock signal such that at least one of the plurality of synchronization circuits includes a reconfigurable latch using the A plurality of standard cells are formed, the reconfigurable latch is configured to operate as a flip-flop in a scan test mode in response to a scan enable signal having a first logic level and in response to a scan enable signal having a second logic level. level of the scan enable signal to operate as a latch in a functional operating mode.

10、10A:積體電路(IC) 10, 10A: Integrated circuit (IC)

100:同步電路 100: Synchronous circuit

100_1、100_1'、100_1a、100_1b:第一同步電路 100_1, 100_1', 100_1a, 100_1b: first synchronization circuit

100_2:第二同步電路 100_2: Second synchronization circuit

100_3:第三同步電路 100_3: The third synchronization circuit

110:多工器 110:Multiplexer

120、120':主栓鎖器 120, 120': Main latch

130、130'、130a:從栓鎖器 130, 130', 130a: slave latch

200_1:組合邏輯電路/第一組合邏輯電路 200_1: Combinational logic circuit/first combinational logic circuit

200_2:組合邏輯電路/第二組合邏輯電路 200_2: Combinational logic circuit/second combinational logic circuit

1000:計算系統/IC設計系統 1000:Computing systems/IC design systems

1100:處理器 1100: Processor

1200:記憶體 1200:Memory

1210:合成模組 1210:Synthesis module

1220:放置及路由(P&R)模組 1220: Placement and routing (P&R) module

1230:靜態時序分析(STA)模組 1230: Static timing analysis (STA) module

1300:輸入/輸出(I/O)裝置 1300: Input/output (I/O) device

1400:儲存裝置 1400:Storage device

1410:標準胞元庫 1410: Standard cell library

1411:正反器胞元群組 1411: Flip-flop cell group

1413:栓鎖器胞元群組 1413:Latch cell group

1415:正反器栓鎖器胞元群組 1415: Flip-flop latch cell group

1500:匯流排 1500:Bus

a:掃描測試模式 a:Scan test mode

b:功能運作模式 b: Functional operation mode

C01、C02、C03、C04、C05、C06、C07:實例 C01, C02, C03, C04, C05, C06, C07: Examples

CLK:時脈訊號 CLK: clock signal

ck:內部時脈訊號 ck: internal clock signal

ckn:反相時脈訊號 ckn: inverted clock signal

D:第二端子/資料輸入訊號 D: Second terminal/data input signal

inv1、inv2:反相器 inv1, inv2: inverter

IS1:第一內部訊號 IS1: the first internal signal

IS2:第二內部訊號 IS2: Second internal signal

PI1:掃描輸入訊號 PI1: Scan input signal

PI2:資料輸入訊號 PI2: data input signal

PO1、SO:掃描輸出訊號 PO1, SO: Scan output signal

PO2、Q:資料輸出訊號 PO2, Q: data output signal

R01、R02、R03、R04:列 R01, R02, R03, R04: columns

S10、S20、S110、S111、S113、S115、S117、S120、S121、S123、S125、S127、S130、S210、S220:操作 S10, S20, S110, S111, S113, S115, S117, S120, S121, S123, S125, S127, S130, S210, S220: Operation

SE:掃描賦能訊號 SE: Scan for Enablement Signals

SI:第一端子/掃描輸入訊號 SI: First terminal/scan input signal

SW:開關 SW: switch

結合附圖閱讀以下詳細說明,將更清晰地理解本發明概念的示例性實施例,在附圖中:圖1是示出根據示例性實施例的包括同步電路的IC的方塊圖。 Exemplary embodiments of the inventive concept will be more clearly understood by reading the following detailed description in conjunction with the accompanying drawings, in which: FIG. 1 is a block diagram illustrating an IC including a synchronization circuit according to an exemplary embodiment.

圖2是示出根據示例性實施例的第一同步電路的方塊圖。 2 is a block diagram illustrating a first synchronization circuit according to an exemplary embodiment.

圖3A及圖3B是根據圖2所示第一同步電路中所包括的主栓鎖器及從栓鎖器中的每一者的示例性實施例的邏輯圖。 3A and 3B are logic diagrams according to an exemplary embodiment of each of the master latches and slave latches included in the first synchronization circuit shown in FIG. 2 .

圖4是示出根據示例性實施例的第一同步電路的方塊圖。 4 is a block diagram illustrating a first synchronization circuit according to an exemplary embodiment.

圖5是根據圖4所示第一同步電路中所包括的主栓鎖器及從栓鎖器中的每一者的示例性實施例的邏輯圖。 FIG. 5 is a logic diagram according to an exemplary embodiment of each of the master latches and slave latches included in the first synchronization circuit shown in FIG. 4 .

圖6是示出根據示例性實施例的第一同步電路的方塊圖。 6 is a block diagram illustrating a first synchronization circuit according to an exemplary embodiment.

圖7是示出根據示例性實施例的設計IC的計算系統的方塊圖。 7 is a block diagram illustrating a computing system for designing an IC according to an exemplary embodiment.

圖8示出根據示例性實施例的IC的示意性佈局。 Figure 8 shows a schematic layout of an IC according to an exemplary embodiment.

圖9是示出根據示例性實施例的胞元庫的圖。 9 is a diagram illustrating a cell library according to an exemplary embodiment.

圖10是示出根據示例性實施例的設計IC的方法的流程圖。 FIG. 10 is a flowchart illustrating a method of designing an IC according to an exemplary embodiment.

圖11是用於闡述圖10所示操作S110的示例性實施例的流程圖。 FIG. 11 is a flowchart illustrating an exemplary embodiment of operation S110 shown in FIG. 10 .

圖12是用於闡述圖10所示操作S120的示例性實施例的流程圖。 FIG. 12 is a flowchart illustrating an exemplary embodiment of operation S120 shown in FIG. 10 .

圖13是用於闡述根據示例性實施例的製造IC的方法的流程圖。 13 is a flowchart for explaining a method of manufacturing an IC according to an exemplary embodiment.

在下文中,將參照附圖詳細闡述示例性實施例。 Hereinafter, exemplary embodiments will be explained in detail with reference to the accompanying drawings.

圖1是示出根據示例性實施例的包括同步電路的積體電路(IC)10的方塊圖。 FIG. 1 is a block diagram illustrating an integrated circuit (IC) 10 including a synchronization circuit according to an exemplary embodiment.

參照圖1,IC 10可包括多個同步電路100。所述多個同步電路100可構成順序電路(sequential circuit)且可構成作為掃描測試電路運作的掃描鏈(scan chain)。 Referring to FIG. 1 , IC 10 may include a plurality of synchronization circuits 100 . The plurality of synchronization circuits 100 may form a sequential circuit and may form a scan chain operating as a scan test circuit.

所述多個同步電路100可各自包括第一端子SI及第二端子D,第一端子SI接收掃描輸入訊號,第二端子D接收資料輸入訊號。此外,所述多個同步電路100中的每一者可基於掃描輸入訊號輸出掃描輸出訊號SO且可基於資料輸入訊號輸出資料輸出訊號Q。 The plurality of synchronization circuits 100 may each include a first terminal SI and a second terminal D. The first terminal SI receives a scan input signal, and the second terminal D receives a data input signal. In addition, each of the plurality of synchronization circuits 100 may output a scan output signal SO based on the scan input signal and may output a data output signal Q based on the data input signal.

例如第一組合邏輯電路200_1及第二組合邏輯電路200_2等多個組合邏輯電路可各自實作為同步電路或異步電路。所述多個組合邏輯電路200_1及200_2可處理被輸入至所述多個組合邏輯電路200_1及200_2的資料訊號且可輸出藉由所述處理而獲得的結果。 For example, multiple combinational logic circuits such as the first combinational logic circuit 200_1 and the second combinational logic circuit 200_2 may each be implemented as a synchronous circuit or an asynchronous circuit. The plurality of combinational logic circuits 200_1 and 200_2 may process data signals input to the plurality of combinational logic circuits 200_1 and 200_2 and may output results obtained by the processing.

第一同步電路100_1可在掃描測試模式(例如,其中掃描賦能訊號SE具有邏輯高位準的模式)中與時脈訊號CLK同步地提供掃描輸入訊號PI1作為掃描輸出訊號SO,且在功能運作模式(例如,其中掃描賦能訊號SE具有邏輯低位準的模式)中,第一同步電路100_1可提供資料輸入訊號PI2作為資料輸出訊號Q。 The first synchronization circuit 100_1 may provide the scan input signal PI1 as the scan output signal SO in synchronization with the clock signal CLK in a scan test mode (for example, a mode in which the scan enable signal SE has a logic high level), and in the functional operation mode (For example, a mode in which the scan enable signal SE has a logic low level), the first synchronization circuit 100_1 may provide the data input signal PI2 as the data output signal Q.

第一組合邏輯電路200_1可對第一同步電路100_1的資料輸出訊號Q實行算術運算以提供第二同步電路100_2的資料輸入訊號D。此外,第二同步電路100_2可接收第一同步電路100_1的掃描輸出訊號SO作為掃描輸入訊號SI。此外,第二同步電路 100_2可基於掃描賦能訊號SE及時脈訊號CLK而以功能運作模式或掃描測試模式運作。 The first combinational logic circuit 200_1 can perform an arithmetic operation on the data output signal Q of the first synchronization circuit 100_1 to provide the data input signal D of the second synchronization circuit 100_2. In addition, the second synchronization circuit 100_2 can receive the scan output signal SO of the first synchronization circuit 100_1 as the scan input signal SI. In addition, the second synchronization circuit 100_2 can operate in a functional operation mode or a scan test mode based on the scan enable signal SE and the clock signal CLK.

第二組合邏輯電路200_2可對第二同步電路100_2的資料輸出訊號Q實行算術運算以提供第三同步電路100_3的資料輸入訊號D。此外,第三同步電路100_3可接收第二同步電路100_2的掃描輸出訊號SO作為掃描輸入訊號SI。此外,第三同步電路100_3可基於掃描賦能訊號SE及時脈訊號CLK而以功能運作模式或掃描測試模式運作。第三同步電路100_3可在功能運作模式中輸出資料輸出訊號PO2,且在掃描測試模式中,第三同步電路100_3可輸出掃描輸出訊號PO1。 The second combinational logic circuit 200_2 can perform an arithmetic operation on the data output signal Q of the second synchronization circuit 100_2 to provide the data input signal D of the third synchronization circuit 100_3. In addition, the third synchronization circuit 100_3 can receive the scan output signal SO of the second synchronization circuit 100_2 as the scan input signal SI. In addition, the third synchronization circuit 100_3 can operate in a functional operation mode or a scan test mode based on the scan enable signal SE and the clock signal CLK. The third synchronization circuit 100_3 can output the data output signal PO2 in the functional operation mode, and in the scan test mode, the third synchronization circuit 100_3 can output the scan output signal PO1.

在圖式中,示出其中三個同步電路構成順序電路的例子,但根據本發明示例性實施例的IC 10並非僅限於此。IC 10中所包括的同步電路的數目可有所變化。 In the drawings, an example is shown in which three synchronous circuits constitute a sequential circuit, but the IC 10 according to the exemplary embodiment of the present invention is not limited thereto. The number of synchronization circuits included in IC 10 may vary.

所述多個同步電路100中的至少一者可實作為圖2至圖6所示同步電路中的一者。所述多個同步電路100中的至少一者可在功能運作模式中作為栓鎖器運作,且在掃描測試模式中作為正反器運作。因此,在所述至少一個同步電路中,在功能運作中的運作速度提高且功耗降低,且此外,在掃描測試運作中可省略附加的保持緩衝器(hold buffer)。因此,IC 10的總面積減小,且IC 10的功耗降低。 At least one of the plurality of synchronization circuits 100 may be implemented as one of the synchronization circuits shown in FIGS. 2 to 6 . At least one of the plurality of synchronization circuits 100 may operate as a latch in a functional operating mode and as a flip-flop in a scan test mode. Therefore, in the at least one synchronization circuit, the operating speed in functional operation is increased and the power consumption is reduced, and furthermore, an additional hold buffer can be omitted in scan test operation. Therefore, the total area of the IC 10 is reduced, and the power consumption of the IC 10 is reduced.

圖2是示出根據示例性實施例的第一同步電路100_1的方塊圖。圖2所示第一同步電路100_1可為圖1所示IC 10中所包 括的所述多個同步電路100中的至少一者,且舉例而言,可為第一同步電路100_1,但並非僅限於此。圖2所示掃描輸入訊號SI可為圖1所示掃描輸入訊號PI1,且圖2所示資料輸入訊號D可為圖1所示資料輸入訊號PI2。 FIG. 2 is a block diagram showing the first synchronization circuit 100_1 according to an exemplary embodiment. The first synchronization circuit 100_1 shown in Figure 2 may be included in the IC 10 shown in Figure 1 At least one of the plurality of synchronization circuits 100 included, and for example, may be the first synchronization circuit 100_1, but is not limited thereto. The scan input signal SI shown in FIG. 2 may be the scan input signal PI1 shown in FIG. 1 , and the data input signal D shown in FIG. 2 may be the data input signal PI2 shown in FIG. 1 .

參照圖2,第一同步電路100_1可包括多工器110、主栓鎖器120及從栓鎖器130。第一同步電路100_1可基於運作模式而作為正反器或栓鎖器運作。 Referring to FIG. 2 , the first synchronization circuit 100_1 may include a multiplexer 110 , a master latch 120 and a slave latch 130 . The first synchronization circuit 100_1 may operate as a flip-flop or a latch based on the operation mode.

多工器110可接收資料輸入訊號D及掃描輸入訊號SI,且基於運作模式,多工器110可自資料輸入訊號D及掃描輸入訊號SI中選擇一個訊號以提供藉由所述選擇而獲得的第一內部訊號IS1。多工器110可在傳送資料的功能運作模式b中提供資料輸入訊號D作為第一內部訊號IS1,且在實行測試操作的掃描測試模式a中,多工器110可提供掃描輸入訊號SI作為第一內部訊號IS1。多工器110可被稱作多工(mux)、掃描多工(scan mux)或選擇器。 The multiplexer 110 can receive the data input signal D and the scan input signal SI, and based on the operation mode, the multiplexer 110 can select a signal from the data input signal D and the scan input signal SI to provide the signal obtained by the selection. The first internal signal IS1. The multiplexer 110 can provide the data input signal D as the first internal signal IS1 in the functional operation mode b of transmitting data, and in the scan test mode a of performing the test operation, the multiplexer 110 can provide the scan input signal SI as the third internal signal IS1. An internal signal IS1. Multiplexer 110 may be referred to as a mux, scan mux, or selector.

多工器110可包括第一輸入端子、第二輸入端子及第三輸入端子,所述第一輸入端子接收掃描輸入訊號SI,所述第二輸入端子接收資料輸入訊號D,所述第三輸入端子接收掃描賦能訊號SE。 The multiplexer 110 may include a first input terminal, a second input terminal and a third input terminal. The first input terminal receives the scan input signal SI, the second input terminal receives the data input signal D, and the third input terminal The terminal receives the scan enable signal SE.

在示例性實施例中,可基於由第一同步電路100_1接收的掃描賦能訊號SE的邏輯位準確定運作模式。舉例而言,若掃描賦能訊號SE具有第一邏輯位準(例如,邏輯高位準),則可實行 掃描測試模式a,且若掃描賦能訊號SE具有第二邏輯位準(例如,邏輯低位準),則可實行功能運作模式b。然而,根據本發明示例性實施例的第一同步電路100_1並非僅限於此。在其他示例性實施例中,若掃描賦能訊號SE具有第二邏輯位準,則可實行掃描測試模式a,且若掃描賦能訊號SE具有第一邏輯位準,則可實行功能運作模式b。 In an exemplary embodiment, the operation mode may be determined based on the logic bits of the scan enable signal SE received by the first synchronization circuit 100_1. For example, if the scan enable signal SE has a first logic level (for example, a logic high level), it can be implemented Scan test mode a, and if the scan enable signal SE has a second logic level (eg, a logic low level), functional operation mode b can be implemented. However, the first synchronization circuit 100_1 according to the exemplary embodiment of the present invention is not limited to this. In other exemplary embodiments, if the scan enable signal SE has a second logic level, the scan test mode a can be implemented, and if the scan enable signal SE has a first logic level, the functional operation mode b can be implemented .

主栓鎖器120可基於時脈訊號CLK而栓鎖自多工器110輸出的第一內部訊號IS1以輸出第二內部訊號IS2。從栓鎖器130可基於藉由對時脈訊號CLK進行反相操作所獲得的反相時脈訊號(inversion clock signal)而栓鎖自主栓鎖器120輸出的第二內部訊號IS2。 The main latch 120 may latch the first internal signal IS1 output from the multiplexer 110 based on the clock signal CLK to output the second internal signal IS2. The slave latch 130 may latch the second internal signal IS2 output from the master latch 120 based on an inversion clock signal obtained by performing an inversion operation on the clock signal CLK.

在掃描測試模式a中,多工器110可向主栓鎖器120提供掃描輸入訊號SI。第一內部訊號IS1可為掃描輸入訊號SI。主栓鎖器120可栓鎖掃描輸入訊號SI,且從栓鎖器130可栓鎖第二內部訊號IS2以輸出掃描輸出訊號SO。 In the scan test mode a, the multiplexer 110 may provide the scan input signal SI to the main latch 120 . The first internal signal IS1 may be the scan input signal SI. The master latch 120 can latch the scan input signal SI, and the slave latch 130 can latch the second internal signal IS2 to output the scan output signal SO.

在掃描測試模式a中,主栓鎖器120及從栓鎖器130皆可運作以構成一個正反器。主栓鎖器120及從栓鎖器130可實行栓鎖掃描輸入訊號SI的掃描操作以提供掃描輸出訊號SO。 In scan test mode a, both the master latch 120 and the slave latch 130 can operate to form a flip-flop. The master latch 120 and the slave latch 130 may perform a scanning operation of latching the scan input signal SI to provide the scan output signal SO.

掃描輸出訊號SO可被提供作為連接至第一同步電路100_1的下一同步電路(例如,圖1所示第二同步電路100_2)的掃描輸入訊號,且所述下一同步電路可在掃描測試模式a中實行與第一同步電路100_1的操作相似的操作。 The scan output signal SO may be provided as a scan input signal of a next synchronization circuit connected to the first synchronization circuit 100_1 (for example, the second synchronization circuit 100_2 shown in FIG. 1 ), and the next synchronization circuit may be in the scan test mode. In a, operations similar to those of the first synchronization circuit 100_1 are performed.

另一方面,在功能運作模式b中,多工器110可向主栓鎖器120提供資料輸入訊號D。第一內部訊號IS1可為資料輸入訊號D。主栓鎖器120可實行栓鎖資料輸入訊號D的功能運作以提供資料輸出訊號Q。在功能運作模式b中,可不使用從栓鎖器130。 On the other hand, in the functional operation mode b, the multiplexer 110 may provide the data input signal D to the main latch 120 . The first internal signal IS1 may be the data input signal D. The main latch 120 can perform the function of latching the data input signal D to provide the data output signal Q. In functional operating mode b, slave latch 130 may not be used.

根據示例性實施例的第一同步電路100_1可經由不同的節點輸出掃描輸出訊號SO與資料輸出訊號Q。掃描輸出訊號SO可自從栓鎖器130輸出,且資料輸出訊號Q可自主栓鎖器120輸出。因此,在掃描測試模式a中,第一同步電路100_1可作為其中主栓鎖器120與從栓鎖器130二者均運作的正反器運作。另一方面,在功能運作模式b中,由於從栓鎖器130不運作,因此第一同步電路100_1可作為栓鎖器運作。 The first synchronization circuit 100_1 according to an exemplary embodiment may output the scan output signal SO and the data output signal Q through different nodes. The scan output signal SO can be output from the latch 130 , and the data output signal Q can be output from the latch 120 . Therefore, in the scan test mode a, the first synchronization circuit 100_1 can operate as a flip-flop in which both the master latch 120 and the slave latch 130 operate. On the other hand, in the functional operation mode b, since the slave latch 130 does not operate, the first synchronization circuit 100_1 can operate as a latch.

在其中同步電路作為栓鎖器運作的情形中,延遲時間(delay time)短於正反器情形中的延遲時間,且功耗小於正反器情形中的功耗,由此當實行功能運作模式時所述情形為高效的。然而,若當實行掃描測試模式時所述同步電路亦欲作為栓鎖器運作,則在所述同步電路與另一同步電路之間可能另外需要單獨的保持緩衝器,以使在掃描測試模式中穩定地實行掃描移位操作(scan shift operation),此可能使IC的面積及IC的功耗增大。 In the case where the synchronization circuit operates as a latch, the delay time is shorter than that in the flip-flop case, and the power consumption is less than that in the flip-flop case, whereby when the functional operation mode is implemented The situation described is efficient. However, if the synchronization circuit is also intended to operate as a latch when the scan test mode is executed, an additional separate holding buffer may be required between the synchronization circuit and another synchronization circuit to enable the The scan shift operation is performed stably, which may increase the area of the IC and the power consumption of the IC.

相比之下,由於根據示例性實施例的第一同步電路100_1在功能運作模式b中作為栓鎖器運作且在掃描測試模式a中作為正反器運作,因此在功能運作中運作速度提高且功耗降 低,且在掃描測試運作中,可能不需要附加的保持緩衝器。因此,IC的總面積減小,且此外,IC的功耗降低。 In contrast, since the first synchronization circuit 100_1 according to the exemplary embodiment operates as a latch in the functional operation mode b and operates as a flip-flop in the scan test mode a, the operation speed in the functional operation is improved and Power consumption reduction low, and in scan test operations, an additional hold buffer may not be needed. Therefore, the total area of the IC is reduced, and further, the power consumption of the IC is reduced.

在示例性實施例中,從栓鎖器130可包括多個電晶體,所述多個電晶體各自具有的臨限電壓高於主栓鎖器120中所包括的多個電晶體的臨限電壓。從栓鎖器130可利用各自具有高臨限電壓的電晶體來實作,且因此,在掃描測試模式a中,從栓鎖器130的洩漏電流(leakage current)減小,且包括主栓鎖器120及從栓鎖器130的正反器的運作速度降低。因此,在掃描測試模式a中違反保持時間的問題得到解決。 In an exemplary embodiment, slave latch 130 may include a plurality of transistors each having a threshold voltage that is higher than a threshold voltage of a plurality of transistors included in master latch 120 . The slave latches 130 may be implemented using transistors each having a high threshold voltage, and therefore, in scan test mode a, the leakage current of the slave latches 130 is reduced, and includes the master latch The operating speed of the flip-flop of the latch 120 and the slave latch 130 is reduced. Therefore, the problem of hold time violation in scan test mode a is solved.

圖3A及圖3B是根據圖2所示第一同步電路100_1中所包括的主栓鎖器及從栓鎖器中的每一者的示例性實施例的邏輯圖。 3A and 3B are logic diagrams according to an exemplary embodiment of each of the master latches and slave latches included in the first synchronization circuit 100_1 shown in FIG. 2 .

參照圖3A,第一同步電路100_1可包括多工器110、主栓鎖器120及從栓鎖器130。在示例性實施例中,多工器110可包括三個反及閘(NAND gate)及一個反相器。然而,此僅為例子,且多工器110可實作為各種類型。 Referring to FIG. 3A , the first synchronization circuit 100_1 may include a multiplexer 110 , a master latch 120 and a slave latch 130 . In an exemplary embodiment, the multiplexer 110 may include three NAND gates and one inverter. However, this is only an example, and the multiplexer 110 may be implemented in various types.

主栓鎖器120及從栓鎖器130可各自包括兩個傳輸閘極(transmission gate)及三個反相器。各邏輯閘可如圖3A中所示彼此連接。然而,圖3A中所示主栓鎖器120及從栓鎖器130是例子,且本發明示例性實施例並非僅限於此。主栓鎖器120及從栓鎖器130中的每一者中所包括的傳輸閘極及反相器的數目可基於設計而有所變化。 The master latch 120 and the slave latch 130 may each include two transmission gates and three inverters. Logic gates may be connected to each other as shown in Figure 3A. However, the master latch 120 and the slave latch 130 shown in FIG. 3A are examples, and exemplary embodiments of the present invention are not limited thereto. The number of transmission gates and inverters included in each of master latch 120 and slave latch 130 may vary based on design.

第一同步電路100_1可包括多個反相器inv1及inv2,所述多個反相器inv1及inv2基於自外部接收的時脈訊號CLK而分別產生反相時脈訊號ckn及內部時脈訊號ck。所述多個反相器inv1及inv2被示出為設置於主栓鎖器120及從栓鎖器130外部,但並非僅限於此。在其他示例性實施例中,所述多個反相器inv1及inv2可包括於主栓鎖器120及從栓鎖器130中的至少一者中。 The first synchronization circuit 100_1 may include a plurality of inverters inv1 and inv2 that respectively generate an inverted clock signal chn and an internal clock signal ck based on the clock signal CLK received from the outside. . The plurality of inverters inv1 and inv2 are shown as being disposed outside the master latch 120 and the slave latch 130 , but are not limited thereto. In other exemplary embodiments, the plurality of inverters inv1 and inv2 may be included in at least one of the master latch 120 and the slave latch 130 .

主栓鎖器120可為高位準啟用栓鎖器。因此,主栓鎖器120可在邏輯高週期(logic high period)中透明地輸出自多工器110輸出的資料輸入訊號D作為資料輸出訊號Q,所述邏輯高週期是內部時脈訊號ck的賦能週期。 The main latch 120 may enable the latch for a high level. Therefore, the master latch 120 can transparently output the data input signal D output from the multiplexer 110 as the data output signal Q during the logic high period, which is the internal clock signal ck. Empowerment cycle.

主栓鎖器120及從栓鎖器130可構成一個正反器,且所述正反器可作為負緣正反器(negative edge flip-flop)運作。因此,從栓鎖器130可在內部時脈訊號ck的負緣處或反相時脈訊號ckn的正緣(positive edge)處輸出掃描輸出訊號SO。 The master latch 120 and the slave latch 130 may form a flip-flop, and the flip-flop may operate as a negative edge flip-flop. Therefore, the slave latch 130 can output the scan output signal SO at the negative edge of the internal clock signal ck or the positive edge of the inverted clock signal ckn.

參照圖3B,主栓鎖器120'及從栓鎖器130'可各自包括兩個傳輸閘極及三個反相器。各邏輯閘可如圖3B中所示彼此連接。然而,圖3B中所示主栓鎖器120'及從栓鎖器130'是例子,且本發明示例性實施例並非僅限於此。 Referring to FIG. 3B , the master latch 120 ′ and the slave latch 130 ′ may each include two transmission gates and three inverters. Logic gates may be connected to each other as shown in Figure 3B. However, the master latch 120' and the slave latch 130' shown in FIG. 3B are examples, and exemplary embodiments of the present invention are not limited thereto.

第一同步電路100_1'可包括多個反相器inv1及inv2,所述多個反相器inv1及inv2基於自外部接收的時脈訊號CLK而分別產生反相時脈訊號ckn及內部時脈訊號ck。所述多個反相器inv1及inv2被示出為設置於主栓鎖器120'及從栓鎖器130'外部,但並 非僅限於此。在其他實施例中,所述多個反相器inv1及inv2可包括於主栓鎖器120'及從栓鎖器130'中的至少一者中。 The first synchronization circuit 100_1' may include a plurality of inverters inv1 and inv2. The plurality of inverters inv1 and inv2 respectively generate an inverted clock signal ckn and an internal clock signal based on the clock signal CLK received from the outside. ck. The plurality of inverters inv1 and inv2 are shown to be disposed outside the master latch 120' and the slave latch 130', but are not Not limited to this. In other embodiments, the plurality of inverters inv1 and inv2 may be included in at least one of the master latch 120' and the slave latch 130'.

主栓鎖器120'可為低位準啟用栓鎖器。主栓鎖器120'可在內部時脈訊號ck的去能週期(即邏輯低週期)中透明地輸出自多工器110輸出的資料輸入訊號D作為資料輸出訊號Q。 The main latch 120' may activate the latch for a low level. The master latch 120' can transparently output the data input signal D output from the multiplexer 110 as the data output signal Q during the deactivation period (ie, the logic low period) of the internal clock signal ck.

主栓鎖器120'及從栓鎖器130'可構成一個正反器,且所述正反器可作為正緣正反器(positive edge flip-flop)運作。因此,從栓鎖器130'可在內部時脈訊號ck的正緣處(即反相時脈訊號ckn的負緣處)輸出掃描輸出訊號SO。 The master latch 120' and the slave latch 130' may form a flip-flop, and the flip-flop may operate as a positive edge flip-flop. Therefore, the slave latch 130' can output the scan output signal SO at the positive edge of the internal clock signal ck (ie, the negative edge of the inverted clock signal ckn).

圖4是示出根據示例性實施例的第一同步電路100_1a的方塊圖。圖4所示第一同步電路100_1a可為圖1所示IC 10中所包括的所述多個同步電路100中的至少一者,且舉例而言,可為第一同步電路100_1,但並非僅限於此。在圖4中,不再對與圖2所示元件相同的元件予以贅述。 FIG. 4 is a block diagram showing the first synchronization circuit 100_1a according to an exemplary embodiment. The first synchronization circuit 100_1a shown in FIG. 4 may be at least one of the plurality of synchronization circuits 100 included in the IC 10 shown in FIG. 1, and for example, may be the first synchronization circuit 100_1, but not only Limited to this. In FIG. 4 , the same elements as those shown in FIG. 2 will not be described again.

參照圖4,第一同步電路100_1a可包括多工器110、主栓鎖器120及從栓鎖器130a。第一同步電路100_1a可在掃描測試模式a中作為正反器運作,且在功能運作模式b中,第一同步電路100_1a可作為栓鎖器運作。 Referring to FIG. 4 , the first synchronization circuit 100_1a may include a multiplexer 110, a master latch 120, and a slave latch 130a. The first synchronization circuit 100_1a may operate as a flip-flop in the scan test mode a, and in the functional operation mode b, the first synchronization circuit 100_1a may operate as a latch.

從栓鎖器130a可基於藉由對時脈訊號CLK進行反相操作所獲得的反相時脈訊號而栓鎖自主栓鎖器120輸出的第二內部訊號IS2。此時,從栓鎖器130a可接收掃描賦能訊號SE,且因應於掃描賦能訊號SE,從栓鎖器130a可判斷是否實行從栓鎖器130a 的栓鎖操作。從栓鎖器130a可在掃描測試模式a中實行栓鎖操作,且在功能運作模式b中,從栓鎖器130a可不實行栓鎖操作。 The slave latch 130a may latch the second internal signal IS2 output from the master latch 120 based on an inverted clock signal obtained by performing an inverting operation on the clock signal CLK. At this time, the slave latch 130a can receive the scan enable signal SE, and in response to the scan enable signal SE, the slave latch 130a can determine whether to execute the slave latch 130a latch operation. The slave latch 130a may perform the latch operation in the scan test mode a, and in the functional operation mode b, the slave latch 130a may not perform the latch operation.

舉例而言,若掃描賦能訊號SE具有第一邏輯位準(例如,邏輯高位準),則從栓鎖器130a可實行栓鎖操作,且若掃描賦能訊號SE具有第二邏輯位準(例如,邏輯低位準),則從栓鎖器130a可不實行栓鎖操作。 For example, if the scan enable signal SE has a first logic level (eg, a logic high level), the slave latch 130a can perform a latch operation, and if the scan enable signal SE has a second logic level (eg, a logic high level) For example, if the logic level is low), then the slave latch 130a may not perform the latch operation.

在示例性實施例中,從栓鎖器130a可包括多個電晶體,所述多個電晶體各自具有的臨限電壓高於主栓鎖器120中所包括的多個電晶體的臨限電壓。從栓鎖器130a可利用各自具有高臨限電壓的電晶體來實作,且因此,在掃描測試模式a中,從栓鎖器130a的洩漏電流減小,且第一同步電路100_1a的運作速度降低。因此,在掃描測試模式a中違反保持時間的問題得到解決。 In an exemplary embodiment, slave latch 130a may include a plurality of transistors each having a threshold voltage that is higher than a threshold voltage of a plurality of transistors included in master latch 120 . The slave latches 130a can be implemented using transistors each having a high threshold voltage, and therefore, in the scan test mode a, the leakage current of the slave latches 130a is reduced, and the operation speed of the first synchronization circuit 100_1a reduce. Therefore, the problem of hold time violation in scan test mode a is solved.

在功能運作模式b中,由於僅使用主栓鎖器120,因此從栓鎖器130a可不實行栓鎖操作,且因此,第一同步電路100_1a的功耗降低。此外,從栓鎖器130a不運作,且因此,即使當從栓鎖器130a中所包括的電晶體的臨限電壓分別為高時,第一同步電路100_1a的運作速度亦不降低。 In the functional operation mode b, since only the master latch 120 is used, the slave latch 130a may not perform a latch operation, and therefore, the power consumption of the first synchronization circuit 100_1a is reduced. In addition, the slave latch 130a does not operate, and therefore, even when the threshold voltages of the transistors included in the slave latch 130a are respectively high, the operation speed of the first synchronization circuit 100_1a does not decrease.

圖5是根據圖4所示第一同步電路中所包括的主栓鎖器及從栓鎖器中的每一者的示例性實施例的邏輯圖。在圖5中,不再對與圖3A所示元件相同的元件予以贅述。 FIG. 5 is a logic diagram according to an exemplary embodiment of each of the master latches and slave latches included in the first synchronization circuit shown in FIG. 4 . In FIG. 5 , the same elements as those shown in FIG. 3A will not be described again.

參照圖5,第一同步電路100_1a可在掃描測試模式中作為正緣正反器運作,且在功能運作模式中,第一同步電路100_1a 可作為低位準啟用栓鎖器運作。 Referring to FIG. 5 , the first synchronous circuit 100_1a can operate as a positive-edge flip-flop in the scan test mode, and in the functional operation mode, the first synchronous circuit 100_1a Can operate as a low level enable latch.

在示例性實施例中,從栓鎖器130a可包括兩個傳輸閘極、兩個反相器及一個反及閘。各邏輯閘可如圖5中所示彼此連接。然而,圖5中所示主栓鎖器120及從栓鎖器130a是例子,且本發明示例性實施例並非僅限於此。 In an exemplary embodiment, slave latch 130a may include two transfer gates, two inverters, and one NAND gate. Logic gates can be connected to each other as shown in Figure 5. However, the master latch 120 and the slave latch 130a shown in FIG. 5 are examples, and exemplary embodiments of the present invention are not limited thereto.

反及閘可對自主栓鎖器120輸出的掃描賦能訊號SE及第二內部訊號IS2實行反及運算。舉例而言,若掃描賦能訊號SE具有邏輯低位準(功能運作模式),則無論資料輸入訊號D及時脈訊號CLK如何,掃描輸出訊號SO均可被固定至邏輯高位準。亦即,在功能運作模式中,掃描輸出訊號SO可被固定至邏輯高位準。因此,從栓鎖器130a的功耗降低。 The NAND gate can perform an NAND operation on the scan enable signal SE output by the autonomous latch 120 and the second internal signal IS2. For example, if the scan enable signal SE has a logic low level (functional operation mode), the scan output signal SO can be fixed to a logic high level regardless of the data input signal D and the clock signal CLK. That is, in the functional operation mode, the scan output signal SO can be fixed to a logic high level. Therefore, the power consumption of the slave latch 130a is reduced.

一個示例性實施例是在其中從栓鎖器130a基於掃描賦能訊號SE而控制是否對第二內部訊號IS2實行栓鎖操作的過程中實行的反及閘的反及運算。從栓鎖器130a可包括反或閘(NOR gate)而非反及閘,且反或閘可接收掃描賦能訊號SE的反相訊號及第二內部訊號IS2以實行反或運算。舉例而言,若掃描賦能訊號SE具有邏輯低位準(功能運作模式),則無論資料輸入訊號D及時脈訊號CLK如何,掃描輸出訊號SO均可被固定至邏輯高位準。 An exemplary embodiment is an NAND operation of the NAND gate performed in a process in which the slave latch 130a controls whether to perform a latch operation on the second internal signal IS2 based on the scan enable signal SE. The slave latch 130a may include a NOR gate instead of an NOR gate, and the NOR gate may receive the inverted signal of the scan enable signal SE and the second internal signal IS2 to perform the NOR operation. For example, if the scan enable signal SE has a logic low level (functional operation mode), the scan output signal SO can be fixed to a logic high level regardless of the data input signal D and the clock signal CLK.

在根據本發明示例性實施例的第一同步電路100_1a的從栓鎖器130a中,可基於掃描賦能訊號SE而阻擋輸入自主栓鎖器120輸出的第二內部訊號IS2,且可以各種方式實作阻擋輸入第 二內部訊號IS2的操作。 In the slave latch 130a of the first synchronization circuit 100_1a according to an exemplary embodiment of the present invention, input of the second internal signal IS2 output from the master latch 120 may be blocked based on the scan enable signal SE, and may be implemented in various ways. block input 2. Operation of internal signal IS2.

在圖5中,示出其中主栓鎖器120是高位準啟用栓鎖器且主栓鎖器120及從栓鎖器130a構成一個負緣正反器的例子,但根據本發明示例性實施例的第一同步電路100_1a並非僅限於此。即使在其中主栓鎖器120是低位準啟用栓鎖器且主栓鎖器120及從栓鎖器130a構成一個正緣正反器的情形中,從栓鎖器130a亦可基於掃描賦能訊號SE而被配置成使得在從栓鎖器130a內阻擋輸入第二內部訊號IS2。 In FIG. 5 , an example is shown in which the master latch 120 is a high-level enable latch and the master latch 120 and the slave latch 130 a constitute a negative-edge flip-flop, but according to an exemplary embodiment of the present invention The first synchronization circuit 100_1a is not limited to this. Even in the case where the master latch 120 is a low-level enable latch and the master latch 120 and the slave latch 130a form a positive-edge flip-flop, the slave latch 130a can also be activated based on the scan enable signal. SE is configured to block input of the second internal signal IS2 in the slave latch 130a.

根據本發明示例性實施例的第一同步電路100_1a並不限於其中在功能運作模式中從栓鎖器130a的栓鎖操作是藉由阻擋輸入自主栓鎖器120輸出的第二內部訊號IS2來控制的例子。在其他示例性實施例中,第一同步電路100_1a可被配置成因應於掃描賦能訊號SE而阻擋由從栓鎖器130a接收的時脈訊號CLK。舉例而言,從栓鎖器130a可被配置成使得若掃描賦能訊號SE具有邏輯低位準(功能運作模式),則內部時脈訊號ck及反相時脈訊號不被輸入至傳輸閘極。 The first synchronization circuit 100_1a according to an exemplary embodiment of the present invention is not limited to one in which the latch operation of the slave latch 130a in the functional operation mode is controlled by blocking the input of the second internal signal IS2 output from the master latch 120 example of. In other exemplary embodiments, the first synchronization circuit 100_1a may be configured to block the clock signal CLK received from the slave latch 130a in response to the scan enable signal SE. For example, the slave latch 130a may be configured such that if the scan enable signal SE has a logic low level (functional operation mode), the internal clock signal ck and the inverted clock signal are not input to the transmission gate.

圖6是示出根據示例性實施例的第一同步電路100_1b的方塊圖。圖6所示第一同步電路100_1b可為圖1所示IC 10中所包括的所述多個同步電路100中的至少一者,且舉例而言,可為第一同步電路100_1,但並非僅限於此。在圖6中,不再對與圖2所示元件相同的元件予以贅述。 FIG. 6 is a block diagram showing the first synchronization circuit 100_1b according to an exemplary embodiment. The first synchronization circuit 100_1b shown in FIG. 6 may be at least one of the plurality of synchronization circuits 100 included in the IC 10 shown in FIG. 1, and for example, may be the first synchronization circuit 100_1, but not only Limited to this. In FIG. 6 , the same elements as those shown in FIG. 2 will not be described again.

參照圖6,第一同步電路100_1b可包括多工器110、主 栓鎖器120、從栓鎖器130及開關SW。第一同步電路100_1b可在掃描測試模式a中作為正反器運作,且在功能運作模式b中,第一同步電路100_1b可作為栓鎖器運作。 Referring to FIG. 6 , the first synchronization circuit 100_1b may include a multiplexer 110, a master Latch 120, slave latch 130 and switch SW. The first synchronization circuit 100_1b may operate as a flip-flop in the scan test mode a, and in the functional operation mode b, the first synchronization circuit 100_1b may operate as a latch.

因應於掃描賦能訊號SE,開關SW可阻擋時脈訊號CLK向從栓鎖器130輸入。因此,可基於掃描賦能訊號SE來判斷是否實行從栓鎖器130的栓鎖操作。開關SW可實作為各種類型。 In response to the scan enable signal SE, the switch SW blocks the clock signal CLK from being input to the slave latch 130 . Therefore, whether to perform the latch operation of the slave latch 130 can be determined based on the scan enable signal SE. The switch SW can be implemented in various types.

舉例而言,若掃描賦能訊號SE具有第一邏輯位準(例如,邏輯高位準),則時脈訊號CLK可被輸入至從栓鎖器130,且若掃描賦能訊號SE具有第二邏輯位準(例如,邏輯低位準),則時脈訊號CLK可不被輸入至從栓鎖器130。因此,從栓鎖器130可在掃描測試模式a中實行栓鎖操作,且在功能運作模式b中,從栓鎖器130可不實行栓鎖操作。 For example, if the scan enable signal SE has a first logic level (eg, a logic high level), the clock signal CLK may be input to the slave latch 130 , and if the scan enable signal SE has a second logic level level (eg, a logic low level), the clock signal CLK may not be input to the slave latch 130 . Therefore, the slave latch 130 may perform the latch operation in the scan test mode a, and the slave latch 130 may not perform the latch operation in the functional operation mode b.

在圖6中,闡述其中阻擋時脈訊號CLK自從栓鎖器130外部向從栓鎖器130內輸入的配置,但根據本發明實施例的第一同步電路100_1b並非僅限於此。第一同步電路100_1b可更包括開關SW,開關SW阻擋第二內部訊號IS2自從栓鎖器130外部向從栓鎖器130內輸入。舉例而言,所述開關可被配置成使得若掃描賦能訊號SE具有邏輯高位準(掃描測試模式a),則第二內部訊號IS2被輸入至從栓鎖器130,且若掃描賦能訊號SE具有邏輯低位準(功能運作模式b),則第二內部訊號IS2不被輸入至從栓鎖器130。 In FIG. 6 , a configuration in which the clock signal CLK is blocked from being input from outside the latch 130 to the inside of the slave latch 130 is illustrated, but the first synchronization circuit 100_1b according to the embodiment of the present invention is not limited to this. The first synchronization circuit 100_1b may further include a switch SW that blocks the second internal signal IS2 from being input from outside the slave latch 130 to the slave latch 130 . For example, the switch may be configured such that if the scan enable signal SE has a logic high level (scan test mode a), the second internal signal IS2 is input to the slave latch 130, and if the scan enable signal SE SE has a logic low level (functional operation mode b), then the second internal signal IS2 is not input to the slave latch 130 .

在功能運作模式b中,由於僅使用主栓鎖器120,從栓 鎖器130可不實行栓鎖操作,且因此,第一同步電路100_1b的功耗降低。此外,從栓鎖器130不運作,且因此,即使當從栓鎖器130中所包括的電晶體的臨限電壓分別為高時,第一同步電路100_1b的運作速度亦不降低。 In functional operating mode b, since only the master latch 120 is used, the slave latch The latch 130 may not perform the latch operation, and therefore, the power consumption of the first synchronization circuit 100_1b is reduced. In addition, the slave latch 130 does not operate, and therefore, even when the threshold voltages of the transistors included in the slave latch 130 are respectively high, the operation speed of the first synchronization circuit 100_1b does not decrease.

圖7是示出根據示例性實施例的設計IC的計算系統1000的方塊圖。 7 is a block diagram illustrating a computing system 1000 for designing an IC according to an exemplary embodiment.

參照圖7,設計IC的計算系統(在下文中稱作IC設計系統)1000可包括處理器1100、記憶體1200、輸入/輸出(input/output,I/O)裝置1300、儲存裝置1400及匯流排1500。在示例性實施例中,IC設計系統1000可利用積體裝置來實作,且因此,可為IC設計裝置。IC設計系統1000可被提供作為設計半導體裝置的IC的專用裝置,但可為驅動各種模擬工具或設計工具的電腦。 Referring to FIG. 7 , a computing system 1000 for designing an IC (hereinafter referred to as an IC design system) may include a processor 1100 , a memory 1200 , an input/output (I/O) device 1300 , a storage device 1400 and a bus 1500. In an exemplary embodiment, IC design system 1000 may be implemented using an integrated device, and thus, may be an IC design device. The IC design system 1000 may be provided as a dedicated device for designing ICs of semiconductor devices, but may be a computer driving various simulation tools or design tools.

計算系統1000可設計圖1所示IC 10,且因此設計包括圖2、圖3A、圖3B、圖4、圖5及圖6所示第一同步電路100_1、100_1'、100_1a及100_1b中的一者的IC。 The computing system 1000 can design the IC 10 shown in Figure 1, and therefore the design includes one of the first synchronization circuits 100_1, 100_1', 100_1a and 100_1b shown in Figures 2, 3A, 3B, 4, 5 and 6. the IC of the person.

處理器1100可包括處理電路系統,所述處理電路系統包括但不限於處理器、中央處理單元(Central Processing Unit,CPU)、控制器、算術邏輯單元(arithmetic logic unit,ALU)、數位訊號處理器、微電腦、現場可程式閘陣列(field programmable gate array,FPGA)、應用專用積體電路(Application Specific Integrated Circuit,ASIC)、系統晶片(System-on-Chip,SoC)、 可程式邏輯單元、微處理器或能夠以預定義方式實行操作的任何其他裝置。 The processor 1100 may include processing circuitry including, but not limited to, a processor, a central processing unit (CPU), a controller, an arithmetic logic unit (ALU), a digital signal processor , microcomputer, field programmable gate array (field programmable gate array, FPGA), application specific integrated circuit (Application Specific Integrated Circuit, ASIC), system-on-chip (SoC), Programmable logic unit, microprocessor, or any other device capable of performing operations in a predefined manner.

處理器1100可藉由佈局設計或執行儲存於記憶體1200中的電腦可讀取指令而被配置成特殊用途電腦(special purpose computer)以實行設計IC的各種操作中的至少一者。 The processor 1100 may be configured as a special purpose computer by layout design or execution of computer-readable instructions stored in the memory 1200 to perform at least one of various operations for designing an IC.

處理器1100可藉由匯流排1500而與記憶體1200、I/O裝置1300及儲存裝置1400進行通訊。處理器1100可驅動被加載至記憶體1200中的合成模組(synthesis module)1210、放置及路由(placement and routing,P&R)模組1220及靜態時序分析(static timing analysis,STA)模組1230,藉此實行設計IC的操作。以下將參照圖10闡述處理器1100執行模組中的每一者中所儲存的代碼的操作。 The processor 1100 can communicate with the memory 1200, the I/O device 1300, and the storage device 1400 through the bus 1500. The processor 1100 can drive the synthesis module 1210, the placement and routing (P&R) module 1220, and the static timing analysis (static timing analysis (STA) module 1230) loaded into the memory 1200, This is used to implement the operation of designing IC. The operation of the processor 1100 to execute the code stored in each of the modules will be described below with reference to FIG. 10 .

記憶體1200可儲存合成模組1210、P&R模組1220及STA模組1230。合成模組1210、P&R模組1220及STA模組1230可自儲存裝置1400加載至記憶體1200中。 The memory 1200 can store the synthesis module 1210, the P&R module 1220 and the STA module 1230. The synthesis module 1210, the P&R module 1220 and the STA module 1230 can be loaded from the storage device 1400 into the memory 1200.

合成模組1210可為包括用於實行邏輯合成操作及可測試性設計(DFT)邏輯插入操作的多個指令的程式。P&R模組1220可為包括用於實行P&R操作的多個指令的程式。STA模組1230可為包括用於實行STA操作的多個指令的程式。 Synthesis module 1210 may be a program that includes a plurality of instructions for performing logic synthesis operations and design for test (DFT) logic insertion operations. P&R module 1220 may be a program that includes a plurality of instructions for performing P&R operations. STA module 1230 may be a program that includes a plurality of instructions for performing STA operations.

記憶體1200可為揮發性記憶體,例如靜態隨機存取記憶體(static random access memory,SRAM)或動態隨機存取記憶體(dynamic random access memory,DRAM),抑或可為非揮發性 記憶體,例如相變隨機存取記憶體(phase-change random access memory,PRAM)、磁阻式隨機存取記憶體(magnetoresistive random access memory,MRAM)、電阻式隨機存取記憶體(resistive random access memory,ReRAM)、鐵電式隨機存取記憶體(ferroelectric random access memory,FRAM)或反或快閃記憶體。 The memory 1200 may be a volatile memory, such as a static random access memory (SRAM) or a dynamic random access memory (DRAM), or it may be non-volatile. Memory, such as phase-change random access memory (PRAM), magnetoresistive random access memory (MRAM), resistive random access memory (resistive random access memory) memory (ReRAM), ferroelectric random access memory (ferroelectric random access memory (FRAM)) or reverse or flash memory.

I/O裝置1300可控制藉由使用者介面裝置而實行的使用者輸入及輸出。舉例而言,I/O裝置1300可包括例如鍵盤、滑鼠裝置及觸摸板(touch pad)等一或多個輸入裝置且可接收定義IC的輸入資料。舉例而言,I/O裝置1300可包括例如顯示器及揚聲器等輸出裝置且可顯示放置結果、路由結果、STA結果等。 I/O device 1300 may control user input and output via user interface devices. For example, the I/O device 1300 may include one or more input devices such as a keyboard, a mouse device, and a touch pad and may receive input data that defines the IC. For example, I/O device 1300 may include output devices such as a display and speakers and may display placement results, routing results, STA results, etc.

儲存裝置1400可儲存與合成模組1210、P&R模組1220及STA模組1230相關聯的各種資料。儲存裝置1400可包括記憶卡(例如,多媒體卡(multimedia card,MMC)、嵌置多媒體卡(embedded multi-media card,eMMC)、保全數位(secure digital,SD)卡、微型SD卡等)、固態驅動機(solid state drive,SSD)、硬碟驅動機(hard disk drive,HDD)及/或類似裝置。 The storage device 1400 can store various data associated with the synthesis module 1210, the P&R module 1220, and the STA module 1230. The storage device 1400 may include a memory card (for example, a multimedia card (MMC), an embedded multi-media card (eMMC), a secure digital (SD) card, a micro SD card, etc.), a solid-state Drive (solid state drive, SSD), hard disk drive (hard disk drive, HDD) and/or similar devices.

圖8示出根據示例性實施例的IC 10A的示意性佈局。在圖8中,為便於說明,IC 10A中所包括的元件可能並不符合比例,且為清晰示出,可被誇大或縮小。 Figure 8 shows a schematic layout of IC 10A according to an exemplary embodiment. In FIG. 8, elements included in IC 10A may not be to scale for ease of illustration and may be exaggerated or reduced for clarity of illustration.

參照圖8,IC 10A可包括標準胞元的實例C01至C07。與同一標準胞元對應的實例可具有相同的佈局,且分別與不同標準胞元對應的實例可分別具有不同的佈局。實例C01至C07可被 分類並放置於多個列R01至R04中。實例C01至C07可各自具有在與在X方向上延伸的所述多個列R01至R04垂直的方向(即Y方向)上定義的長度H(即高度),且可在與所述多個列R01至R04平行的方向(即X方向)上具有相同的長度(即寬度)或不同的長度(即寬度)。其中實例C01至C07被分類的所述多個列R01至R04可各自具有匹配標準胞元的最小高度的高度。 Referring to Figure 8, IC 10A may include instances of standard cells C01 through C07. Instances corresponding to the same standard cell may have the same layout, and instances corresponding to different standard cells may have different layouts. Instances C01 to C07 can be Classify and place in multiple columns R01 to R04. The instances C01 to C07 may each have a length H (i.e., a height) defined in a direction perpendicular to the plurality of columns R01 to R04 extending in the R01 to R04 have the same length (ie, width) or different lengths (ie, width) in the parallel direction (ie, X direction). The plurality of columns R01 to R04 in which instances C01 to C07 are classified may each have a height that matches the minimum height of a standard cell.

放置於IC 10A中的標準胞元的實例C01至C07中的至少一者可為同步電路且可為圖2、圖3A、圖3B、圖4、圖5及圖6所示第一同步電路100_1、100_1'、100_1a及100_1b中的一者。 At least one of the instances C01 to C07 of the standard cells placed in the IC 10A may be a synchronization circuit and may be the first synchronization circuit 100_1 shown in FIGS. 2, 3A, 3B, 4, 5, and 6 One of , 100_1', 100_1a and 100_1b.

IC 10A中所包括的標準胞元可基於標準胞元的例如功能及時序特性等物理特性而自包含關於多個標準胞元的資訊的標準胞元庫中選擇,且藉由放置所選擇的所述標準胞元的實例,可產生IC 10A的佈局。標準胞元庫可包含關於各種標準胞元的資訊(例如,關於標準胞元的功能資訊及時序資訊以及關於佈局的拓撲資訊)。根據示例性實施例的標準胞元庫可包括正反器胞元群組、栓鎖器胞元群組及正反器栓鎖器胞元群組。以下將參照圖9闡述標準胞元庫。 The standard cells included in the IC 10A may be selected from a standard cell library containing information about a plurality of standard cells based on physical characteristics of the standard cells, such as functional and timing characteristics, and by placing the selected The following example of a standard cell can be used to generate the layout of IC 10A. The standard cell library may contain information about various standard cells (for example, functional information and timing information about the standard cells and topological information about the layout). A standard cell library according to an exemplary embodiment may include a flip-flop cell group, a latch cell group, and a flip-flop latch cell group. The standard cell library will be explained below with reference to FIG. 9 .

圖9是示出根據示例性實施例的標準胞元庫1410的圖。標準胞元庫1410儲存於圖7所示儲存裝置1400中。 Figure 9 is a diagram illustrating a standard cell library 1410 according to an exemplary embodiment. The standard cell library 1410 is stored in the storage device 1400 shown in FIG. 7 .

參照圖9,標準胞元庫1410可包含關於具有不同特性的多個標準胞元的特性的資訊。舉例而言,標準胞元庫1410可包含關於標準胞元的功率特性、時序特性或形狀特性的資訊。 Referring to FIG. 9 , the standard cell library 1410 may include information on characteristics of a plurality of standard cells having different characteristics. For example, the standard cell library 1410 may include information about power characteristics, timing characteristics, or shape characteristics of standard cells.

標準胞元庫1410可包括正反器胞元群組1411、栓鎖器胞元群組1413及正反器栓鎖器胞元群組1415。正反器胞元群組1411可包含關於具有不同特性的正反器標準胞元的資訊,且栓鎖器胞元群組1413可包含關於具有不同特性的栓鎖器標準胞元的資訊。 The standard cell library 1410 may include a flip-flop cell group 1411, a latch cell group 1413, and a flip-flop latch cell group 1415. The flip-flop cell group 1411 may include information about flip-flop standard cells having different characteristics, and the latch cell group 1413 may include information about latch standard cells having different characteristics.

正反器胞元群組1411可包含關於無論模式如何均作為正反器運作的同步裝置的標準胞元的資訊,且栓鎖器胞元群組1413可包含關於無論模式如何均作為栓鎖器運作的同步裝置的標準胞元的資訊。 Flip-flop cell group 1411 may include information about standard cells of synchronization devices that operate as flip-flops regardless of mode, and latch cell group 1413 may include information about standard cells that operate as latches regardless of mode. Information about the standard cells of the operating synchronization device.

正反器栓鎖器胞元群組1415可包含關於視模式而作為正反器或栓鎖器運作的同步裝置的標準胞元的資訊。舉例而言,正反器栓鎖器胞元群組1415可包含關於圖2、圖3A、圖3B、圖4、圖5及圖6所示第一同步電路100_1、100_1'、100_1a及100_1b的資訊。 Flip-flop latch cell group 1415 may contain information about standard cells of synchronization devices that operate as flip-flops or latches, depending on the mode. For example, the flip-flop latch cell group 1415 may include cells related to the first synchronization circuits 100_1, 100_1', 100_1a, and 100_1b shown in FIG. 2, FIG. 3A, FIG. 3B, FIG. 4, FIG. 5, and FIG. 6. information.

根據示例性實施例,設計IC的計算系統可參照標準胞元庫1410產生IC的佈局資料。 According to an exemplary embodiment, a computing system that designs an IC may generate layout information for the IC with reference to the standard cell library 1410 .

圖10是示出根據示例性實施例的設計IC的方法的流程圖。圖11是用於闡述圖10所示操作S110的示例性實施例的流程圖。圖12是用於闡述圖10所示操作S120的示例性實施例的流程圖。 FIG. 10 is a flowchart illustrating a method of designing an IC according to an exemplary embodiment. FIG. 11 is a flowchart illustrating an exemplary embodiment of operation S110 shown in FIG. 10 . FIG. 12 is a flowchart illustrating an exemplary embodiment of operation S120 shown in FIG. 10 .

參照圖7及圖10,設計IC的方法可對應於設計IC的佈局的過程且可使用用於設計IC的工具來實行。在此種情形中,用 於設計IC的工具可為軟體模組或包括由處理器執行的多個指令的程式且可儲存於電腦可讀取儲存媒體中。因此,設計IC的方法可被稱作用於設計IC的電腦實作方法。可藉由圖7所示計算系統1000來執行圖10中所示設計IC的方法。藉由設計方法而設計的IC可為圖1所示IC 10,且因此可包括圖2、圖3A、圖3B、圖4、圖5及圖6所示第一同步電路100_1、100_1'、100_1a及100_1b中的一者。 Referring to FIGS. 7 and 10 , a method of designing an IC may correspond to a process of designing a layout of the IC and may be performed using a tool for designing the IC. In this case, use Tools for designing ICs may be software modules or programs that include a plurality of instructions executed by a processor and may be stored in a computer-readable storage medium. Therefore, the method of designing the IC may be referred to as a computer-implemented method for designing the IC. The method of designing an IC shown in FIG. 10 can be performed by the computing system 1000 shown in FIG. 7 . The IC designed by the design method can be the IC 10 shown in Figure 1, and therefore can include the first synchronization circuits 100_1, 100_1', 100_1a shown in Figures 2, 3A, 3B, 4, 5 and 6 and one of 100_1b.

參照圖7及圖10,在操作S110中,可由處理器1100使用合成模組1210實行合成操作。「合成」可為將IC的輸入資料轉換成包括邏輯閘的硬體以產生網表(netlist)的操作且可被稱作「邏輯合成(logic synthesis)」。輸入資料可為與IC的操作對應的抽象型(例如,定義於暫存器轉移層階(register transfer level,RTL)中的資料)。可使用標準胞元庫自RTL代碼產生網表,且所述網表可為閘極層階(gate level)的網表。在實施例中,可將RTL代碼作為去往合成工具的輸入檔案來提供,且可將網表作為來自所述合成工具的輸出檔案來提供。網表可包括多個標準胞元以及關於所述標準胞元的連接關係的資訊。 Referring to FIGS. 7 and 10 , in operation S110 , the processor 1100 may use the synthesis module 1210 to perform a synthesis operation. "Synthesis" may be the operation of converting input data of an IC into hardware including logic gates to generate a netlist and may be referred to as "logic synthesis." The input data may be of an abstract type corresponding to the operation of the IC (eg, data defined in a register transfer level (RTL)). A netlist can be generated from the RTL code using a standard cell library, and the netlist can be a gate level netlist. In embodiments, the RTL code may be provided as an input file to a synthesis tool, and the netlist may be provided as an output file from the synthesis tool. The netlist may include a plurality of standard cells and information about connection relationships of the standard cells.

參照圖11,在實行合成操作的過程中,處理器1100可實行操作S111至S117。 Referring to FIG. 11, in performing the synthesis operation, the processor 1100 may perform operations S111 to S117.

在操作S111中,處理器1100可執行合成模組1210以選擇分別與構成掃描測試電路的多個同步電路對應的多個標準胞元。此時,處理器1100可執行合成模組1210中所包括的代碼以 基於輸入資料及圖9所示標準胞元庫1410選擇所述多個標準胞元。 In operation S111, the processor 1100 may execute the synthesis module 1210 to select a plurality of standard cells respectively corresponding to a plurality of synchronization circuits constituting the scan test circuit. At this time, the processor 1100 can execute the code included in the synthesis module 1210 to The plurality of standard cells are selected based on the input data and the standard cell library 1410 shown in FIG. 9 .

在功能運作模式中,處理器1100可為其中保持時序裕量充足的時序路徑選擇正反器栓鎖器胞元(例如,其中保持時序裕量等於或大於特定值的情形)。亦即,基於圖9所示標準胞元庫1410,處理器1100可在其中保持時間在功能運作模式中為非關鍵(non-critical)的情形中選擇並放置正反器栓鎖器胞元。 In a functional mode of operation, the processor 1100 may select a flip-flop latch cell for a timing path in which sufficient timing margin is maintained (eg, a situation in which the maintaining timing margin is equal to or greater than a certain value). That is, based on the standard cell library 1410 shown in FIG. 9, the processor 1100 can select and place the flip-flop latch cell in a situation where the holding time is non-critical in the functional operating mode.

在示例性實施例中,在操作S113中,處理器1100可判斷在其中保持時序裕量在功能運作模式中等於或大於特定值的時序路徑中是否包括所選擇標準胞元的正反器胞元。 In an exemplary embodiment, in operation S113, the processor 1100 may determine whether a flip-flop cell of the selected standard cell is included in a timing path in which the timing margin is maintained to be equal to or greater than a specific value in the functional operation mode. .

在操作S115中,處理器1100可基於圖9所示標準胞元庫1410而以正反器栓鎖器胞元取代在其中保持時序裕量等於或大於特定值的時序路徑中所包括的正反器胞元。當在功能運作模式中正反器胞元的保持時序裕量等於或大於當用於取代的正反器栓鎖器胞元作為栓鎖器運作時的啟用週期的長度時,處理器1100可確定保持時序裕量等於或大於特定值且可確定保持時間為非關鍵。 In operation S115, the processor 1100 may replace the flip-flops included in the timing path in which the timing margin is equal to or greater than a specific value with the flip-flop latch cells based on the standard cell library 1410 shown in FIG. organ cells. The processor 1100 may determine when the hold-up margin of the flip-flop cell in the functional mode of operation is equal to or greater than the length of the enable period when the replaced flip-flop latch cell operates as a latch. The hold slack is equal to or greater than a specific value and the hold time can be determined to be non-critical.

在示例性實施例中,處理器1100可執行合成模組1210以較佳地選擇正反器胞元,且接著可以正反器栓鎖器胞元取代為其中保持時序裕量在功能運作模式中為充足的時序路徑而選擇的正反器胞元。 In an exemplary embodiment, the processor 1100 may execute the synthesis module 1210 to optimally select a flip-flop cell, and may then replace the flip-flop latch cell therein to maintain timing margin in a functional mode of operation. Flip-flop cells selected for adequate timing paths.

若其中保持時序裕量等於或大於特定值的時序路徑中 不包括正反器胞元,則處理器1100可原樣選擇正反器胞元。 If a timing path in which the slack is equal to or greater than a specific value is maintained If the flip-flop cell is not included, the processor 1100 may select the flip-flop cell as is.

在以正反器栓鎖器胞元取代正反器胞元的情形中,在操作S117中,處理器1100可基於時脈訊號的極性而再次連接構成掃描測試電路的標準胞元。合成模組1210可將DFT邏輯插入IC中,所述DFT邏輯用於連接構成掃描測試電路的多個同步電路。 In the case of replacing the flip-flop cell with the flip-flop latch cell, the processor 1100 may reconnect the standard cells constituting the scan test circuit based on the polarity of the clock signal in operation S117. The synthesis module 1210 can insert DFT logic into the IC, which is used to connect multiple synchronization circuits that constitute the scan test circuit.

在示例性實施例中,在掃描測試模式中的取代之後被施加到正反器栓鎖器胞元的時脈訊號可相對於在取代之前被施加至正反器胞元的時脈訊號而言具有反相位準。亦即,被施加至反相器栓鎖器胞元的時脈訊號的極性可與被施加至正反器胞元的時脈訊號的極性相反。 In an exemplary embodiment, a clock signal applied to a flip-flop latch cell after substitution in scan test mode may be relative to a clock signal applied to a flip-flop cell prior to substitution. Has reverse phase. That is, the polarity of the clock signal applied to the inverter latch cell may be opposite to the polarity of the clock signal applied to the flip-flop cell.

舉例而言,圖3A及圖5所示第一同步電路100_1及100_1a可在功能運作模式中作為高位準啟用栓鎖器運作且可在掃描測試模式中作為負緣正反器運作,且因此,功能運作模式中的極性可與掃描測試模式中的極性相反。因此,在其中以與圖3A及圖5所示第一同步電路100_1及100_1a中的每一者對應的正反器栓鎖器胞元來取代正反器胞元的情形中,所述正反器栓鎖器胞元可基於時脈訊號的極性而再次連接至構成掃描測試電路的其他標準胞元。此種說明可適用於與圖3B所示第一同步電路100_1'對應的正反器栓鎖器胞元。 For example, the first synchronization circuits 100_1 and 100_1a shown in FIG. 3A and FIG. 5 can operate as high-level enable latches in the functional operation mode and can operate as negative-edge flip-flops in the scan test mode, and therefore, The polarity in the functional operating mode can be reversed from the polarity in the scan test mode. Therefore, in the case where the flip-flop cell is replaced by a flip-flop latch cell corresponding to each of the first synchronization circuits 100_1 and 100_1a shown in FIGS. 3A and 5 , the flip-flop The latch cell can be reconnected to other standard cells that make up the scan test circuit based on the polarity of the clock signal. This description can be applied to the flip-flop latch cell corresponding to the first synchronization circuit 100_1' shown in FIG. 3B.

參照圖7及圖10,在操作S120中,藉由使用P&R模組1220,處理器1100可放置及路由定義IC的標準胞元且可產生IC的佈局資料。舉例而言,佈局資料可為基於圖形設計系統(graphic design system,GDS)II的資料。在示例性實施例中,可將網表作為去往P&R模組1220的輸入檔案來提供,且可將佈局資料作為來自P&R模組1220的輸出檔案而輸出。 Referring to FIGS. 7 and 10 , in operation S120 , by using the P&R module 1220 , the processor 1100 may place and route standard cells defining the IC and may generate layout data of the IC. For example, the layout data may be based on a graphic design system (graphic design system, GDS)II information. In an exemplary embodiment, the netlist may be provided as an input file to the P&R module 1220 and the layout data may be output as an output file from the P&R module 1220 .

參照圖12,在實行放置及路由操作的過程中,處理器1100可實行操作S121至S127。 Referring to FIG. 12, in the process of performing placement and routing operations, the processor 1100 may perform operations S121 to S127.

在操作S121中,處理器1100可執行P&R模組1220中所包括的執行代碼以放置定義掃描測試電路的標準胞元且可路由所放置的標準胞元中所包括的網(net)。 In operation S121, the processor 1100 may execute the execution code included in the P&R module 1220 to place a standard cell defining the scan test circuit and may route a net included in the placed standard cell.

在操作S123中,處理器1100可判斷在其中保持時序裕量在功能運作模式中等於或大於特定值的時序路徑中是否包括所選擇標準胞元的正反器胞元。 In operation S123, the processor 1100 may determine whether the flip-flop cell of the selected standard cell is included in a timing path in which the timing margin is maintained to be equal to or greater than a specific value in the functional operation mode.

在操作S125中,處理器1100可基於圖9所示標準胞元庫1410而以正反器栓鎖器胞元取代在其中保持時序裕量等於或大於特定值的時序路徑中所包括的正反器胞元。亦即,處理器1100可執行P&R模組1220以基於圖9所示標準胞元庫1410而以正反器栓鎖器胞元取代其中在功能運作模式中保持時間為非關鍵的正反器胞元。 In operation S125, the processor 1100 may replace the flip-flops included in the timing path in which the timing margin is equal to or greater than a specific value with the flip-flop latch cells based on the standard cell library 1410 shown in FIG. organ cells. That is, the processor 1100 may execute the P&R module 1220 to replace the flip-flop cells in which the hold time is not critical in the functional operation mode with the flip-flop latch cells based on the standard cell library 1410 shown in FIG. 9 Yuan.

在操作S127中,當以正反器栓鎖器胞元取代正反器胞元時,處理器1100可基於時脈訊號的極性而再次路由標準胞元。 In operation S127, when replacing the flip-flop cell with the flip-flop latch cell, the processor 1100 may re-route the standard cell based on the polarity of the clock signal.

當其中保持時序裕量等於或大於特定值的時序路徑中不包括正反器胞元時,處理器1100可在不取代正反器胞元的條件下原樣放置正反器胞元且可路由所放置的標準胞元。 When a flip-flop cell is not included in a timing path in which timing margin is maintained equal to or greater than a specific value, the processor 1100 may place the flip-flop cell as-is without replacing the flip-flop cell and may route all flip-flop cells. The standard cell to place.

當路由完成時,處理器1100可產生IC的佈局資料。 When routing is complete, processor 1100 may generate layout information for the IC.

再次參照圖7及圖10,在操作S130中,藉由使用STA模組1230,處理器1100可對佈局資料實行STA操作。 Referring again to FIG. 7 and FIG. 10 , in operation S130 , by using the STA module 1230 , the processor 1100 can perform an STA operation on the layout data.

在操作S130中,處理器1100可執行STA模組1230中所包括的代碼以判斷是否違反時序。「時序分析」可表示以下一種操作:判斷IC中所包括的時序路徑是否滿足時序約束條件(時序約束),並基於所述判斷的結果而自所述時序路徑中選擇IC的時序關鍵路徑或其中自輸入(即起點)至輸出(即終點)的總時間延遲超過時序要求條件(時序要求)的時序路徑。時序約束條件可包括設定時序約束條件(setup timing constraint condition)及保持時序約束條件(hold timing constraint condition)。 In operation S130, the processor 1100 may execute the code included in the STA module 1230 to determine whether timing is violated. "Timing analysis" can mean an operation of determining whether the timing paths included in the IC satisfy timing constraints (timing constraints), and selecting the timing critical path of the IC or one of them from the timing paths based on the result of the determination. A timing path in which the total time delay from input (i.e., starting point) to output (i.e., end point) exceeds the timing requirements (timing requirements). Timing constraints may include setup timing constraint conditions and hold timing constraint conditions.

當確定不違反時序時,設計操作可結束。另一方面,當確定違反時序時,處理器1100可再次實行操作S120。 When it is determined that timing is not violated, the design operation may end. On the other hand, when it is determined that the timing is violated, the processor 1100 may perform operation S120 again.

基於時序分析確定結果,處理器1100可執行P&R模組1220以利用正反器栓鎖器胞元取代至少一些正反器胞元或利用正反器胞元取代至少一些正反器栓鎖器胞元。舉例而言,處理器1100可執行P&R模組1220,且因此,在其中保持時序裕量充足的時序路徑中,可利用正反器栓鎖器胞元取代正反器胞元。另一方面,當保持時序裕量為非充足時,處理器1100可執行P&R模組1220以利用正反器胞元取代正反器栓鎖器胞元。此外,在被再次實行的操作S120中,在取代標準胞元之後,可基於時脈訊號的極性而將所述標準胞元再次連接至掃描測試電路。 Based on the timing analysis determination, the processor 1100 may execute the P&R module 1220 to replace at least some of the flip-flop cells with flip-flop latch cells or to replace at least some of the flip-flop latch cells with flip-flop cells. Yuan. For example, processor 1100 may execute P&R module 1220 and, therefore, flip-flop cells may be replaced with flip-flop latch cells in timing paths where sufficient timing margin is maintained. On the other hand, when the holding timing margin is insufficient, the processor 1100 may execute the P&R module 1220 to replace the flip-flop latch cells with flip-flop cells. In addition, in the re-executed operation S120, after replacing the standard cell, the standard cell may be connected to the scan test circuit again based on the polarity of the clock signal.

圖13是用於闡述根據示例性實施例的製造IC的方法的流程圖。 13 is a flowchart for explaining a method of manufacturing an IC according to an exemplary embodiment.

參照圖13,可將製造IC的方法劃分成設計IC的操作S10及製造IC的操作S20。製造IC的操作S20可為使用佈局資料來製造基於所述IC的半導體裝置的操作且可藉由半導體處理模組來實行,所述佈局資料是在設計所述IC的操作S10中產生。藉由製造方法而製造的IC可為圖1所示IC 10,且因此可包括圖2、圖3A、圖3B、圖4、圖5及圖6所示第一同步電路100_1、100_1'、100_1a及100_1b中的一者。 Referring to FIG. 13 , the method of manufacturing an IC can be divided into an operation S10 of designing the IC and an operation S20 of manufacturing the IC. The operation S20 of manufacturing the IC may be an operation of manufacturing a semiconductor device based on the IC using layout data generated in the operation S10 of designing the IC and may be performed by a semiconductor processing module. The IC manufactured by the manufacturing method may be the IC 10 shown in Figure 1, and therefore may include the first synchronization circuits 100_1, 100_1', 100_1a shown in Figures 2, 3A, 3B, 4, 5 and 6 and one of 100_1b.

在操作S210中,可基於佈局資料來產生遮罩(mask)。首先,可基於所述佈局資料來實行光學鄰近校正(optical proximity correction,OPC)。在此種情形中,OPC可表示藉由基於光學鄰近效應反映錯誤來改變佈局的製程。隨後,可基於OPC結果而使用藉由所述改變所獲得的佈局來製造遮罩。在此種情形中,可使用其中反映OPC的佈局(例如,其中反映OPC的GDS II)來製造遮罩。 In operation S210, a mask may be generated based on the layout data. First, optical proximity correction (OPC) can be performed based on the layout data. In this case, OPC may represent a process that changes the layout by reflecting errors based on the optical proximity effect. The layout obtained by the changes can then be used to create masks based on the OPC results. In this case, the mask can be made using a layout in which OPC is reflected (eg, GDS II in which OPC is reflected).

在操作S220中,可使用所述遮罩來製造其中實作有IC的半導體裝置。可藉由使用多個遮罩對例如晶圓等半導體基板實行各種半導體製程來製造其中實作有IC的半導體裝置。舉例而言,使用遮罩的製程可表示使用微影製程(lithography process)的圖案化製程(patterning process)。可藉由圖案化製程在半導體基板或材料層上形成所需圖案。在此種情形中,半導體製程可包 括沈積製程、蝕刻製程、離子製程、清潔製程等。此外,半導體製程可包括在印刷電路板(printed circuit board,PCB)上安裝半導體裝置並利用密封劑(sealant)密封所述半導體裝置的封裝製程且亦可包括測試所述半導體裝置或封裝的測試製程。 In operation S220, the mask may be used to manufacture a semiconductor device in which the IC is implemented. Semiconductor devices with ICs implemented therein can be manufactured by performing various semiconductor processes on a semiconductor substrate such as a wafer using multiple masks. For example, a process using a mask may represent a patterning process using a lithography process. The desired pattern can be formed on the semiconductor substrate or material layer through a patterning process. In this case, the semiconductor process can include Including deposition process, etching process, ion process, cleaning process, etc. In addition, the semiconductor process may include a packaging process of mounting a semiconductor device on a printed circuit board (PCB) and sealing the semiconductor device using a sealant, and may also include a testing process of testing the semiconductor device or package. .

儘管已參照本發明概念的一些示例性實施例特別示出並闡述了本發明概念的示例性實施例,然而應理解,可在不背離以下申請專利範圍的精神及範圍的條件下對其作出各種形式及細節上的變化。 While exemplary embodiments of the inventive concept have been particularly shown and described with reference to some exemplary embodiments thereof, it will be understood that various modifications may be made thereto without departing from the spirit and scope of the following claims. Changes in form and detail.

100_1‧‧‧第一同步電路 100_1‧‧‧First synchronization circuit

110‧‧‧多工器 110‧‧‧Multiplexer

120‧‧‧主栓鎖器 120‧‧‧Main latch

130‧‧‧從栓鎖器 130‧‧‧from latch

a‧‧‧掃描測試模式 a‧‧‧Scan test mode

b‧‧‧功能運作模式 b‧‧‧Functional operation mode

CLK‧‧‧時脈訊號 CLK‧‧‧clock signal

D‧‧‧第二端子/資料輸入訊號 D‧‧‧Second terminal/data input signal

IS1‧‧‧第一內部訊號 IS1‧‧‧First internal signal

IS2‧‧‧第二內部訊號 IS2‧‧‧Second internal signal

Q‧‧‧資料輸出訊號 Q‧‧‧Data output signal

SE‧‧‧掃描賦能訊號 SE‧‧‧Scanning Enablement Signal

SI‧‧‧第一端子/掃描輸入訊號 SI‧‧‧First terminal/scan input signal

SO‧‧‧掃描輸出訊號 SO‧‧‧Scan output signal

Claims (24)

一種積體電路(IC),包括:第一同步電路,被配置成與時脈訊號同步運作,所述第一同步電路包括:選擇器,包括第一輸入端子、第二輸入端子及第三輸入端子,所述第一輸入端子被配置成接收第一輸入訊號,所述第二輸入端子被配置成接收第二輸入訊號,且所述第三輸入端子被配置成接收掃描賦能訊號,所述掃描賦能訊號指示所述第一同步電路以掃描測試模式及功能運作模式的其中一種來運作;以及可重新配置的栓鎖器,被配置成於在所述掃描測試模式中作為正反器運作與在所述功能運作模式中作為栓鎖器運作之間選擇性地切換,以使得在所述掃描測試模式中所述可重新配置的栓鎖器輸出與所述第一輸入訊號對應的第一輸出訊號且在所述功能運作模式中所述可重新配置的栓鎖器輸出與所述第二輸入訊號對應的第二輸出訊號。 An integrated circuit (IC) includes: a first synchronous circuit configured to operate synchronously with a clock signal, the first synchronous circuit including: a selector including a first input terminal, a second input terminal and a third input terminals, the first input terminal is configured to receive a first input signal, the second input terminal is configured to receive a second input signal, and the third input terminal is configured to receive a scan enable signal, the The scan enable signal instructs the first synchronization circuit to operate in one of a scan test mode and a functional operation mode; and the reconfigurable latch is configured to operate as a flip-flop in the scan test mode. Selectively switching between operating as a latch in the functional operating mode, such that the reconfigurable latch outputs a first signal corresponding to the first input signal in the scan test mode. output signal and in the functional mode of operation the reconfigurable latch outputs a second output signal corresponding to the second input signal. 如申請專利範圍第1項所述的積體電路,其中所述可重新配置的栓鎖器包括:第一節點,被配置成輸出所述第一輸出訊號;以及第二節點,被配置成輸出所述第二輸出訊號。 The integrated circuit as claimed in claim 1, wherein the reconfigurable latch includes: a first node configured to output the first output signal; and a second node configured to output the second output signal. 如申請專利範圍第1項所述的積體電路,其中所述選擇器被配置成因應於所述掃描賦能訊號而選擇所述第 一輸入訊號及所述第二輸入訊號中的一者以輸出作為第一內部訊號,且所述可重新配置的栓鎖器包括:主栓鎖器,被配置成基於所述時脈訊號而栓鎖所述第一內部訊號以輸出第二內部訊號;以及從栓鎖器,被配置成基於所述時脈訊號而栓鎖所述第二內部訊號。 The integrated circuit of claim 1, wherein the selector is configured to select the first scan enable signal in response to the scan enable signal. One of an input signal and the second input signal is output as a first internal signal, and the reconfigurable latch includes a master latch configured to latch based on the clock signal. latching the first internal signal to output a second internal signal; and a slave latch configured to latch the second internal signal based on the clock signal. 如申請專利範圍第3項所述的積體電路,其中所述從栓鎖器被配置成接收所述掃描賦能訊號,且所述從栓鎖器被配置成基於所述掃描賦能訊號而選擇性地栓鎖所述第二內部訊號。 The integrated circuit as claimed in claim 3, wherein the slave latch is configured to receive the scan enable signal, and the slave latch is configured to operate based on the scan enable signal. Selectively latching the second internal signal. 如申請專利範圍第4項所述的積體電路,其中在所述功能運作模式中,所述積體電路被配置成阻擋所述第二內部訊號向所述從栓鎖器輸入。 The integrated circuit as claimed in claim 4, wherein in the functional operation mode, the integrated circuit is configured to block the input of the second internal signal to the slave latch. 如申請專利範圍第4項所述的積體電路,其中在所述功能運作模式中,所述積體電路被配置成阻擋所述時脈訊號向所述從栓鎖器輸入。 The integrated circuit as described in claim 4, wherein in the functional operation mode, the integrated circuit is configured to block the input of the clock signal to the slave latch. 如申請專利範圍第3項所述的積體電路,其中所述主栓鎖器與所述從栓鎖器各自包括多個電晶體,且所述從栓鎖器的所述多個電晶體中的每一者的臨限電壓高於所述主栓鎖器的所述多個電晶體中的每一者的臨限電壓。 The integrated circuit as described in claim 3 of the patent application, wherein the master latch and the slave latch each include a plurality of transistors, and one of the plurality of transistors of the slave latch The threshold voltage of each of the plurality of transistors of the main latch is higher than the threshold voltage of each of the plurality of transistors of the main latch. 如申請專利範圍第3項所述的積體電路,其中在所述掃描測試模式中,所述從栓鎖器被配置成輸出所述第 一輸出訊號,且在所述功能運作模式中,所述主栓鎖器被配置成輸出所述第二輸出訊號。 The integrated circuit as described in claim 3 of the patent application, wherein in the scan test mode, the slave latch is configured to output the first an output signal, and in the functional mode of operation, the master latch is configured to output the second output signal. 如申請專利範圍第1項所述的積體電路,其中所述正反器是負緣正反器,且所述可重新配置的栓鎖器包括高位準啟用栓鎖器。 The integrated circuit of claim 1, wherein the flip-flop is a negative-edge flip-flop, and the reconfigurable latch includes a high-level enable latch. 如申請專利範圍第1項所述的積體電路,其中所述正反器是正緣正反器,且所述可重新配置的栓鎖器包括低位準啟用栓鎖器。 The integrated circuit of claim 1, wherein the flip-flop is a positive-edge flip-flop, and the reconfigurable latch includes a low-level enable latch. 如申請專利範圍第1項所述的積體電路,更包括:第二同步電路,被配置成:基於所述掃描賦能訊號而在所述掃描測試模式與所述功能運作模式之間進行切換,基於第三輸入訊號,在所述掃描測試模式中與所述時脈訊號同步地輸出第三輸出訊號,所述第三輸入訊號是自所述第一同步電路輸出的所述第一輸出訊號,且基於所接收的第四輸入訊號,在所述功能運作模式中輸出第四輸出訊號。 The integrated circuit as described in item 1 of the patent application further includes: a second synchronization circuit configured to: switch between the scan test mode and the functional operation mode based on the scan enable signal. , based on a third input signal, a third output signal is output in synchronization with the clock signal in the scan test mode, the third input signal is the first output signal output from the first synchronization circuit , and based on the received fourth input signal, output a fourth output signal in the functional operation mode. 一種儲存標準胞元庫的非暫態電腦可讀取媒體,所述標準胞元庫包含關於多個標準胞元的資訊,所述標準胞元庫在由處理器執行時將所述處理器配置成:藉由處理器執行的合成模組來設計積體電路,所述積體電路 包括與時脈訊號同步運作的同步電路,所述同步電路包括:可重新配置的栓鎖器,被配置成因應於掃描賦能訊號而於在掃描測試模式中作為正反器運作與在功能運作模式中作為栓鎖器運作之間選擇性地切換,以使得在所述掃描測試模式中所述可重新配置的栓鎖器輸出與第一輸入訊號對應的第一輸出訊號且在所述功能運作模式中所述可重新配置的栓鎖器輸出與第二輸入訊號對應的第二輸出訊號。 A non-transitory computer-readable medium storing a library of standard cells that contains information about a plurality of standard cells that, when executed by a processor, configures the processor Integrated circuit: Designing an integrated circuit through a synthesis module executed by a processor. The integrated circuit including a synchronization circuit operating in synchronization with the clock signal, the synchronization circuit including: a reconfigurable latch configured to operate as a flip-flop in a scan test mode and in a functional operation in response to a scan enable signal Selectively switching between operating as a latch in the scan test mode such that the reconfigurable latch outputs a first output signal corresponding to a first input signal and operates in the function The reconfigurable latch in the mode outputs a second output signal corresponding to the second input signal. 如申請專利範圍第12項所述的非暫態電腦可讀取媒體,其中所述同步電路包括選擇器,所述選擇器被配置成因應於所述掃描賦能訊號而選擇所述第一輸入訊號及所述第二輸入訊號中的一者以輸出作為第一內部訊號,且所述可重新配置的栓鎖器包括:主栓鎖器,被配置成基於所述時脈訊號而栓鎖所述第一內部訊號以輸出第二內部訊號;以及從栓鎖器,被配置成基於所述時脈訊號而栓鎖所述第二內部訊號。 The non-transitory computer-readable medium of claim 12, wherein the synchronization circuit includes a selector configured to select the first input in response to the scan enable signal. One of the signal and the second input signal is output as a first internal signal, and the reconfigurable latch includes a master latch configured to latch the clock signal based on the clock signal. The first internal signal is used to output a second internal signal; and the slave latch is configured to latch the second internal signal based on the clock signal. 如申請專利範圍第13項所述的非暫態電腦可讀取媒體,其中所述標準胞元庫在由所述處理器執行時,更將所述處理器配置成將所述積體電路設計成使所述積體電路更包括:切換元件,被配置成基於所述掃描賦能訊號而阻擋所述時脈訊號向所述從栓鎖器輸入。 The non-transitory computer-readable medium as described in claim 13 of the patent application, wherein the standard cell library, when executed by the processor, further configures the processor to convert the integrated circuit design The integrated circuit further includes: a switching element configured to block the input of the clock signal to the slave latch based on the scan enable signal. 如申請專利範圍第13項所述的非暫態電腦可讀取媒體,其中所述標準胞元庫在由所述處理器執行時,更將所述處理器配置成將所述積體電路設計成使得:在所述掃描測試模式中,自所述從栓鎖器輸出所述第一輸出訊號,且在所述功能運作模式中,自所述主栓鎖器輸出所述第二輸出訊號。 The non-transitory computer-readable medium as described in claim 13 of the patent application, wherein the standard cell library, when executed by the processor, further configures the processor to convert the integrated circuit design The method is such that: in the scan test mode, the first output signal is output from the slave latch, and in the functional operation mode, the second output signal is output from the master latch. 如申請專利範圍第13項所述的非暫態電腦可讀取媒體,其中所述標準胞元庫在由所述處理器執行時,更將所述處理器配置成將所述積體電路設計成使得所述主栓鎖器為高位準啟用栓鎖器。 The non-transitory computer-readable medium as described in claim 13 of the patent application, wherein the standard cell library, when executed by the processor, further configures the processor to convert the integrated circuit design This enables the main latch to activate the latch at a high level. 如申請專利範圍第13項所述的非暫態電腦可讀取媒體,其中所述標準胞元庫在由所述處理器執行時,更將所述處理器配置成將所述積體電路設計成使得所述主栓鎖器為低位準啟用栓鎖器。 The non-transitory computer-readable medium as described in claim 13 of the patent application, wherein the standard cell library, when executed by the processor, further configures the processor to convert the integrated circuit design This causes the main latch to activate the latch for a low level. 一種計算系統,包括:儲存裝置,被配置成儲存標準胞元庫,所述標準胞元庫包含關於多個標準胞元的資訊,所述多個標準胞元包括多個正反器栓鎖器胞元及多個正反器胞元;以及處理器,被配置成執行合成模組以設計積體電路(IC),所述積體電路包括具有多個同步電路的掃描測試電路,所述多個同步電路被配置成與時脈訊號同步運作,使得所述多個同步電路中的 至少一者包括:可重新配置的栓鎖器,使用所述多個標準胞元形成,所述可重新配置的栓鎖器被配置成因應於具有第一邏輯位準的掃描賦能訊號而在掃描測試模式中作為正反器運作且因應於具有第二邏輯位準的所述掃描賦能訊號而在功能運作模式中作為栓鎖器運作。 A computing system includes: a storage device configured to store a standard cell library, the standard cell library including information about a plurality of standard cells, the plurality of standard cells including a plurality of flip-flop latches. a cell and a plurality of flip-flop cells; and a processor configured to execute a synthesis module to design an integrated circuit (IC), the integrated circuit including a scan test circuit having a plurality of synchronization circuits, the plurality of A synchronization circuit is configured to operate in synchronization with the clock signal, so that one of the plurality of synchronization circuits At least one includes: a reconfigurable latch formed using the plurality of standard cells, the reconfigurable latch configured to respond to a scan enable signal having a first logic level. Operates as a flip-flop in the scan test mode and operates as a latch in the functional operation mode in response to the scan enable signal having a second logic level. 如申請專利範圍第18項所述的計算系統,其中所述處理器被配置成藉由基於輸入資料及所述標準胞元庫選擇分別與所述多個同步電路對應的標準胞元來設計所述積體電路,使得所述處理器被配置成以所述多個正反器栓鎖器胞元中的第一正反器栓鎖器胞元取代在其中保持時序裕量等於或大於特定值的時序路徑中所包括的所述多個正反器胞元中的第一正反器胞元。 The computing system of claim 18, wherein the processor is configured to design the standard cells by selecting standard cells respectively corresponding to the plurality of synchronous circuits based on input data and the standard cell library. The integrated circuit, such that the processor is configured to replace the flip-flop latch cell with a first flip-flop latch cell in the plurality of flip-flop latch cells to maintain a timing margin equal to or greater than a specified value. The first flip-flop cell among the plurality of flip-flop cells included in the timing path. 如申請專利範圍第19項所述的計算系統,其中所述處理器被配置成將所述積體電路設計成使得在所述掃描測試模式中,被輸入至使用所述多個正反器栓鎖器胞元中的所述第一正反器栓鎖器胞元形成的所述可重新配置的栓鎖器的所述時脈訊號的極性與被輸入至使用所述多個正反器胞元中的所述第一正反器胞元形成的所述可重新配置的栓鎖器的所述時脈訊號的極性相反。 The computing system of claim 19, wherein the processor is configured to design the integrated circuit such that in the scan test mode, the input to the flip-flop toggle is The polarity of the clock signal of the reconfigurable latch formed by the first flip-flop latch cell in the latch cell is the same as that input to the plurality of flip-flop latch cells using the plurality of flip-flop latch cells. The polarity of the clock signal of the reconfigurable latch formed by the first flip-flop cell in the cell is opposite. 如申請專利範圍第18項所述的計算系統,其中所述處理器被配置成藉由以下方式來設計所述積體電路:基於輸入資料及所述標準胞元庫選擇分別與所述多個同步電路對應的標準胞元, 放置及路由所選擇的所述標準胞元以產生所述積體電路的佈局資料,且以所述多個正反器栓鎖器胞元中的第二正反器栓鎖器胞元取代在其中保持時序裕量在所述功能運作模式中大於或等於特定值的時序路徑中所包括的所述多個正反器胞元中的第二正反器胞元。 The computing system of claim 18, wherein the processor is configured to design the integrated circuit in the following manner: based on input data and the standard cell library selection, respectively, with the plurality of The standard cell corresponding to the synchronous circuit, Placing and routing the selected standard cells to generate layout data for the integrated circuit and replacing the second flip-flop latch cell with a second flip-flop latch cell in the plurality of flip-flop latch cells. A second flip-flop cell of the plurality of flip-flop cells included in a timing path in which a timing margin is maintained greater than or equal to a specific value in the functional operating mode. 如申請專利範圍第21項所述的計算系統,其中所述處理器被配置成基於被輸入至所述多個正反器栓鎖器胞元中的所述第二正反器栓鎖器胞元的所述時脈訊號而再次路由所選擇的所述標準胞元。 The computing system of claim 21, wherein the processor is configured to based on the second flip-flop latch cell input to the plurality of flip-flop latch cells. The clock signal of the cell is re-routed to the selected standard cell. 如申請專利範圍第18項所述的計算系統,其中所述處理器被配置成藉由以下方式來設計所述積體電路:基於輸入資料及所述標準胞元庫選擇分別與所述多個同步電路對應的標準胞元,放置及路由所選擇的所述標準胞元以產生所述積體電路的佈局資料,對所述佈局資料實行時序分析操作,以及基於所述時序分析操作的結果,以所述多個正反器胞元中的第三正反器胞元取代所選擇的所述標準胞元中在所述功能運作模式中違反時序的所述多個正反器栓鎖器胞元中的第三正反器栓鎖器胞元。 The computing system of claim 18, wherein the processor is configured to design the integrated circuit in the following manner: based on input data and the standard cell library selection, respectively, with the plurality of Synchronizing the standard cells corresponding to the circuit, placing and routing the selected standard cells to generate layout data of the integrated circuit, performing a timing analysis operation on the layout data, and based on the results of the timing analysis operation, Replacing the plurality of flip-flop latch cells in the selected standard cells that violate timing in the functional operating mode with a third flip-flop cell in the plurality of flip-flop cells The third flip-flop latch cell in the element. 如申請專利範圍第23項所述的計算系統,其中所述處 理器被配置成基於被輸入至所述多個正反器栓鎖器胞元中的所述第三正反器栓鎖器胞元的所述時脈訊號而再次路由所選擇的所述標準胞元。 The computing system as described in item 23 of the patent application, wherein the The processor is configured to reroute the selected criterion based on the clock signal input to the third flip-flop latch cell of the plurality of flip-flop latch cells. cells.
TW107128847A 2017-08-18 2018-08-17 Integrated circuit, non-transitory computer readable medium and computing system TWI821198B (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
KR20170104520 2017-08-18
??10-2017-0104520 2017-08-18
KR10-2017-0104520 2017-08-18
KR1020180033490A KR102563928B1 (en) 2017-08-18 2018-03-22 Standard cell library, Integrated circuit including synchronous circuit, and Computing system for designing the integrated circuit
KR10-2018-0033490 2018-03-22
??10-2018-0033490 2018-03-22

Publications (2)

Publication Number Publication Date
TW201921272A TW201921272A (en) 2019-06-01
TWI821198B true TWI821198B (en) 2023-11-11

Family

ID=65560987

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107128847A TWI821198B (en) 2017-08-18 2018-08-17 Integrated circuit, non-transitory computer readable medium and computing system

Country Status (2)

Country Link
KR (1) KR102563928B1 (en)
TW (1) TWI821198B (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060095819A1 (en) * 2004-10-29 2006-05-04 Cadence Design Systems, Inc. Method and system for clock skew independent scan register chains
TW201028711A (en) * 2009-01-17 2010-08-01 Univ Nat Taiwan Asynchronous scan chain circuit
US20130111285A1 (en) * 2011-10-27 2013-05-02 Lsi Corporation Scan test circuitry comprising scan cells with functional output multiplexing
TW201415052A (en) * 2012-10-02 2014-04-16 Realtek Semiconductor Corp Method of integrated circuit scan clock domain allocation and related machine readable media

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0147619B1 (en) * 1995-01-27 1998-12-01 김광호 Flip-flop controller

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060095819A1 (en) * 2004-10-29 2006-05-04 Cadence Design Systems, Inc. Method and system for clock skew independent scan register chains
TW201028711A (en) * 2009-01-17 2010-08-01 Univ Nat Taiwan Asynchronous scan chain circuit
US20130111285A1 (en) * 2011-10-27 2013-05-02 Lsi Corporation Scan test circuitry comprising scan cells with functional output multiplexing
TW201415052A (en) * 2012-10-02 2014-04-16 Realtek Semiconductor Corp Method of integrated circuit scan clock domain allocation and related machine readable media

Also Published As

Publication number Publication date
KR102563928B1 (en) 2023-08-07
KR20190019817A (en) 2019-02-27
TW201921272A (en) 2019-06-01

Similar Documents

Publication Publication Date Title
US10699054B2 (en) Standard cell library, integrated circuit including synchronous circuit, and computing system for designing the integrated circuit
US10192860B2 (en) Engineering change order (ECO) cell, layout thereof and integrated circuit including the ECO cell
CN108416077B (en) Method and computing system for designing integrated circuits by considering back-end-of-line processes
JP5985900B2 (en) Behavioral synthesis device, data processing system including behavioral synthesis device, and behavioral synthesis program
KR101887319B1 (en) Dynamic margin tuning for controlling custom circuits and memories
US6425115B1 (en) Area efficient delay circuits
JP2011233559A (en) Semiconductor integrated circuit and design method of the same
TW202021273A (en) Integrated circuit
JP2004102799A (en) Register file and method for designing register file
KR20180129617A (en) System and method for improving scan hold-time violation and low voltage operation in sequential circuit
JP4699927B2 (en) Input / output shared terminal control circuit
US10103715B2 (en) Method of laying out a semiconductor device based on switching activity and semiconductor device produced thereby
US8146041B1 (en) Latch based optimization during implementation of circuit designs for programmable logic devices
TWI821198B (en) Integrated circuit, non-transitory computer readable medium and computing system
WO2023143631A1 (en) Trigger unit design method
CN111147068B (en) Full adder integrated circuit, four-input multiplexer integrated circuit and methods of use thereof
US7557606B1 (en) Synchronization of data signals and clock signals for programmable logic devices
US20190043782A1 (en) Configurable wickless capillary-driven constrained vapor bubble (cvb) heat pipe structures
US20110016367A1 (en) Skew tolerant scannable master/slave flip-flop including embedded logic
US10979034B1 (en) Method and apparatus for multi-voltage domain sequential elements
US9960771B2 (en) Hum generation using representative circuitry
US10775433B1 (en) Programmable/configurable logic circuitry, control circuitry and method of dynamic context switching
US10747924B2 (en) Method for manufacturing integrated circuit with aid of pattern based timing database indicating aging effect
US10684975B1 (en) One-hot-bit multiplexer control circuitry and technique
JP2004127012A (en) Synchronous circuit and its design method