TWI817979B - 天線模組及通訊裝置 - Google Patents

天線模組及通訊裝置 Download PDF

Info

Publication number
TWI817979B
TWI817979B TW108101191A TW108101191A TWI817979B TW I817979 B TWI817979 B TW I817979B TW 108101191 A TW108101191 A TW 108101191A TW 108101191 A TW108101191 A TW 108101191A TW I817979 B TWI817979 B TW I817979B
Authority
TW
Taiwan
Prior art keywords
antenna
communication device
package
circuit board
board
Prior art date
Application number
TW108101191A
Other languages
English (en)
Other versions
TW201935772A (zh
Inventor
奧爾 阿斯法
丹尼爾 卡克斯
希德哈士 達爾米亞
喬瑟夫 哈根
尤納森 傑森
諾姆 寇剛
威廉 藍伯特
理察 派瑞
芮恩 索維
莊 邰
拉爾夫 文盛伯
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW201935772A publication Critical patent/TW201935772A/zh
Application granted granted Critical
Publication of TWI817979B publication Critical patent/TWI817979B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/2283Supports; Mounting means by structural association with other equipment or articles mounted in or on the surface of a semiconductor substrate as a chip-type antenna or integrated with other components into an IC package
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q9/00Electrically-short antennas having dimensions not more than twice the operating wavelength and consisting of conductive active radiating elements
    • H01Q9/04Resonant antennas
    • H01Q9/0407Substantially flat resonant element parallel to ground plane, e.g. patch antenna
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • H01Q21/28Combinations of substantially independent non-interacting antenna units or systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/24Supports; Mounting means by structural association with other equipment or articles with receiving set
    • H01Q1/241Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM
    • H01Q1/242Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM specially adapted for hand-held use
    • H01Q1/243Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM specially adapted for hand-held use with built-in antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • H01Q21/06Arrays of individually energised antenna units similarly polarised and spaced apart
    • H01Q21/061Two dimensional planar arrays
    • H01Q21/065Patch antenna array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • H01Q21/06Arrays of individually energised antenna units similarly polarised and spaced apart
    • H01Q21/08Arrays of individually energised antenna units similarly polarised and spaced apart the units being spaced along or adjacent to a rectilinear path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q9/00Electrically-short antennas having dimensions not more than twice the operating wavelength and consisting of conductive active radiating elements
    • H01Q9/04Resonant antennas
    • H01Q9/0407Substantially flat resonant element parallel to ground plane, e.g. patch antenna
    • H01Q9/0414Substantially flat resonant element parallel to ground plane, e.g. patch antenna in a stacked or folded configuration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q9/00Electrically-short antennas having dimensions not more than twice the operating wavelength and consisting of conductive active radiating elements
    • H01Q9/04Resonant antennas
    • H01Q9/0407Substantially flat resonant element parallel to ground plane, e.g. patch antenna
    • H01Q9/0471Non-planar, stepped or wedge-shaped patch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Support Of Aerials (AREA)
  • Waveguide Aerials (AREA)
  • Details Of Aerials (AREA)
  • Variable-Direction Aerials And Aerial Arrays (AREA)
  • Transceivers (AREA)

Abstract

本文中揭露天線板、天線模組、天線板夾具,及通訊裝置。舉例而言,在一些實施例中,一種通訊裝置可包括一積體電路(IC)封裝、一天線平片支撐件,及一個或多個天線平片,其由焊料或一黏著劑耦接至該天線平片支撐件。

Description

天線模組及通訊裝置
相關申請案之交叉參考
本申請案主張2018年2月20日申請且標題為「天線模組及通訊裝置(ANTENNA MODULES AND COMMUNICATION DEVICES)」之美國臨時專利申請案第62/632,994號的優先權。此優先權申請案之全文以引用的方式併入本文中。
本發明係有關於天線模組及通訊裝置。
發明背景
諸如手持型計算裝置及無線存取點之無線通訊裝置包括天線。可發生通訊之頻率可取決於天線或天線陣列之形狀及配置,以及其他因素。
依據本發明之一實施例,係特地提出一種電子總成,其包含:一天線模組,其包括:一積體電路(IC)封裝,一天線平片支撐件,及一個或多個天線平片,其由焊料或一黏著劑耦接至該天線平片支撐件。
較佳實施例之詳細說明
用於毫米波應用之習知天線陣列已利用具有超過14 (例如,超過18)層介電質/金屬層疊之電路板以達成所要效能。此類板通常係昂貴的且低良率的,以及在其金屬密度及介電質厚度方面不平衡。另外,此類板可能難以被測試,且可能不容易能夠併有為達成法規遵循性所需要之屏蔽。
本文中揭露天線板、天線模組、天線板夾具,及可使能夠在緊湊外觀尺寸中進行毫米波通訊之通訊裝置。在本文中所揭露之一些實施例中,一種天線模組可包括一天線板及一個或多個積體電路(IC)封裝,該一個或多個積體電路(IC)封裝可被單獨地製造及組裝,從而使能夠增大設計自由度並改良良率。本文中所揭露之各種天線模組可在操作或安裝期間幾乎不會展現翹曲,易於組裝,係低成本的,能快速上市,具良好的機械處置性,及/或具良好的熱效能。本文中所揭露之各種天線模組可允許將不同天線及/或IC封裝調換至現有模組中。
在以下詳細描述中,參考形成詳細描述之部分的隨附圖式,其中類似數字始終標出類似部件,且其中作為說明而展示可實踐之實施例。應理解,在不脫離本揭露內容之範疇的情況下,可利用其他實施例,且可進行結構或邏輯改變。因此,以下詳細描述不應被視為具限制性意義。
可以最有助於理解所主張之主題的方式將各種操作描述為依次排列之多個離散動作或操作。然而,描述次序不應被認作暗示此等操作必定係次序相依的。詳言之,可能不以呈現次序執行此等操作。可以與所描述之實施例不同的次序執行所描述之操作。在額外實施例中,可執行各種額外操作,及/或可省略所描述之操作。
出於本揭露內容之目的,片語「A及/或B」意謂(A)、(B)或(A及B)。出於本揭露內容之目的,片語「A、B及/或C」意謂(A)、(B)、(C)、(A及B)、(A及C)、(B及C)或(A、B及C)。圖式未必按比例。儘管許多圖式繪示了具有平坦壁及直角拐角之直線結構,但此舉僅僅係為了易於說明,且使用此等技術製造之實際裝置將展現圓形拐角、表面粗糙度及其他特徵。
該描述使用片語「在一實施例中」或「在實施例中」,該等片語可各自係指一個或多個相同或不同實施例。此外,如關於本揭露內容之實施例所使用的術語「包含」、「包括」、「具有」及其類似者係同義的。如本文中所使用,「封裝」及「IC封裝」係同義的。在用以描述尺寸範圍時,片語「在X與Y之間」表示包括X及Y之範圍。出於方便起見,片語「圖23」可用以係指圖23A至圖23B之圖式的集合,且片語「圖29」可用以係指圖29A至圖29B之圖式的集合。
適當時,可將本文中參考任何隨附圖式所論述之任何形貌體與任何其他形貌體組合以形成天線板102、天線模組100或通訊裝置。圖式之數個元件係與其他圖式共用;為了易於論述,不重複此等元件之描述,且此等元件可採取本文中所揭露之實施例中之任一者的形式。
圖1為根據各種實施例之天線模組100的側視橫截面圖。天線模組100可包括耦接至天線板102之IC封裝108。儘管圖1中繪示了單一IC封裝108,但天線模組100可包括超過一個IC封裝108 (例如,如下文參考圖26至圖29所論述)。如下文更詳細地所論述,天線板102可包括導電路徑(例如,由通過一種或多種介電材料之導電通路及線提供)及射頻(RF)傳輸結構(例如,天線饋電結構,諸如帶狀線、微帶狀線或共面波導),其可使一個或多個天線平片104 (未展示)能夠在IC封裝108中之電路系統的控制下傳輸及接收電磁波。在一些實施例中,IC封裝108可由第二層級互連件(未展示,但下文參考圖17論述)耦接至天線板102。在一些實施例中,天線板102之至少一部分可使用印刷電路板(PCB)技術被製造,且可包括介於二個與八個之間的PCB層。下文詳細地論述IC封裝108及天線板102之實例。在一些實施例中,天線模組100可包括用於控制每一不同天線平片104之不同IC封裝108;在其他實施例中,天線模組100可包括具有用以控制多個天線平片104之電路系統的一個IC封裝108。在一些實施例中,天線模組100之總z高度可小於3毫米(例如,在2毫米與3毫米之間)。
圖2至圖4為根據各種實施例之實例天線板102的側視橫截面圖。圖2為包括耦接至天線平片支撐件110之一個或多個天線平片104之實例天線板102的一般化表示。在一些實施例中,天線平片104可由通過天線平片支撐件110之導電材料路徑電耦接至天線平片支撐件110,天線平片支撐件110與天線平片104之導電材料進行導電接觸,而在其他實施例中,天線平片104可機械地耦接至天線平片支撐件110,但可能不與通過天線平片支撐件110之導電材料路徑接觸。在一些實施例中,天線平片支撐件110之至少一部分可使用PCB技術被製造,且可包括介於二個與八個之間的PCB層。儘管圖2 (及其他隨附圖式)中描繪了特定數目個天線平片104,但此舉僅僅係說明性的,且天線板102可包括更少或更多的天線平片104。舉例而言,天線板102可包括四個天線平片104 (例如,被配置成線性陣列,如下文參考圖21至圖23及圖31所論述)、八個天線平片104 (例如,被配置成一個線性陣列或二個線性陣列,如下文參考圖27、圖29及圖30所論述)、十六個天線平片104 (例如,被配置成4×4陣列,如下文參考圖26及圖28所論述),或三十二個天線平片104 (例如,被配置成二個4×4陣列,如下文參考圖26及圖28所論述)。在一些實施例中,天線平片104可為表面安裝組件。
在一些實施例中,天線模組100可包括天線平片104之一個或多個陣列以支援多個通訊頻帶(例如,雙頻帶操作或三頻帶操作)。舉例而言,本文中所揭露之一些天線模組100可支援在28十億赫茲、39十億赫茲及60十億赫茲下之三頻帶操作。本文中所揭露之各種天線模組100可支援在24.5十億赫茲至29十億赫茲、37十億赫茲至43十億赫茲及57十億赫茲至71十億赫茲下之三頻帶操作。本文中所揭露之各種天線模組100可支援5G通訊及60十億赫茲通訊。本文中所揭露之各種天線模組100可支援28十億赫茲及39十億赫茲通訊。本文中所揭露之各種天線模組100可支援毫米波通訊。本文中所揭露之各種天線模組100可支援高頻帶頻率及低頻帶頻率。
在一些實施例中,天線板102可包括由黏著劑耦接至天線平片支撐件110之天線平片104。圖3繪示天線板102,其中天線平片支撐件110包括電路板112 (例如,包括介於二個與八個之間的PCB層)、在電路板112之一個面處之阻焊劑114及導電接點118,及在電路板112之相對面處之黏著劑106。如本文中所使用,「導電接點」可指充當不同組件之間的界面的導電材料(例如,金屬)之部分;導電接點可凹入於組件之表面中、與該表面齊平,或遠離該表面而延伸,且可採取任何合適形式(例如,導電襯墊或插口)。電路板112可包括如此項技術中所知的由導電材料(例如,金屬,諸如銅)形成之跡線、通路及其他結構。電路板112中之導電結構可由介電材料彼此電絕緣。可使用任何合適介電材料(例如,層合材料)。在一些實施例中,介電材料可為有機介電材料、阻燃等級4材料(FR-4)、雙馬來醯亞胺三嗪(bismaleimide triazine;BT)樹脂、聚醯亞胺材料、玻璃強化環氧樹脂基質材料,或低K及超低K介電質(例如,摻碳介電質、摻氟介電質、多孔介電質及有機聚合介電質)。
在圖3之實施例中,天線平片104可黏著至黏著劑106。黏著劑106可為非導電的,且因此天線平片104可能不由導電材料路徑電耦接至電路板112。在一些實施例中,黏著劑106可為環氧樹脂。黏著劑106之厚度可控制天線平片104與電路板112之緊接面之間的距離。在圖3 (及其他隨附圖式)之天線板102用於天線模組100時,IC封裝108可耦接至一些導電接點118。在一些實施例中,圖3之電路板112之厚度可小於1毫米(例如,介於0.35毫米與0.5毫米之間)。在一些實施例中,天線平片104之厚度可小於1毫米(例如,介於0.4毫米與0.7毫米之間)。
在一些實施例中,天線板102可包括由焊料耦接至天線平片支撐件110之天線平片104。圖4繪示天線板102,其中天線平片支撐件110包括電路板112 (例如,包括介於二個與八個之間的PCB層)、在電路板112之一個面處之阻焊劑114及導電接點118,及在電路板112之相對面處之阻焊劑114及導電接點116。天線平片104可由天線平片104之導電接點120與導電接點116之間的焊料122 (或其他第二層級互連件)緊固至電路板112。在一些實施例中,導電接點116/焊料122/導電接點120可提供一導電材料路徑,可通過該導電材料路徑將信號傳輸至天線平片104或自天線平片104傳輸信號。在其他實施例中,導電接點116/焊料122/導電接點120可僅用於天線平片104與天線平片支撐件110之間的機械耦接。焊料122 (或其他互連件)之高度可控制天線平片104與電路板112之緊接面之間的距離。圖5為根據各種實施例之可用於類似於圖4之天線板102的天線板102中之實例天線平片104的俯視圖。圖5之天線平片104可具有靠近邊緣規則地分佈於一個面上之數個導電接點120;具有導電接點120之其他天線平片104可具有導電接點120之其他配置。
在一些實施例中,天線板可包括耦接至橋接器結構之天線平片104。圖6繪示天線板102,其中天線平片支撐件110包括電路板112 (例如,包括介於二個與八個之間的PCB層)、在電路板112之一個面處之阻焊劑114及導電接點118,及緊固至電路板112之相對面之橋接器結構124。橋接器結構124可具有耦接至橋接器結構124之內部面的一個或多個天線平片104,及耦接至橋接器結構124之外部面的一個或多個天線平片104。在圖6之實施例中,天線平片104由黏著劑106耦接至橋接器結構124。在圖6之實施例中,橋接器結構124可由黏著劑106耦接至電路板112。黏著劑106之厚度及橋接器結構124之尺寸(亦即,電路板112之內部面與緊接面之間的距離,及內部面與外部面之間的橋接器結構124之厚度)可控制天線平片104與電路板112之緊接面之間的距離(包括「內部」天線平片104與「外部」天線平片104之間的距離)。橋接器結構124可由任何合適材料形成;舉例而言,橋接器結構124可由非導電塑膠形成。在一些實施例中,圖6之橋接器結構124可使用三維印刷技術被製造。在一些實施例中,圖6之橋接器結構124可被製造為具有界定內部面之凹部的PCB (例如,使用凹入式板製造技術)。在圖6之實施例中,橋接器結構124可在天線平片104與電路板112之間引入氣隙,從而增強天線模組100之頻寬。
圖7繪示相似於圖6之天線板102的天線板102,但其中橋接器結構124彎曲(例如,具有弓形狀)。此類橋接器結構124可由例如可撓性塑膠或其他材料形成。在圖7之天線板102中,天線平片支撐件110包括電路板112 (例如,包括介於二個與八個之間的PCB層)、在電路板112之一個面處之阻焊劑114及導電接點118,及緊固至電路板112之相對面之橋接器結構124。橋接器結構124可具有耦接至橋接器結構124之內部面的一個或多個天線平片104,及耦接至橋接器結構124之外部面的一個或多個天線平片104。在圖7之實施例中,天線平片104由黏著劑106耦接至橋接器結構124。在圖6之實施例中,橋接器結構124可由黏著劑106耦接至電路板112。黏著劑106之厚度及橋接器結構124之尺寸(亦即,電路板112之內部面與緊接面之間的距離,及內部面與外部面之間的橋接器結構124之厚度)可控制天線平片104與電路板112之緊接面之間的距離(包括「內部」天線平片104與「外部」天線平片104之間的距離)。圖7之橋接器結構124可由任何合適材料形成;舉例而言,橋接器結構124可由非導電塑膠形成。在圖7之實施例中,橋接器結構124可在天線平片104與電路板112之間引入氣隙,從而增強天線模組100之頻寬。
圖8繪示相似於圖6及圖7之天線板102的天線板102,但其中橋接器結構124自身為具有導電接點126之平面電路板或其他結構;橋接器結構124可由導電接點126與電路板112上之導電接點116之間的焊料122 (或其他互連件)耦接至電路板112。在圖8之天線板102中,天線平片支撐件110包括電路板112 (例如,包括介於二個與八個之間的PCB層)、在電路板112之一個面處之阻焊劑114及導電接點118,及緊固至電路板112之相對面之橋接器結構124。橋接器結構124可具有耦接至橋接器結構124之內部面的一個或多個天線平片104,及耦接至橋接器結構124之外部面的一個或多個天線平片104。在圖8之實施例中,天線平片104由黏著劑106耦接至橋接器結構124。黏著劑106之厚度、焊料122之高度及橋接器結構124之尺寸(亦即,內部面與外部面之間的橋接器結構124之厚度)可控制天線平片104與電路板112之緊接面之間的距離(包括「內部」天線平片104與「外部」天線平片104之間的距離)。圖8之橋接器結構124可由任何合適材料形成;舉例而言,橋接器結構124可由非導電塑膠或PCB形成。在圖8之實施例中,橋接器結構124可在天線平片104與電路板112之間引入氣隙,從而增強天線模組100之頻寬。
圖9繪示相似於圖8之天線板102的天線板102,但其中橋接器結構124自身為平面電路板或其他結構,且橋接器結構124及耦接至橋接器結構124之天線平片104由黏著劑106全部耦接至電路板112。在圖9之天線板102中,天線平片支撐件110包括電路板112 (例如,包括介於二個與八個之間的PCB層)、在電路板112之一個面處之阻焊劑114及導電接點118,及緊固至電路板112之相對面之橋接器結構124。橋接器結構124可具有耦接至橋接器結構124之內部面的一個或多個天線平片104,及耦接至橋接器結構124之外部面的一個或多個天線平片104。在圖9之實施例中,天線平片104由黏著劑106耦接至橋接器結構124。黏著劑106之厚度及橋接器結構124之尺寸(亦即,內部面與外部面之間的橋接器結構124之厚度)可控制天線平片104與電路板112之緊接面之間的距離(包括「內部」天線平片104與「外部」天線平片104之間的距離)。圖9之橋接器結構124可由任何合適材料形成;舉例而言,橋接器結構124可由非導電塑膠或PCB形成。在一些實施例中,電路板112可為1-2-1芯板,且橋接器結構124可為0-2-0芯板。在一些實施例中,電路板112可使用不同於橋接器結構124之介電材料的介電材料(例如,橋接器結構124可包括聚四氟乙烯(PTFE)或PTFE基配方),且電路板112可包括另一介電材料)。
在一些實施例中,天線板102可包括在天線平片102「上方」之空腔以在天線平片102與天線板102之其他部分之間提供氣隙。圖10繪示相似於圖3之天線板102的天線板102,但其中電路板112包括定位於天線平片104中之每一者「上方」的空腔130。此等空腔130可在天線平片104與天線板102之其餘部分之間提供氣隙,此舉可改良效能。在圖10之實施例中,天線平片支撐件110包括電路板112 (例如,包括介於二個與八個之間的PCB層)、在電路板112之一個面處之阻焊劑114及導電接點118,及在電路板112之相對面處之黏著劑106。天線平片104可黏著至黏著劑106。黏著劑106可為非導電的,且因此天線平片104可能不由導電材料路徑電耦接至電路板112。在一些實施例中,黏著劑106可為環氧樹脂。黏著劑106之厚度可控制天線平片104與電路板112之緊接面之間的距離。在一些實施例中,空腔130可具有介於200微米與400微米之間的深度。
在一些實施例中,天線板102可包括不在天線平片102「上方」但位於不同天線平片104之至電路板112之附接位置之間的空腔。舉例而言,圖11繪示相似於圖10之天線板102的天線板102,但其中電路板112包括定位於天線平片104中之每一者「之間」的額外空腔132。此等空腔132可幫助將不同天線平片104彼此隔離,藉此改良效能。在圖11之實施例中,天線平片支撐件110包括電路板112 (例如,包括介於二個與八個之間的PCB層)、在電路板112之一個面處之阻焊劑114及導電接點118,及在電路板112之相對面處之黏著劑106。天線平片104可黏著至黏著劑106。黏著劑106可為非導電的,且因此天線平片104可能不由導電材料路徑電耦接至電路板112。在一些實施例中,黏著劑106可為環氧樹脂。黏著劑106之厚度可控制天線平片104與電路板112之緊接面之間的距離。在一些實施例中,空腔132可具有介於200微米與400微米之間的深度。在一些實施例中,空腔132可為通孔(亦即,空腔132可一直延伸通過電路板112)。
任何合適天線結構可提供天線模組100之天線平片104。在一些實施例中,天線平片104可包括一個、二個、三個或更多天線層。舉例而言,圖12及圖13為根據各種實施例之實例天線平片104的側視橫截面圖。在圖12中,天線平片104包括一個天線層172,而在圖13中,天線平片104包括由介入結構174隔開之二個天線層172。
在包括多個天線平片104之天線模組100中,此等多個天線平片104可以任何合適方式被配置。舉例而言,圖14及圖15為根據各種實施例之在天線板102中之天線平片104之實例配置的仰視圖。在圖14之實施例中,天線平片104在x方向上被配置成線性陣列,且天線平片104中之每一者之x軸線(在圖14中由緊接於每一天線平片104之小箭頭指示)與線性陣列之軸線對準。在其他實施例中,天線平片104可經配置使得其軸線中之一者或多者不與陣列之方向對準。舉例而言,圖15繪示一實施例,其中天線平片104在x方向上被分佈成線性陣列,但天線平片104已在x-y平面中旋轉(相對於圖14之實施例),使得天線平片104中之每一者之x軸線不與線性陣列之軸線對準。在另一實例中,圖16繪示一實施例,其中天線平片104在x方向上被分佈成線性陣列,但天線平片已在x-z平面中旋轉(相對於圖14之實施例),使得天線平片104中之每一者之x軸線不與線性陣列之軸線對準。在圖16之實施例中,天線平片支撐件110可包括可將天線平片104維持於所要角度之天線平片夾具164。在一些實施例中,可組合圖15及圖16之「旋轉」,使得在天線平片104為在x方向上分佈之線性陣列之部分時,天線平片104在x-y及x-z平面二者中旋轉。在一些實施例中,線性陣列中之一些而非全部天線平片104可相對於陣列之軸線「旋轉」。使天線平片104相對於陣列之方向旋轉可縮減平片至平片耦接(藉由縮減天線平片104之間的共振電流之相長相加),從而改良阻抗頻寬及波束操控範圍。圖14至圖16之配置(及此類配置之組合)在本文中被稱作天線平片104自線性陣列「旋轉地偏移」。
包括於天線模組100中之IC封裝108可具有任何合適結構。舉例而言,圖17繪示可包括於天線模組100中之實例IC封裝108。IC封裝108可包括封裝基板134,一個或多個組件136可由第一層級互連件150耦接至封裝基板134。詳言之,封裝基板134之一個面處之導電接點146可由第一層級互連件150耦接至組件136之面處之導電接點148。圖17中所繪示之第一層級互連件150為焊料凸塊,但可使用任何合適的第一層級互連件150。阻焊劑114可安置於導電接點146周圍。封裝基板134可包括介電材料,且可具有延伸通過該等面之間或每一面上之不同位置之間的介電材料的導電路徑(例如,包括導電通路及線)。在一些實施例中,封裝基板134可具有小於1毫米(例如,介於0.1毫米與0.5毫米之間)之厚度。導電接點144可安置於封裝基板134之另一面處,且第二層級互連件142可將此等導電接點144耦接至天線模組100中之天線板102 (未展示)。圖17中所繪示之第二層級互連件142為焊料球(例如,用於球柵格陣列配置),但可使用任何合適的第二層級互連件142 (例如,接腳柵格陣列配置中之接腳或焊盤柵格陣列配置中之焊盤)。阻焊劑114可安置於導電接點144周圍。在一些實施例中,模具材料140可安置於組件136周圍(例如,作為底填充材料在組件136與封裝基板134之間)。在一些實施例中,模具材料之厚度可小於1毫米。合適時,可用於模具材料140之實例材料包括環氧樹脂模具材料。在一些實施例中,保形屏蔽件152可安置於組件136及封裝基板134周圍以提供用於IC封裝108之電磁屏蔽。
組件136可包括任何合適IC組件。在一些實施例中,組件136中之一者或多者可包括晶粒。舉例而言,組件136中之一者或多者可為RF通訊晶粒。在一些實施例中,組件136中之一者或多者可包括電阻器、電容器(例如,去耦電容器)、電感器、DC-DC轉換器電路系統,或其他電路元件。在一些實施例中,IC封裝108可為系統級封裝(SiP)。在一些實施例中,IC封裝108可為倒裝晶片(FC)晶片尺度封裝(CSP)。在一些實施例中,組件136中之一者或多者可包括運用指令而程式化以執行波束成形、掃描及/或碼簿功能之記憶體裝置。
本文中所揭露之天線模組100可包括於任何合適通訊裝置(例如,具有無線通訊能力之計算裝置、具有無線通訊電路系統之可穿戴裝置等等)中。圖18為根據各種實施例之包括天線模組100之通訊裝置151之部分的側視橫截面圖。詳言之,圖18中所繪示之通訊裝置151可為手持型通訊裝置,諸如智慧型電話或平板電腦。通訊裝置151可包括緊接於金屬或塑膠底板178之玻璃或塑膠後蓋176。在一些實施例中,底板178可層合至後蓋176上,或運用黏著劑附接至後蓋176。底板178可包括與天線模組100中之天線平片104 (未展示)對準以改良效能之一個或多個開口179。氣隙180-1可將至少一些天線模組100與底板178隔開,且另一氣隙180-2可位於天線模組100之另一側上。在一些實施例中,天線平片104與後蓋176之間的間隔可被選擇及控制為在數十微米內以達成所要效能。氣隙180-2可將天線模組100與通訊裝置151之前側上之顯示器182分離;在一些實施例中,顯示器182可具有緊接於氣隙180-2之金屬層以自顯示器182汲出熱。金屬或塑膠殼體184可提供通訊裝置151之「側」。
本文中所揭露之天線模組100可以任何所要方式緊固於通訊裝置中。下文所論述之數個實施例提到將天線模組100 (或天線板102,為了易於說明)緊固至通訊裝置之底板178的夾具,但下文所論述之夾具中之任一者可用以將天線模組100緊固至通訊裝置之任何合適部分。
在一些實施例中,天線板102可包括可用以將天線板102緊固至底板178之切口。舉例而言,圖19為包括在天線板102之任一縱向末端處之二個切口154之實例天線板102的俯視圖。圖19之天線板102可為天線模組100之部分,但為了易於說明而在圖19中僅描繪天線板102。圖20為根據各種實施例之耦接至天線板夾具164的圖19之天線板102的側視橫截面圖。詳言之,圖20之天線板夾具164可包括在天線板102之任一縱向末端處之二個總成。每一總成可包括凸座160 (在底板178上或底板178之部分)、在凸座160之頂部表面上之間隔件162,及延伸通過間隔件162中之孔並旋擰至凸座160中之螺紋中的螺釘158。天線板102可由扣緊螺釘158夾持於間隔件162與凸座160之頂部之間;凸座160可至少部分地安放於緊接切口154中。在一些實施例中,圖19之天線板102之外部尺寸可為大約5毫米乘大約38毫米。
在一些實施例中,本文中所揭露之螺釘158可用以耗散在操作期間由天線模組100產生之熱。詳言之,在一些實施例中,螺釘158可由金屬形成,且凸座160及底板178亦可為金屬的(或可以其他方式具有高熱導率);在操作期間,由天線模組100產生之熱可通過螺釘158遠離天線模組100而行進且行進至底板178中,從而減輕或防止超溫狀況。在一些實施例中,諸如熱油脂之熱界面材料(thermal interface material;TIM)可存在於天線板102與螺釘158/凸座160之間以改良熱導率。
在一些實施例中,本文中所揭露之螺釘158可用作天線模組100之額外天線。在一些此類實施例中,凸座160 (及與螺釘158接觸之其他材料)可由塑膠、陶瓷或另一非導電材料形成。螺釘158之形狀及位置可經選擇使得螺釘158充當天線板102之天線平片104。
天線板102可包括切口之其他配置。舉例而言,圖21為包括在一個縱向末端處之切口154及緊接於另一縱向末端之孔168的實例天線板102的俯視圖。圖21之天線板102可為天線模組100之部分,但為了易於說明而在圖21中僅描繪天線板102。圖22為根據各種實施例之耦接至天線板夾具164的圖21之天線板102的側視橫截面圖。詳言之,圖22之天線板夾具164可包括在天線板102之任一縱向末端處之二個總成。緊接於切口154之總成可包括上文參考圖20所論述之凸座160/間隔件162/螺釘158配置。緊接於孔168之總成可包括自底板178延伸之銷170。天線板102可由一個縱向末端處之扣緊螺釘158夾持於間隔件162與凸座160之頂部之間(凸座160可至少部分地安放於緊接切口154中),且另一縱向末端可由孔168中之銷170防止在x-y平面中移動。
在一些實施例中,除了在天線板102之縱向末端處以外或代替在天線板102之縱向末端處,天線模組100亦可在沿著天線板102之長度的一個或多個位置處緊固至通訊裝置。舉例而言,圖23A及圖23B分別為根據各種實施例之耦接至天線板夾具164之天線板102的俯視圖及側視橫截面圖。圖23之天線板102可為天線模組100之部分,但為了易於說明而在圖23中僅描繪天線板102。在圖23之天線板夾具164中,凸座160 (一個底板178或底板178之部分)、在凸座160之頂部表面上之間隔件162,及延伸通過間隔件162中之孔並旋擰至凸座160中之螺紋中的螺釘158。圖23之凸座160之外部可具有正方形橫截面,且間隔件162可具有在其下部表面上之正方形空腔,以便部分地環繞凸座160,同時被防止圍繞凸座160旋轉。天線板102可由扣緊螺釘158夾持於間隔件162與凸座160之頂部之間。在一些實施例中,天線板102沿著其縱向長度可能不具有切口154 (如所展示);而在其他實施例中,天線板102沿著其長邊緣可具有一個或多個切口154。
在一些實施例中,天線模組100可緊固至通訊裝置中之表面,使得天線模組100 (例如,天線模組中之天線平片104之陣列)不平行於該表面。一般而言,天線平片104可以相對於底板178或通訊裝置之其他元件的任何所要角度被定位。圖24繪示天線板夾具164,其中天線板102可以相對於底板178之底層表面的角度被固持。圖24之天線板102可為天線模組100之部分,但為了易於說明而在圖24中僅描繪天線板102。天線板夾具164可相似於圖20、圖22及圖23之天線板夾具,但可包括具有角形部分之凸座160,天線板102可擱置於該角形部分上。在螺釘158被緊固時,天線板102可以相對於底板178之所要角度被固持。
天線板102、IC封裝108及本文中所揭露之其他元件可以任何合適方式配置於天線模組100中。舉例而言,天線模組100可包括用於將信號傳輸至天線模組100中及自天線模組100中傳輸信號之一個或多個連接器105。圖25至圖28為根據各種實施例之實例天線模組100的分解透視圖。
在圖25之實施例中,天線板102包括四個天線平片104。此等天線平片104可根據本文中所揭露之實施例中之任一者配置於天線板102中(例如,具有空氣腔130/132,相對於陣列之軸線旋轉,在橋接器結構124上等等)。一個或多個連接器105可安置於天線板102上;此等連接器105可為如所展示之同軸電纜連接器,或任何其他連接器(例如,下文參考圖29及圖30所論述之扁平電纜連接器)。連接器105可適合於傳輸例如射頻(RF)信號。IC封裝108可包括封裝基板134、耦接至封裝基板134之一個或多個組件136,及在組件136及封裝基板134上方之保形屏蔽件152。在一些實施例中,四個天線平片104可提供用於28/39十億赫茲通訊之1×4陣列,及60十億赫茲偶極子之1×8陣列。
在圖26之實施例中,天線板102包括二組十六個天線平片104,每一組被配置成4×4陣列。此等天線平片104可根據本文中所揭露之實施例中之任一者配置於天線板102中(例如,具有空氣腔130/132,相對於陣列之軸線旋轉,在橋接器結構124上等等)。圖26之天線模組100包括二個IC封裝108;一個IC封裝108與一組天線平片104相關聯(並安置於其上方),且另一IC封裝108與另一組天線平片104相關聯(並安置於其上方)。在一些實施例中,一組天線平片104可支援28十億赫茲通訊,且另一組天線平片104可支援39十億赫茲通訊。IC封裝108可包括封裝基板134、耦接至封裝基板134之一個或多個組件136,及在組件136及封裝基板134上方之保形屏蔽件152。一個或多個連接器105可安置於封裝基板134上;此等連接器105可為如所展示之同軸電纜連接器,或任何其他連接器(例如,下文參考圖29及圖30所論述之扁平電纜連接器)。保形屏蔽件152可能不在連接器105上方延伸。在一些實施例中,圖26之天線模組100可適合用於路由器及用戶端設備(CPE)。在一些實施例中,天線板102之外部尺寸可為大約22毫米乘大約40毫米。
在圖27之實施例中,天線板102包括二組四個天線平片104,每一組被配置成1×4陣列。在一些實施例中,一組天線平片104可支援28十億赫茲通訊,且另一組天線平片104可支援39十億赫茲通訊。此等天線平片104可根據本文中所揭露之實施例中之任一者配置於天線板102中(例如,具有空氣腔130/132,相對於陣列之軸線旋轉,在橋接器結構124上等等)。一個或多個連接器105可安置於天線板102上;此等連接器105可為如所展示之同軸電纜連接器,或任何其他連接器(例如,下文參考圖29及圖30所論述之扁平電纜連接器)。圖27之天線模組100包括二個IC封裝108;一個IC封裝108與一組天線平片104相關聯(並安置於其上方),且另一IC封裝108與另一組天線平片104相關聯(並安置於其上方)。IC封裝108可包括封裝基板134、耦接至封裝基板134之一個或多個組件136,及在組件136及封裝基板134上方之保形屏蔽件152。在一些實施例中,天線板102之外部尺寸可為大約5毫米乘大約32毫米。
在圖28之實施例中,天線板102包括二組十六個天線平片104,每一組被配置成4×4陣列。此等天線平片104可根據本文中所揭露之實施例中之任一者配置於天線板102中(例如,具有空氣腔130/132,相對於陣列之軸線旋轉,在橋接器結構124上等等)。圖28之天線模組100包括四個IC封裝108;二個IC封裝108與一組天線平片104相關聯(並安置於其上方),且其他二個IC封裝108與另一組天線平片104相關聯(並安置於其上方)。IC封裝108可包括封裝基板134、耦接至封裝基板134之一個或多個組件136,及在組件136及封裝基板134上方之保形屏蔽件(未展示)。一個或多個連接器105可安置於天線板102上;此等連接器105可為如所展示之同軸電纜連接器,或任何其他連接器(例如,下文參考圖29及圖30所論述之扁平電纜連接器)。
圖29A及圖29B分別為根據各種實施例之另一實例天線模組100的俯視及仰視透視圖。在圖29之實施例中,天線板102包括二組四個天線平片104,每一組被配置成1×4陣列。此等天線平片104可根據本文中所揭露之實施例中之任一者配置於天線板102中(例如,具有空氣腔130/132,相對於陣列之軸線旋轉,在橋接器結構124上等等)。一個或多個連接器105可安置於天線板102上;此等連接器105可為扁平電纜196可被耦接至的扁平電纜連接器(例如,可撓性印刷電路(FPC)電纜連接器)。圖27之天線模組100包括二個IC封裝108;一個IC封裝108與一組天線平片104相關聯(並安置於其上方),且另一IC封裝108與另一組天線平片104相關聯(並安置於其上方)。圖27之天線模組100亦可包括在任一縱向末端處之切口154;圖29A繪示由圖20之天線板夾具164 (在任一縱向末端處)及由圖23之天線板夾具164 (在中間)緊固的天線模組100。在一些實施例中,圖29之天線模組100之天線平片104可將天線板102之緊接邊緣用於垂直及水平極化邊緣發射天線;在此類實施例中,IC封裝108之保形屏蔽件152可充當參考。更一般而言,適當時,本文中所揭露之天線平片104可用於垂射或邊緣發射應用。
任何合適通訊裝置可包括本文中所揭露之天線模組100中之一者或多者。舉例而言,圖30為根據各種實施例之包括天線模組100之手持型通訊裝置198的透視圖。詳言之,圖30描繪耦接至手持型通訊裝置198 (其可為圖18之通訊裝置151)之底板178的圖29之天線模組100 (及關聯天線板夾具164)。在一些實施例中,手持型通訊裝置198可為智慧型電話。
圖31為根據各種實施例之包括多個天線模組100之膝上型通訊裝置190的透視圖。詳言之,圖30描繪在膝上型通訊裝置190之鍵盤之任一側處具有四個天線平片104的天線模組100。天線平片104可佔據膝上型通訊裝置190之外部殼體上的大約等於或小於二個鄰近通用串列匯流排(USB)連接器所需要之面積(亦即,大約5毫米(高度)乘22毫米(寬度)乘2.2毫米(深度))的面積。圖31之天線模組100可經調諧以用於在裝置190之殼體(例如,ABS塑膠)中操作。在一些實施例中,裝置190中之天線模組100可以相對於裝置190之殼體的所要角度傾斜。
包括於通訊裝置(例如,固定無線存取裝置)中之天線模組100可包括具有任何所要數目個天線平片104 (例如,4×8天線平片104)之天線陣列。
本文中所揭露之天線模組100中之任一者可包括具有一個或多個窄化部分之天線板102,該一個或多個窄化部分充當鉸鏈以允許天線模組100彎曲,使得天線板102之不同區段彼此不共面。舉例而言,圖32A及圖32B繪示具有安置於天線板102上之多個IC封裝108的天線模組100 (例如,根據本文中所揭露之實施例中之任一者)。天線板102包括天線平片支撐件110,多個天線平片104安置於天線平片支撐件110上(例如,根據本文中所揭露之實施例中之任一者)且天線平片支撐件110包括窄化部分111。窄化部分111之材料可具有足夠可撓性以允許天線平片支撐件110在窄化部分處彎曲(例如,自如圖32A中所展示之初始組配至如圖32B中所展示之彎曲組配)至所要角度,而不會顯著地損害天線板110。天線模組100可以其彎曲組配安裝於電子組件中(例如,通訊裝置151中) (例如,使用上文參考圖19至圖24及圖29至圖30所論述之夾具中之任一者),從而允許天線板102之不同區段上之天線平片104以不同角度輻射及接收,藉此相對於天線平片104全部安裝於天線平片支撐件110之單一平面上的實施例增大天線平片104之陣列之涵蓋範圍。
在一些實施例中,窄化部分111可藉由鋸割或以其他方式切割通過初始天線平片支撐件110直至達到窄化部分111之所要厚度而形成;在其他實施例中,天線平片支撐件110可在沒有任何所需鋸割或切割的情況下被製造有窄化部分111。儘管圖32A及圖32B繪示特定數目個IC封裝180及天線平片104,但此舉僅僅係出於說明性目的,且本文中所揭露之天線板102或天線模組100中之任一者可包括一個或多個窄化部分111以允許天線板102之多個區段以不同角度定向。
儘管各種隨附圖式已將天線板102繪示為相比於IC封裝108具有較大佔據面積(footprint),但天線板102及IC封裝108 (其可為例如SiP)可具有任何合適的相對尺寸。舉例而言,在一些實施例中,天線模組100中之IC封裝108之佔據面積可大於天線板102之佔據面積。此類實施例可例如出現在IC封裝108包括作為組件136之多個晶粒時。圖33至圖36繪示IC封裝108之佔據面積大於天線板100之佔據面積的天線模組100之各種實例。
在圖33中所繪示之實施例中,天線板被附接至的IC封裝108之面亦可具有安置於其上之多個連接器105。此等連接器105可延伸經過天線板102之側面,且可使能夠由具有與連接器105配合之連接器171的電纜175直接連接至IC封裝108。圖33至圖36之連接器105可採取任何合適形式(例如,同軸電纜連接器、下文參考圖29及圖30所論述之扁平電纜連接器、本文中所揭露之其他形式中之任一者等等)。
在圖34中所繪示之實施例中,天線模組100可具有天線板102及連接器105之不對稱配置。一般而言,天線模組100可包括連接器105在IC封裝108及/或天線板102上之任何合適配置(如上文所論述)。
在一些實施例中,天線模組100可包括多個天線板102。舉例而言,圖35繪示多個天線板102耦接至單一IC封裝108之實施例。圖35亦繪示在IC封裝108之底部表面上之連接器105,但多個天線板102耦接至單一IC封裝108之實施例可能不包括在IC封裝108上之連接器105,或在IC封裝108上之一個或多個連接器105。
在一些實施例中,天線板102可包括可曝露IC封裝108之面上之連接器105所通過的孔,且電纜175可耦接至此等連接器。舉例而言,圖36繪示天線板102在其中具有一個或多個孔173之實施例;耦接至IC封裝108之底部面的連接器105可延伸至孔173中(例如,以與具有配合連接器171之電纜175耦接)。儘管圖36繪示天線板102相比於IC封裝108具有較小佔據面積之天線模組,但本文中所揭露之天線板102中之任一者可包括耦接至IC封裝108之連接器105可延伸通過的孔173 (例如,天線板102具有大於IC封裝108之佔據面積)。
本文中所揭露之天線模組100可包括任何合適電子組件或包括於其中。圖37至圖40繪示可包括本文中所揭露之天線模組100中之任一者或包括於其中的設備之各種實例。
圖37為可包括於本文中所揭露之天線模組100中之任一者中的晶圓1500及晶粒1502的俯視圖。舉例而言,晶粒1502可包括於IC封裝108中(例如,作為組件136)或包括於天線平片104中。晶圓1500可由半導體材料構成,且可包括具有形成於晶圓1500之表面上之IC結構的一個或多個晶粒1502。晶粒1502中之每一者可為包括任何合適IC之半導體產品之重複單元。在半導體產品之製造完成之後,晶圓1500可經歷將晶粒1502彼此分離以提供半導體產品之離散「晶片」的單一化程序。晶粒1502可包括一個或多個電晶體(例如,圖38之一些電晶體1640,下文所論述)及/或用以將電信號路由至電晶體之支援電路系統,以及任何其他IC組件。在一些實施例中,晶圓1500或晶粒1502可包括記憶體裝置(例如,隨機存取記憶體(RAM)裝置,諸如靜態RAM (SRAM)裝置、磁性RAM (MRAM)裝置、電阻性RAM (RRAM)裝置、導電橋接RAM (CBRAM)裝置等等)、邏輯裝置(例如,AND、OR、NAND或NOR閘),或任何其他合適電路元件。此等裝置中之多者可組合於單一晶粒1502上。舉例而言,由多個記憶體裝置形成之記憶體陣列可與處理裝置(例如,圖40之處理裝置1802)或經組配以將資訊儲存於記憶體裝置中或執行儲存於記憶體陣列中之指令的其他邏輯形成於同一晶粒1502上。
圖38為可包括於本文中所揭露之天線模組100中之任一者中的IC裝置1600的側視橫截面圖。舉例而言,IC裝置1600可包括於IC封裝108中(例如,作為組件136)。IC裝置1600可形成於基板1602 (例如,圖37之晶圓1500)上,且可包括於晶粒(例如,圖37之晶粒1502)中。基板1602可為由包括例如n型或p型材料系統(或二者之組合)之半導體材料系統構成的半導體基板。基板1602可包括例如使用塊體矽或絕緣體上矽(SOI)次結構而形成之結晶基板。在一些實施例中,基板1602可使用可能或可能不與矽組合之替代材料而形成,該等替代材料包括但不限於鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵或銻化鎵。被分類為第II-VI族、第III-V族或第IV族之其他材料亦可用以形成基板1602。儘管此處描述了可供形成基板1602的材料之幾個實例,但可使用可充當用於IC裝置1600之基礎的任何材料。基板1602可為單一化晶粒(例如,圖37之晶粒1502)或晶圓(例如,圖37之晶圓1500)之部分。
IC裝置1600可包括安置於基板1602上之一個或多個裝置層1604。裝置層1604可包括形成於基板1602上之一個或多個電晶體1640 (例如,金屬氧化物半導體場效電晶體(MOSFET))之形貌體。裝置層1604可包括例如一個或多個源極及/或汲極(S/D)區域1620、用以控制S/D區域1620之間的電晶體1640中之電流流動的閘極1622,及用以將電信號路由至S/D區域1620/自S/D區域1620路由電信號之一個或多個S/D接點1624。電晶體1640可包括出於清楚起見而未描繪之額外形貌體,諸如裝置隔離區域、閘極接點及其類似者。電晶體1640不限於圖38中所描繪之類型及組配,且可包括多種其他類型及組配,諸如例如平面電晶體、非平面電晶體或二者之組合。平面電晶體可包括雙極接面電晶體(BJT)、異質接面雙極電晶體(HBT)或高電子遷移率電晶體(HEMT)。非平面電晶體可包括FinFET電晶體,諸如雙閘極電晶體及三閘極電晶體,及環繞或全圍閘極電晶體,諸如奈米帶及奈米線電晶體。
每一電晶體1640可包括由至少二個層——閘極介電質及閘極電極——形成之閘極1622。閘極介電質可包括一個層或層之堆疊。一個或多個層可包括氧化矽、二氧化矽、碳化矽及/或高k介電材料。高k介電材料可包括諸如以下各者之元素:鉿、矽、氧、鈦、鉭、鑭、鋁、鋯、鋇、鍶、釔、鉛、鈧、鈮及鋅。可用於閘極介電質中之高k材料之實例包括但不限於氧化鉿、氧化鉿矽、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、氧化鋇鍶鈦、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、氧化鉛鈧鉭及鈮酸鉛鋅。在一些實施例中,在使用高k材料時,可對閘極介電質實行退火程序以改良其品質。
閘極電極可形成於閘極介電質上,且可包括至少一種p型功函數金屬或n型功函數金屬,此取決於電晶體1640為p型金屬氧化物半導體(PMOS)抑或n型金屬氧化物半導體(NMOS)電晶體。在一些實施方案中,閘極電極可由二個或超過二個金屬層之堆疊組成,其中一個或多個金屬層為功函數金屬層且至少一個金屬層為填充金屬層。可出於其他目的而包括其他金屬層,諸如障壁層。對於PMOS電晶體,可用於閘極電極之金屬包括但不限於釕、鈀、鉑、鈷、鎳、導電金屬氧化物(例如,氧化釕),及下文參考NMOS電晶體(例如,用於功函數調諧)所論述之金屬中之任一者。對於NMOS電晶體,可用於閘極電極之金屬包括但不限於鉿、鋯、鈦、鉭、鋁、此等金屬之合金、此等金屬之碳化物(例如,碳化鉿、碳化鋯、碳化鈦、碳化鉭及碳化鋁),及上文參考PMOS電晶體(例如,用於功函數調諧)所論述之金屬中之任一者。
在一些實施例中,在沿著源極-通道-汲極方向被檢視為電晶體1640之橫截面時,閘極電極可由包括實質上平行於基板之表面之底部部分及實質上垂直於基板之頂部表面之二個側壁部分的U形結構組成。在其他實施例中,形成閘極電極之金屬層中之至少一者可僅僅為實質上平行於基板之頂部表面且不包括實質上垂直於基板之頂部表面之側壁部分的平面層。在其他實施例中,閘極電極可由U形結構及平面非U形結構之組合組成。舉例而言,閘極電極可由形成於一個或多個平面非U形層頂上之一個或多個U形金屬層組成。
在一些實施例中,一對側壁間隔件可形成於閘極堆疊之對置側上以撐托閘極堆疊。側壁間隔件可由諸如氮化矽、氧化矽、碳化矽、摻雜有碳之氮化矽及氮氧化矽之材料形成。用於形成側壁間隔件之程序在此項技術中係熟知的且一般包括沈積及蝕刻程序步驟。在一些實施例中,可使用多個間隔件對;舉例而言,二對、三對或四對側壁間隔件可形成於閘極堆疊之對置側上。
S/D區域1620可鄰近於每一電晶體1640之閘極1622形成於基板1602內。S/D區域1620可使用例如植入/擴散程序或蝕刻/沈積程序而形成。在前一程序中,可將諸如硼、鋁、銻、磷或砷之摻雜劑進行離子植入至基板1602中以形成S/D區域1620。活化摻雜劑且致使其進一步擴散至基板1602中之退火程序可在離子植入程序之後進行。在後一程序中,首先可蝕刻基板1602以在S/D區域1620之位置處形成凹部。然後可實行磊晶沈積程序以運用用以製造S/D區域1620之材料填充凹部。在一些實施方案中,S/D區域1620可使用諸如矽鍺之矽合金或碳化矽被製造。在一些實施例中,經磊晶沈積之矽合金可就地摻雜有諸如硼、砷或磷之摻雜劑。在一些實施例中,可使用諸如鍺或第III-V族材料或合金之一種或多種替代半導體材料形成S/D區域1620。在其他實施例中,可使用一個或多個金屬及/或金屬合金層以形成S/D區域1620。
諸如電力及/或輸入/輸出(I/O)信號之電信號可通過安置於裝置層1604上之一個或多個互連層(在圖38中被繪示為互連層1606至1610)路由至裝置層1604之裝置(例如,電晶體1640)及/或自該等裝置路由。舉例而言,裝置層1604之導電形貌體(例如,閘極1622及S/D接點1624)可與互連層1606至1610之互連結構1628電耦接。一個或多個互連層1606至1610可形成IC裝置1600之金屬化物堆疊(亦被稱作「ILD堆疊」) 1619。
互連結構1628可根據多種設計(詳言之,配置不限於圖38中所描繪之互連結構1628之特定組配)而配置於互連層1606至1610內以路由電信號。儘管圖38中描繪了特定數目個互連層1606至1610,但本揭露內容之實施例包括具有比所描繪之互連層更多或更少的互連層的IC裝置。
在一些實施例中,互連結構1628可包括填充有諸如金屬之導電材料的線1628a及/或通路1628b。線1628a可經配置以在與裝置層1604被形成的基板1602之表面實質上平行的平面之方向上路由電信號。舉例而言,線1628a可自圖38之視角在進出頁面之方向上路由電信號。通路1628b可經配置以在實質上垂直於裝置層1604被的基板1602之表面的平面之方向上路由電信號。在一些實施例中,通路1628b可將不同互連層1606至1610之線1628a電耦接在一起。
互連層1606至1610可包括安置於互連結構1628之間的介電材料1626,如圖38中所展示。在一些實施例中,安置於不同互連層1606至1610中之互連結構1628之間的介電材料1626可具有不同組成物;在其他實施例中,不同互連層1606至1610之間的介電材料1626之組成物可相同。
第一互連層1606可形成於裝置層1604上方。在一些實施例中,第一互連層1606可包括線1628a及/或通路1628b,如所展示。第一互連層1606之線1628a可與裝置層1604之接點(例如,S/D接點1624)耦接。
第二互連層1608可形成於第一互連層1606上方。在一些實施例中,第二互連層1608可包括通路1628b以耦接第二互連層1608之線1628a與第一互連層1606之線1628a。儘管出於清楚起見而將線1628a及通路1628b在結構上以每一互連層內(例如,第二互連層1608內)之線進行定界,但線1628a及通路1628b在一些實施例中可在結構上及/或在本質上相連(例如,在雙金屬鑲嵌程序期間被同時填充)。
第三互連層1610 (及額外互連層,按需要)可根據結合第二互連層1608或第一互連層1606所描述之相似技術及組配而連續地形成於第二互連層1608上。在一些實施例中,在IC裝置1600中之金屬化物堆疊1619中為「上級(higher up)」(亦即,較遠離裝置層1604)的互連層可較厚。
IC裝置1600可包括阻焊劑材料1634 (例如,聚醯亞胺或相似材料)及形成於互連層1606至1610上之一個或多個導電接點1636。在圖38中,導電接點1636被繪示為採取結合襯墊之形式。導電接點1636可與互連結構1628電耦接,且經組配以將電晶體1640之電信號路由至另一外部裝置。舉例而言,焊料結合可形成於一個或多個導電接點1636上以機械地及/或電耦接包括IC裝置1600之晶片與另一組件(例如,電路板)。IC裝置1600可包括用以自互連層1606至1610路由電信號之額外或替代結構;舉例而言,導電接點1636可包括將電信號路由至外部組件之其他類似形貌體(例如,支柱)。
圖39為可包括本文中所揭露之天線模組100中之一者或多者的IC裝置總成1700的側視橫截面圖。詳言之,本文中所揭露之任何合適天線模組100可代替IC裝置總成1700之組件中之任一者(例如,天線模組100可代替IC裝置總成1700之IC封裝中之任一者)。
IC裝置總成1700包括安置於電路板1702 (其可為例如主機板)上之數個組件。IC裝置總成1700包括安置於電路板1702之第一面1740及電路板1702之對置第二面1742上的組件;一般而言,組件可安置於面1740及1742中之一者或二者上。
在一些實施例中,電路板1702可為包括由介電材料層彼此分離並由導電通路互連之多個金屬層的PCB。該等金屬層中之任何一者或多者可以所要電路圖案而形成以在耦接至電路板1702之組件之間路由電信號(任選地結合其他金屬層)。在其他實施例中,電路板1702可為非PCB基板。
圖39中所繪示之IC裝置總成1700包括由耦接組件1716耦接至電路板1702之第一面1740的中介層上封裝(package-on-interposer)結構1736。耦接組件1716可將中介層上封裝結構1736電及機械地耦接至電路板1702,且可包括焊料球(如圖39中所展示)、插口之公及母部分、黏著劑、底填充材料,及/或任何其他合適電及/或機械耦接結構。
中介層上封裝結構1736可包括由耦接組件1718耦接至中介層1704之IC封裝1720。對於本申請案,耦接組件1718可採取任何合適形式,諸如上文參考耦接組件1716所論述之形式。儘管圖39中展示了單一IC封裝1720,但多個IC封裝可耦接至中介層1704;實際上,額外中介層可耦接至中介層1704。中介層1704可提供用以橋接電路板1702及IC封裝1720之介入基板。IC封裝1720可為或包括例如晶粒(圖37之晶粒1502)、IC裝置(例如,圖38之IC裝置1600),或任何其他合適組件。一般而言,中介層1704可將連接擴展至較寬間距或將連接重新佈線至不同連接。舉例而言,中介層1704可將IC封裝1720 (例如,晶粒)耦接至耦接組件1716之一組球柵格陣列(BGA)導電接點以用於耦接至電路板1702。在圖39中所繪示之實施例中,IC封裝1720及電路板1702附接至中介層1704之對置側;在其他實施例中,IC封裝1720及電路板1702可附接至中介層1704之同一側。在一些實施例中,三個或更多組件可藉助於中介層1704而互連。
在一些實施例中,中介層1704可經形成為PCB,包括由介電材料層彼此分離並由導電通路互連之多個金屬層。在一些實施例中,中介層1704可由以下各者形成:環氧樹脂、玻璃纖維強化環氧樹脂、具有無機填充劑之環氧樹脂、陶瓷材料,或諸如聚醯亞胺之聚合物材料。在一些實施例中,中介層1704可由替代剛性或可撓性材料形成,該等材料可包括上文所描述的用於半導體基板中之相同材料,諸如矽、鍺及其他III-V族及IV族材料。中介層1704可包括金屬互連件1708及通路1710,包括但不限於矽穿孔(TSV) 1706。中介層1704可進一步包括嵌入式裝置1714,包括被動及主動裝置二者。此類裝置可包括但不限於電容器、去耦電容器、電阻器、電感器、保險絲、二極體、變壓器、感測器、靜電放電(ESD)裝置,及記憶體裝置。諸如RF裝置、功率放大器、功率管理裝置、天線、陣列、感測器及微機電系統(MEMS)裝置之更複雜的裝置亦可形成於中介層1704上。中介層上封裝結構1736可採取此項技術中所知之中介層上封裝結構中之任一者的形式。
IC裝置總成1700可包括由耦接組件1722耦接至電路板1702之第一面1740的IC封裝1724。耦接組件1722可採取上文參考耦接組件1716所論述之實施例中之任一者的形式,且IC封裝1724可採取上文參考IC封裝1720所論述之實施例中之任一者的形式。
圖39中所繪示之IC裝置總成1700包括由耦接組件1728耦接至電路板1702之第二面1742的疊層封裝結構1734。疊層封裝結構1734可包括IC封裝1726及IC封裝1732,IC封裝1726及IC封裝1732由耦接組件1730耦接在一起,使得IC封裝1726安置於電路板1702與IC封裝1732之間。耦接組件1728及1730可採取上文所論述之耦接組件1716之實施例中之任一者的形式,且IC封裝1726及1732可採取上文所論述之IC封裝1720之實施例中之任一者的形式。疊層封裝結構1734可根據此項技術中所知之疊層封裝結構中之任一者而組配。
圖40為根據本文中所揭露之實施例中之任一者的可包括一個或多個天線模組100之實例通訊裝置1800的方塊圖。通訊裝置151 (圖18)、手持型通訊裝置198 (圖30)及膝上型通訊裝置190 (圖31)可為通訊裝置1800之實例。通訊裝置1800之任何合適組件可包括本文中所揭露之IC封裝1650、IC裝置1600或晶粒1502中之一者或多者。數個組件在圖40中被繪示為包括於通訊裝置1800中,但可省略或重複此等組件中之任何一者或多者,此適合於本申請案。在一些實施例中,包括於通訊裝置1800中之組件中之一些或全部可附接至一個或多個主機板。在一些實施例中,此等組件中之一些或全部製造至單一系統單晶片(SoC)晶粒上。
另外,在各種實施例中,通訊裝置1800可能不包括圖40中所繪示之組件中之一者或多者,但通訊裝置1800可包括用於耦接至該一個或多個組件之介面電路系統。舉例而言,通訊裝置1800可能不包括顯示裝置1806,但可包括顯示裝置1806可被耦接至的顯示裝置介面電路系統(例如,連接器及驅動器電路系統)。在另一組實例中,通訊裝置1800可能不包括音訊輸入裝置1824或音訊輸出裝置1808,但可包括音訊輸入裝置1824或音訊輸出裝置1808可被耦接至的音訊輸入或輸出裝置介面電路系統(例如,連接器及支援電路系統)。
通訊裝置1800可包括處理裝置1802 (例如,一個或多個處理裝置)。如本文中所使用,術語「處理裝置」或「處理器」可指處理來自暫存器及/或記憶體之電子資料以將彼電子資料變換成可儲存於暫存器及/或記憶體中之其他電子資料的任何裝置或裝置之部分。處理裝置1802可包括一個或多個數位信號處理器(DSP)、特殊應用積體電路(ASIC)、中央處理單元(CPU)、圖形處理單元(GPU)、密碼處理器(在硬體內執行密碼編譯演算法之特殊化處理器)、伺服器處理器,或任何其他合適處理裝置。通訊裝置1800可包括記憶體1804,其自身可包括一個或多個記憶體裝置,諸如依電性記憶體(例如,動態隨機存取記憶體(DRAM))、非依電性記憶體(例如,唯讀記憶體(ROM))、快閃記憶體、固態記憶體,及/或硬碟機。在一些實施例中,記憶體1804可包括與處理裝置1802共用晶粒之記憶體。此記憶體可用作快取記憶體,且可包括嵌入式動態隨機存取記憶體(eDRAM)或自旋轉移力矩磁性隨機存取記憶體(STT-MRAM)。
在一些實施例中,通訊裝置1800可包括通訊模組1812 (例如,一個或多個通訊模組)。舉例而言,通訊模組1812可經組配用於管理無線通訊以將資料傳送至通訊裝置1800及自通訊裝置1800傳送資料。術語「無線」及其衍生詞可用以描述可經由非固態媒體而經由使用經調變電磁輻射來傳達資料之電路、裝置、系統、方法、技術、通訊通道等等。該術語並不暗示關聯裝置不含有任何連線,但在一些實施例中,關聯裝置可能不含有任何連線。通訊模組1812可為或可包括本文中所揭露之天線模組100中之任一者。
通訊模組1812可實施數種無線標準或協定中之任一者,該等無線標準或協定包括但不限於電氣電子工程師學會(IEEE)標準,包括Wi-Fi (IEEE 802.11家族)、IEEE 802.16標準(例如,IEEE 802.16-2005修正)、長期演進(LTE)計劃以及任何修正、更新及/或修訂(例如,進階LTE計劃、超行動寬頻(UMB)計劃(亦被稱作「3GPP2」)等等)。IEEE 802.16相容寬頻無線存取(BWA)網路通常被稱作WiMAX網路,代表微波存取全球互通(Worldwide Interoperability for Microwave Access)之縮略字,其為通過IEEE 802.16標準之符合性及互操作性測試之產品的認證標記。通訊模組1812可根據全球行動通訊系統(GSM)、通用封包無線電服務(GPRS)、全球行動電信系統(UMTS)、高速封包存取(HSPA)、演進型HSPA (E-HSPA)或LTE網路而操作。通訊模組1812可根據GSM增強資料演進(EDGE)、GSM EDGE無線電存取網路(GERAN)、通用陸地無線電存取網路(UTRAN)或演進型UTRAN (E-UTRAN)而操作。通訊模組1812可根據分碼多重存取(CDMA)、分時多重存取(TDMA)、數位增強無線電信(DECT)、演進資料最佳化(EV-DO)及其衍生者以及被標出為3G、4G、5G及超越版本之任何其他無線協定而操作。通訊模組1812在其他實施例中可根據其他無線協定而操作。通訊裝置1800可包括天線1822以促進無線通訊及/或接收其他無線通訊(諸如AM或FM無線電傳輸)。
在一些實施例中,通訊模組1812可管理有線通訊,諸如電氣、光學或任何其他合適通訊協定(例如,乙太網路)。如上文所提及,通訊模組1812可包括多個通訊模組。舉例而言,第一通訊模組1812可專用於諸如Wi-Fi或藍芽之較短程無線通訊,且第二通訊模組1812可專用於諸如全球定位系統(GPS)、EDGE、GPRS、CDMA、WiMAX、LTE、EV-DO或其他者之較遠程無線通訊。在一些實施例中,第一通訊模組1812可專用於無線通訊,且第二通訊模組1812可專用於有線通訊。在一些實施例中,通訊模組1812可包括支援毫米波通訊之天線模組100。
通訊裝置1800可包括電池/電力電路系統1814。電池/電力電路系統1814可包括用於將通訊裝置1800之組件耦接至與通訊裝置1800分離之能量源(例如,AC線電力)的一個或多個能量儲存裝置(例如,電池或電容器)及/或電路系統。
通訊裝置1800可包括顯示裝置1806 (或對應介面電路系統,如上文所論述)。顯示裝置1806可包括任何視覺指示器,諸如抬頭顯示器、電腦監視器、投影儀、觸控式螢幕顯示器、液晶顯示器(LCD)、發光二極體顯示器或平板顯示器。
通訊裝置1800可包括音訊輸出裝置1808 (或對應介面電路系統,如上文所論述)。音訊輸出裝置1808可包括產生聲響指示器之任何裝置,諸如揚聲器、耳機或耳塞。
通訊裝置1800可包括音訊輸入裝置1824 (或對應介面電路系統,如上文所論述)。音訊輸入裝置1824可包括產生表示聲音之信號的任何裝置,諸如麥克風、麥克風陣列或數位儀器(例如,具有樂器數位介面(MIDI)輸出之儀器)。
通訊裝置1800可包括GPS裝置1818 (或對應介面電路系統,如上文所論述)。GPS裝置1818可與基於衛星之系統通訊,且可接收通訊裝置1800之位置,如此項技術中所知。
通訊裝置1800可包括其他輸出裝置1810 (或對應介面電路系統,如上文所論述)。其他輸出裝置1810之實例可包括音訊編解碼器、視訊編解碼器、印表機、用於向其他裝置提供資訊之有線或無線傳輸器,或額外儲存裝置。
通訊裝置1800可包括其他輸入裝置1820 (或對應介面電路系統,如上文所論述)。其他輸入裝置1820之實例可包括加速計、陀螺儀、羅盤、影像擷取裝置、鍵盤、諸如滑鼠之游標控制裝置、手寫筆、觸控板、條碼讀取器、快速回應(QR)碼讀取器、任何感測器,或射頻識別(RFID)讀取器。
通訊裝置1800可具有任何所要外觀尺寸,諸如手持型或行動通訊裝置(例如,蜂巢式電話、智慧型電話、行動網際網路裝置、音樂播放器、平板電腦、膝上型電腦、迷你筆記型電腦、超級本電腦、個人數位助理(PDA)、超行動個人電腦等等)、桌上型電腦通訊裝置、伺服器或其他網路連接計算組件、印表機、掃描器、監視器、機上盒、娛樂控制單元、車輛控制單元、數位攝影機、數位視訊記錄器,或可穿戴通訊裝置。在一些實施例中,通訊裝置1800可為處理資料之任何其他電子裝置。
以下段落提供本文中所揭露之各種實施例之實例。
實例1為一種電子總成,其包括:一天線模組,其包括:一積體電路(IC)封裝,一天線平片支撐件,及一個或多個天線平片,其由焊料或一黏著劑耦接至該天線平片支撐件。
實例2可包括實例1之主題,且可進一步指定該天線平片支撐件包括八個或更少印刷電路板層。
實例3可包括實例1至2中之任一者之主題,且可進一步指定該天線平片支撐件包括一印刷電路板。
實例4可包括實例1至3中之任一者之主題,且可進一步指定該IC封裝由焊料耦接至該天線平片支撐件。
實例5可包括實例1至4中之任一者之主題,且可進一步指定該天線平片支撐件具有一第一面及一對置第二面,該IC封裝耦接至該第一面,且該一個或多個天線平片耦接至該第二面。
實例6可包括實例1至5中之任一者之主題,且可進一步指定該天線模組包括至少四個天線平片。
實例7可包括實例1至6中之任一者之主題,且可進一步指定該天線平片支撐件包括在一面中之一空腔,且該等天線平片中之至少一者在該空腔上方耦接至該天線平片支撐件之該面。
實例8可包括實例1至7中之任一者之主題,且可進一步指定該天線平片支撐件包括在一面中之一空腔,一第一天線平片在一第一位置處耦接至該面,一第二天線平片在一第二位置處耦接至該面,且該空腔在該第一位置與該第二位置之間。
實例9可包括實例1至8中之任一者之主題,且可進一步指定該IC封裝為一第一IC封裝,且該天線模組進一步包括一第二IC封裝。
實例10可包括實例1至9中之任一者之主題,且可進一步指定該天線模組之一高度小於3毫米。
實例11可包括實例1至10中之任一者之主題,且可進一步指定該一個或多個天線平片提供一毫米波天線陣列。
實例12可包括實例1至11中之任一者之主題,且可進一步指定該天線平片支撐件包括在該天線平片支撐件之一個或多個邊緣處之一切口。
實例13可包括實例1至12中之任一者之主題,且可進一步包括一底板及用以將該天線模組機械地耦接至該底板之一夾具。
實例14可包括實例1至13中之任一者之主題,且可進一步包括一顯示器。
實例15可包括實例1至14中之任一者之主題,且可進一步指定該電子總成為一手持型通訊裝置。
實例16可包括實例1至14中之任一者之主題,且可進一步指定該電子總成為一路由器。
實例17可包括實例1至16中之任一者之主題,且可進一步包括:在天線板上之一個或多個連接器。
實例18可包括實例17之主題,且可進一步包括:用以與該一個或多個連接器配合之一個或多個電纜。
實例19可包括實例1至18中之任一者之主題,且可進一步包括:在該IC封裝上之一個或多個連接器。
實例20可包括實例19之主題,且可進一步指定該天線板包括一個或多個孔,且該一個或多個連接器延伸通過該一個或多個孔中之對應孔。
實例21可包括實例19至20中之任一者之主題,且可進一步包括:用以與該一個或多個連接器配合之一個或多個電纜。
實例22可包括實例1至21中之任一者之主題,且可進一步指定該IC封裝之一佔據面積小於該天線板之一佔據面積。
實例23可包括實例1至21中之任一者之主題,且可進一步指定該IC封裝之一佔據面積大於該天線板之一佔據面積。
實例24為一種天線板,其包括:一電路板;一橋接器結構,其在該橋接器結構之一第一末端處及在該橋接器結構之一第二末端處耦接至該電路板,其中一空氣腔存在於該電路板與至少之間;及一個或多個天線平片,其耦接至該橋接器結構。
實例25可包括實例24之主題,且可進一步指定該橋接器結構具有一彎曲形狀。
實例26可包括實例24之主題,且可進一步指定該橋接器結構具有一實質上平面形狀。
實例27可包括實例24至26中之任一者之主題,且可進一步指定該橋接器結構具有一第一面及一對置第二面,該第一面在該第二面與該電路板之間,且一個或多個天線平片耦接至該第一面。
實例28可包括實例27之主題,且可進一步指定一個或多個天線平片耦接至該第二面。
實例29可包括實例24至28中之任一者之主題,且可進一步指定該橋接器結構由焊料耦接至該電路板。
實例30可包括實例24至29中之任一者之主題,且可進一步指定該橋接器結構由一黏著劑耦接至該電路板。
實例31可包括實例24至30中之任一者之主題,且可進一步指定該一個或多個天線平片提供一毫米波天線陣列。
實例32可包括實例24至31中之任一者之主題,且可進一步指定該電路板包括在一個或多個邊緣處之一切口。
實例33可包括實例24至32中之任一者之主題,且可進一步包括通過該天線板之一個或多個孔。
實例34為一種天線板,其包括:一天線平片支撐件;及多個天線平片,其耦接至該天線平片支撐件,其中該等天線平片被配置成一線性陣列,且該等天線平片中之至少一者自該線性陣列旋轉地偏移。
實例35可包括實例34之主題,且可進一步指定該等多個天線平片包括四個或更多天線平片。
實例36可包括實例34至35中之任一者之主題,且可進一步指定該等天線平片中之該至少一者在一z方向上自該線性陣列旋轉地偏移。
實例37可包括實例34至36中之任一者之主題,且可進一步指定該等多個天線平片由焊料或一黏著劑耦接至該天線平片支撐件。
實例38可包括實例34至37中之任一者之主題,且可進一步指定該天線平片支撐件包括一印刷電路板。
實例39可包括實例34至38中之任一者之主題,且可進一步指定該等天線平片中之個別天線平片具有一矩形佔據面積。
實例40可包括實例34至39中之任一者之主題,且可進一步指定該天線平片支撐件包括在該天線平片支撐件之一個或多個邊緣處之一切口。
實例41可包括實例34至40中之任一者之主題,且可進一步包括通過該天線板之一個或多個孔。
實例42為一種通訊裝置,其包括:一天線板,其包括一天線平片支撐件及一毫米波天線平片陣列;一凸座;及一螺釘,其旋擰於該凸座中,其中該螺釘用以將該天線板緊固至該凸座。
實例43可包括實例42之主題,且可進一步指定該天線板包括一切口,且該螺釘至少部分地安置於該切口中。
實例44可包括實例42至34中之任一者之主題,且可進一步包括:一間隔件;其中該間隔件在該凸座與該螺釘之一頭部之間。
實例45可包括實例44之主題,且可進一步指定該間隔件在該天線板上方延伸。
實例46可包括實例42至45中之任一者之主題,且可進一步指定該凸座包括一金屬。
實例47可包括實例42至45中之任一者之主題,且可進一步指定該凸座包括並不導電之一材料。
實例48可包括實例47之主題,且可進一步指定該通訊裝置包括在該螺釘與耦接至該天線板之一IC封裝之間的一導電路徑。
實例49可包括實例42至48中之任一者之主題,且可進一步指定該凸座為一第一凸座,該螺釘為一第一螺釘,且該通訊裝置進一步包括一第二凸座及旋擰於該第二凸座中之一第二螺釘,其中該第二螺釘用以將該天線板緊固至該凸座。
實例50可包括實例42至49中之任一者之主題,且可進一步包括:一銷;及在該天線板中之一孔,該銷延伸至該孔中。
實例51可包括實例42至50中之任一者之主題,且可進一步指定該通訊裝置為一手持型通訊裝置。
實例52可包括實例42至51中之任一者之主題,且可進一步包括:一積體電路(IC)封裝,其耦接至該天線板之一第一面,其中該毫米波天線平片陣列耦接至該天線板之一第二對置面。
實例53為一種根據本文中所揭露之實施例中之任一者的天線模組。
實例54為一種根據本文中所揭露之實施例中之任一者的天線板。
實例55為一種根據本文中所揭露之實施例中之任一者的天線板夾具。
實例56為一種根據本文中所揭露之實施例中之任一者的總成,其包括耦接至一天線板夾具之一天線板。
實例57為一種根據本文中所揭露之實施例中之任一者的製造一天線板之方法。
實例58為一種根據本文中所揭露之實施例中之任一者的製造一天線模組之方法。
實例59為一種根據本文中所揭露之實施例中之任一者的緊固一通訊裝置中之一天線模組之方法。
實例60為一種根據本文中所揭露之實施例中之任一者的天線平片配置。
實例61為一種根據本文中所揭露之實施例中之任一者的通訊裝置。
實例62為一種根據本文中所揭露之實施例中之任一者的天線模組,其包括一天線板及一積體電路(IC)封裝。
實例63為一種根據本文中所揭露之實施例中之任一者的天線板,其包括空腔。
實例64為一種根據本文中所揭露之實施例中之任一者的天線板,其包括在天線平片下方之空腔。
實例65為一種根據本文中所揭露之實施例中之任一者的天線板,其包括不在天線平片下方之空腔。
實例66為一種根據本文中所揭露之實施例中之任一者的天線板夾具,其在操作期間提供熱耗散。
實例67為一種根據本文中所揭露之實施例中之任一者的在一天線板夾具中之螺釘配置,其在操作期間提供熱耗散。
實例68為一種根據本文中所揭露之實施例中之任一者的在一天線板夾具中之螺釘配置,其在操作期間充當天線。
實例69為一種根據本文中所揭露之實施例中之任一者的天線模組,其包括用於在多個中心頻率下通訊之多組天線。
100‧‧‧天線模組 102‧‧‧天線板 104‧‧‧天線平片 105、171‧‧‧連接器 106‧‧‧黏著劑 108、1720、1724、1726、1732‧‧‧IC封裝 110‧‧‧天線平片支撐件 111‧‧‧窄化部分 112、1702‧‧‧電路板 114‧‧‧阻焊劑 116、118、120、126、144、146、148、1636‧‧‧導電接點 122‧‧‧焊料 124‧‧‧橋接器結構 130、132‧‧‧空腔 134‧‧‧封裝基板 136‧‧‧組件 140‧‧‧模具材料 142‧‧‧第二層級互連件 150‧‧‧第一層級互連件 151、1800‧‧‧通訊裝置 152‧‧‧保形屏蔽件 154‧‧‧切口 158‧‧‧螺釘 160‧‧‧凸座 162‧‧‧間隔件 164‧‧‧天線平片夾具/天線板夾具 168、173‧‧‧孔 170‧‧‧銷 172‧‧‧天線層 174‧‧‧介入結構 175‧‧‧電纜 176‧‧‧後蓋 178‧‧‧底板 179‧‧‧開口 180-1、180-2‧‧‧氣隙 182‧‧‧顯示器 184‧‧‧金屬或塑膠殼體 190‧‧‧膝上型通訊裝置 196‧‧‧扁平電纜 198‧‧‧手持型通訊裝置 1500‧‧‧晶圓 1502‧‧‧晶粒 1600‧‧‧IC裝置 1602‧‧‧基板 1604‧‧‧裝置層 1606‧‧‧第一互連層 1608‧‧‧第二互連層 1610‧‧‧互連層 1619‧‧‧金屬化物堆疊 1620‧‧‧S/D區域 1622‧‧‧閘極 1624‧‧‧S/D接點 1626‧‧‧介電材料 1628‧‧‧互連結構 1628a‧‧‧線 1628b、1710‧‧‧通路 1634‧‧‧阻焊劑材料 1640‧‧‧電晶體 1700‧‧‧IC裝置總成 1704‧‧‧中介層 1706‧‧‧矽穿孔(TSV) 1708‧‧‧金屬互連件 1714‧‧‧嵌入式裝置 1716、1718、1722、1728、1730‧‧‧耦接組件 1734‧‧‧疊層封裝結構 1736‧‧‧中介層上封裝結構 1740‧‧‧第一面 1742‧‧‧第二面 1802‧‧‧處理裝置 1804‧‧‧記憶體 1806‧‧‧顯示裝置 1808‧‧‧音訊輸出裝置 1810‧‧‧其他輸出裝置 1812‧‧‧通訊模組 1814‧‧‧電池/電力電路系統 1818‧‧‧GPS裝置 1820‧‧‧其他輸入裝置 1822‧‧‧天線 1824‧‧‧音訊輸入裝置 x、y、z‧‧‧方向
藉由結合隨附圖式之以下詳細描述將容易理解實施例。為了促進此描述,類似參考數字標出類似結構元件。在隨附圖式之各圖中作為實例而非限制繪示了實施例。
圖1為根據各種實施例之天線模組的側視橫截面圖。
圖2至圖4為根據各種實施例之實例天線板的側視橫截面圖。
圖5為根據各種實施例之實例天線平片的俯視圖。
圖6至圖11為根據各種實施例之實例天線板的側視橫截面圖。
圖12及圖13為根據各種實施例之實例天線平片的側視橫截面圖。
圖14及圖15為根據各種實施例之在天線板中之實例天線平片配置的仰視圖。
圖16為根據各種實施例之在天線板中之實例天線平片配置的側視橫截面圖。
圖17為根據各種實施例之可包括於天線模組中之積體電路(IC)封裝的側視橫截面圖。
圖18為根據各種實施例之包括天線模組之通訊裝置之部分的側視橫截面圖。
圖19為根據各種實施例之實例天線板的俯視圖。
圖20為根據各種實施例之耦接至天線板夾具的圖19之天線板的側視橫截面圖。
圖21為根據各種實施例之實例天線板的俯視圖。
圖22為根據各種實施例之耦接至天線板夾具的圖21之天線板的側視橫截面圖。
圖23A及圖23B分別為根據各種實施例之耦接至天線板夾具之天線板的俯視圖及側視橫截面圖。
圖24為根據各種實施例之耦接至天線板夾具之天線板的側視橫截面圖。
圖25至圖28為根據各種實施例之實例天線模組的分解透視圖。
圖29A及圖29B分別為根據各種實施例之實例天線模組的俯視及仰視透視圖。
圖30為根據各種實施例之包括天線模組之手持型通訊裝置的透視圖。
圖31為根據各種實施例之包括多個天線模組之膝上型通訊裝置的透視圖。
圖32A及圖32B為根據各種實施例之實例天線模組的側視橫截面圖。
圖33至圖36為根據各種實施例之實例天線模組的側視橫截面圖。
圖37為根據本文中所揭露之實施例中之任一者的可包括於天線模組中之晶圓及晶粒的俯視圖。
圖38為根據本文中所揭露之實施例中之任一者的可包括於天線模組中之IC裝置的側視橫截面圖。
圖39為根據本文中所揭露之實施例中之任一者的可包括天線模組之IC裝置總成的側視橫截面圖。
圖40為根據本文中所揭露之實施例中之任一者的可包括天線模組之實例通訊裝置的方塊圖。
102‧‧‧天線板
104‧‧‧天線平片
106‧‧‧黏著劑
110‧‧‧天線平片支撐件
112‧‧‧電路板
114‧‧‧阻焊劑
118‧‧‧導電接點
y、z‧‧‧方向

Claims (15)

  1. 一種手持型通訊裝置,其包含:一天線總成,其包括天線結構之一陣列,其中:該等天線結構中之個別天線結構包括多個天線層,該天線總成進一步包括一晶粒及多個印刷電路板層,該等多個印刷電路板層係位於該晶粒與該等天線結構之間,並且該天線總成進一步包括一連接器;一第一旋擰孔,其位於一支撐件中;一第二旋擰孔,其位於該支撐件中;一第一螺釘,其位於該第一旋擰孔中,其中該天線總成之一部分係位於該第一螺釘的頭部與該支撐件之間,且該第一螺釘包括一金屬;一第二螺釘,其位於該第二旋擰孔中,其中該天線總成之一部分係位於該第二螺釘的頭部與該支撐件之間,且該第二螺釘包括一金屬;以及一可撓性印刷電路(FPC)電纜,其耦接至該連接器。
  2. 如請求項1之手持型通訊裝置,其中該天線總成包括一開口,且該第一螺釘係至少部分地位於該開口中。
  3. 如請求項1之手持型通訊裝置,其中該手持型通訊裝置包括位於該第一螺釘與該晶粒之間的一導電路徑。
  4. 如請求項1之手持型通訊裝置,其中該第一 螺釘係與該天線總成之一第一縱向末端緊接,且該第二螺釘係與該天線總成之一第二縱向末端緊接。
  5. 如請求項1之手持型通訊裝置,其中該天線結構之陣列為一種四個天線結構之陣列。
  6. 如請求項1之手持型通訊裝置,其中該等多個印刷電路板層包括介於兩個到八個之間的印刷電路板層。
  7. 如請求項1之手持型通訊裝置,其中該天線結構之陣列係與該天線總成之一第一表面緊接、該連接器係與該天線總成之一第二表面緊接,且該第二表面係相對於該第一表面。
  8. 如請求項1之手持型通訊裝置,其中該晶粒為一射頻(RF)通訊晶粒。
  9. 如請求項1之手持型通訊裝置,其中該天線總成係與該手持型通訊裝置之一側面緊接。
  10. 如請求項1之手持型通訊裝置,其中該支撐件包括一金屬。
  11. 如請求項1之手持型通訊裝置,其進一步包含:一觸控式螢幕。
  12. 一種手持型通訊裝置,其包含:一天線總成,其包括天線結構之一陣列,其中:該等天線結構中之個別天線結構包括多個天線層,該天線總成進一步包括一晶粒及多個印刷電路板層, 該等多個印刷電路板層係位於該晶粒與該等天線結構之間,並且該天線總成進一步包括一連接器;一第一孔,其位於一支撐件中;一第二孔,其位於該支撐件中;一第一扣件,其位於該第一孔中,其中該天線總成之一部分係位於該第一扣件的頭部與該支撐件之間,且該第一扣件包括一金屬;一第二扣件,其位於該第二孔中,其中該天線總成之一部分係位於該第二扣件的頭部與該支撐件之間,且該第二扣件包括一金屬;以及一可撓性印刷電路(FPC)電纜,其耦接至該連接器。
  13. 如請求項12之手持型通訊裝置,其中該天線總成包括一開口,且該第一扣件係至少部分地位於該開口中。
  14. 如請求項12之手持型通訊裝置,其中該第一扣件係與該天線總成之一第一縱向末端緊接,且該第二扣件係與該天線總成之一第二縱向末端緊接。
  15. 如請求項12之手持型通訊裝置,其中該晶粒為一射頻(RF)通訊晶粒。
TW108101191A 2018-02-20 2019-01-11 天線模組及通訊裝置 TWI817979B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862632994P 2018-02-20 2018-02-20
US62/632,994 2018-02-20
US15/939,139 2018-03-28
US15/939,139 US20190260110A1 (en) 2018-02-20 2018-03-28 Antenna modules and communication devices

Publications (2)

Publication Number Publication Date
TW201935772A TW201935772A (zh) 2019-09-01
TWI817979B true TWI817979B (zh) 2023-10-11

Family

ID=67617012

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108101191A TWI817979B (zh) 2018-02-20 2019-01-11 天線模組及通訊裝置

Country Status (6)

Country Link
US (1) US20190260110A1 (zh)
KR (1) KR20200113186A (zh)
CN (1) CN111492537A (zh)
DE (1) DE112019000890T5 (zh)
TW (1) TWI817979B (zh)
WO (1) WO2019164619A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11336015B2 (en) 2018-03-28 2022-05-17 Intel Corporation Antenna boards and communication devices
US11380979B2 (en) 2018-03-29 2022-07-05 Intel Corporation Antenna modules and communication devices
US11011827B2 (en) 2018-05-11 2021-05-18 Intel IP Corporation Antenna boards and communication devices
KR102482148B1 (ko) 2018-05-16 2022-12-29 삼성전자주식회사 안테나를 포함하는 전자 장치 및 방법
US11509037B2 (en) * 2018-05-29 2022-11-22 Intel Corporation Integrated circuit packages, antenna modules, and communication devices
US10797394B2 (en) 2018-06-05 2020-10-06 Intel Corporation Antenna modules and communication devices
US11490517B2 (en) * 2019-07-31 2022-11-01 ABB Power Electronics, Inc. Interposer printed circuit boards for power modules
US10993325B2 (en) 2019-07-31 2021-04-27 Abb Power Electronics Inc. Interposer printed circuit boards for power modules
US11502419B1 (en) 2019-11-21 2022-11-15 Anokiwave, Inc. Standard printed circuit board patch array
WO2023279023A1 (en) * 2021-06-30 2023-01-05 Smart Tracking Technologies, Llc Animal wearable tracker device and related methods

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100164783A1 (en) * 2008-12-31 2010-07-01 Debabani Choudhury Platform Integrated Phased Array Transmit/Receive Module
US20130189935A1 (en) * 2012-01-24 2013-07-25 E I Du Pont De Nemours And Company LOW TEMPERATURE CO-FIRED CERAMIC (LTCC) SYSTEM IN A PACKAGE (SiP) CONFIGURATIONS FOR MICROWAVE/MILLIMETER WAVE PACKAGING APPLICATIONS
TW201340629A (zh) * 2012-03-30 2013-10-01 Apple Inc 具有具組件之撓性饋送結構之天線
US20160308563A1 (en) * 2015-04-17 2016-10-20 Apple Inc. Electronic Device With Millimeter Wave Antennas
US20180026341A1 (en) * 2016-07-22 2018-01-25 Apple Inc. Electronic Device With Millimeter Wave Antennas on Printed Circuits

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6404643B1 (en) * 1998-10-15 2002-06-11 Amerasia International Technology, Inc. Article having an embedded electronic device, and method of making same
KR200406775Y1 (ko) * 2005-10-18 2006-01-24 주식회사 에이텔시스텍 차량용 안테나
US8411444B2 (en) * 2010-09-15 2013-04-02 International Business Machines Corporation Thermal interface material application for integrated circuit cooling
US10686252B2 (en) * 2014-06-16 2020-06-16 Apple Inc. Electronic device with patch antenna
US9391370B2 (en) * 2014-06-30 2016-07-12 Samsung Electronics Co., Ltd. Antenna feed integrated on multi-layer PCB
US10347967B2 (en) * 2016-01-26 2019-07-09 Qualcomm Incorporated Signal delivery and antenna layout using flexible printed circuit board (PCB)
US11245175B2 (en) * 2017-09-30 2022-02-08 Qualcomm Incorporated Antenna module configurations

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100164783A1 (en) * 2008-12-31 2010-07-01 Debabani Choudhury Platform Integrated Phased Array Transmit/Receive Module
US20130189935A1 (en) * 2012-01-24 2013-07-25 E I Du Pont De Nemours And Company LOW TEMPERATURE CO-FIRED CERAMIC (LTCC) SYSTEM IN A PACKAGE (SiP) CONFIGURATIONS FOR MICROWAVE/MILLIMETER WAVE PACKAGING APPLICATIONS
TW201340629A (zh) * 2012-03-30 2013-10-01 Apple Inc 具有具組件之撓性饋送結構之天線
US20160308563A1 (en) * 2015-04-17 2016-10-20 Apple Inc. Electronic Device With Millimeter Wave Antennas
US20180026341A1 (en) * 2016-07-22 2018-01-25 Apple Inc. Electronic Device With Millimeter Wave Antennas on Printed Circuits

Also Published As

Publication number Publication date
CN111492537A (zh) 2020-08-04
WO2019164619A1 (en) 2019-08-29
DE112019000890T5 (de) 2020-10-29
US20190260110A1 (en) 2019-08-22
KR20200113186A (ko) 2020-10-06
TW201935772A (zh) 2019-09-01

Similar Documents

Publication Publication Date Title
TWI813628B (zh) 天線模組及通訊裝置
TWI817979B (zh) 天線模組及通訊裝置
US11664596B2 (en) Antenna modules and communication devices
US20230035608A1 (en) Integrated circuit packages, antenna modules, and communication devices
TWI797303B (zh) 天線板及通訊裝置
US11424195B2 (en) Microelectronic assemblies having front end under embedded radio frequency die
US11336015B2 (en) Antenna boards and communication devices
US20200279829A1 (en) Microelectronic assemblies
US11522291B2 (en) Antenna boards and communication devices
TWI841548B (zh) 天線板及通訊裝置