TWI815521B - Semiconductor package and fabricating method thereof - Google Patents

Semiconductor package and fabricating method thereof Download PDF

Info

Publication number
TWI815521B
TWI815521B TW111123848A TW111123848A TWI815521B TW I815521 B TWI815521 B TW I815521B TW 111123848 A TW111123848 A TW 111123848A TW 111123848 A TW111123848 A TW 111123848A TW I815521 B TWI815521 B TW I815521B
Authority
TW
Taiwan
Prior art keywords
block
die
functional
interconnect structure
discussed
Prior art date
Application number
TW111123848A
Other languages
Chinese (zh)
Other versions
TW202240720A (en
Inventor
大衛 錫納樂
麥克 凱利
羅納 休莫勒
Original Assignee
美商艾馬克科技公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/213,769 external-priority patent/US10497674B2/en
Application filed by 美商艾馬克科技公司 filed Critical 美商艾馬克科技公司
Publication of TW202240720A publication Critical patent/TW202240720A/en
Application granted granted Critical
Publication of TWI815521B publication Critical patent/TWI815521B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/1401Structure
    • H01L2224/1403Bump connectors having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Geometry (AREA)
  • Manufacturing & Machinery (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)
  • Heterocyclic Carbon Compounds Containing A Hetero Ring Having Oxygen Or Sulfur (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Led Device Packages (AREA)

Abstract

A semiconductor package structure and a method for making a semiconductor package. As non-limiting examples, various aspects of this disclosure provide various semiconductor package structures, and methods for making thereof, that comprise a connect die that routes electrical signals between a plurality of other semiconductor die.

Description

半導體封裝和其製造方法Semiconductor packaging and manufacturing method thereof

本發明相關於半導體封裝和製造半導體封裝的方法。 相關申請的交叉引用 / 通過引用合併 The present invention relates to semiconductor packages and methods of manufacturing semiconductor packages. Cross-Reference / Incorporation by Reference of Related Applications

本申請案是2017年9月18日提交的標題為“半導體封裝和其製造方法(SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF)”的第15/707,646號美國專利申請案的部分接續申請案,第15/707,646號美國專利申請案是2017年5月12日提交的標題為“半導體封裝和其製造方法(SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF)”的第15/594,313號美國專利申請的部分接續申請案,第15/594,313號美國專利申請案是2016年7月11日提交的標題為“半導體封裝和其製造方法(SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF)”的第15/207,186號美國專利申請案(現在是第9,653,428號美國專利案)的接續,第15/207,186號美國專利申請案引用2016年1月27日提交的標題為“半導體封裝和其製造方法(SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF)”的第62/287,544號美國臨時申請案、主張其優先權並請求其權益,以上申請案中的每一個由此以全文引用的方式併入本文中。This application is a continuation-in-part of U.S. Patent Application No. 15/707,646, titled "SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF", filed on September 18, 2017. U.S. Patent Application No. 15/594,313 titled "SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF" filed on May 12, 2017 is a continuation-in-part of U.S. Patent Application No. 15/ U.S. Patent Application No. 594,313 is U.S. Patent Application No. 15/207,186 titled "SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF" filed on July 11, 2016 (now U.S. Patent No. 9,653,428 Continuation of the patent case), U.S. Patent Application No. 15/207,186 cites U.S. Provisional No. 62/287,544 titled "SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF" filed on January 27, 2016 Applications, claiming priority and claiming the benefit thereof, each of which is hereby incorporated by reference in its entirety.

本申請案與以下申請案有關:2015年4月14日提交的標題為“具有高佈設密度貼片的半導體封裝(SEMICONDUCTOR PACKAGE WITH HIGH ROUTING DENSITY PATCH)”的第14/686,725號美國專利申請案;2015年8月11日提交的標題為“半導體封裝和其製造方法(SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF)”的第14/823,689號美國專利申請案(現在是第9,543,242號美國專利案);2017年1月6日提交的標題為“半導體封裝和其製造方法(SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF)”的第15/400,041號美國專利申請案;以及2016年3月10日提交的標題為“半導體封裝和其製造方法(SEMICONDUCTOR PACKAGE AND MANUFACTURING METHOD THEREOF)”的第15/066,724號美國專利申請案,以上申請案中的每一個由此以全文引用的方式併入本文中。This application is related to the following application: U.S. Patent Application No. 14/686,725 titled "SEMICONDUCTOR PACKAGE WITH HIGH ROUTING DENSITY PATCH" filed on April 14, 2015; U.S. Patent Application No. 14/823,689 (now U.S. Patent No. 9,543,242) entitled "SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF" filed on August 11, 2015; 2017 January U.S. Patent Application No. 15/400,041 titled "SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF" filed on March 6; and filed on March 10, 2016 titled "Semiconductor Packaging and Fabricating Methods Therefor" U.S. Patent Application No. 15/066,724 "SEMICONDUCTOR PACKAGE AND MANUFACTURING METHOD THEREOF", each of which is hereby incorporated by reference in its entirety.

目前的半導體封裝和用於形成半導體封裝的方法是不適當的,例如導致成本過高、可靠性降低或封裝尺寸過大。通過將此類方法與如在本申請案的其餘部分中參考附圖所闡述的本揭示內容進行比較,對於所屬領域的技術人員而言,常規和傳統方法的進一步限制和缺點將變得顯而易見。Current semiconductor packages and methods for forming semiconductor packages are inadequate, resulting in, for example, excessive cost, reduced reliability, or excessive package size. Further limitations and disadvantages of conventional and traditional methods will become apparent to those skilled in the art by comparing such methods with the present disclosure as set forth in the remainder of this application with reference to the accompanying drawings.

本揭示內容的各種態樣提供一種半導體封裝結構和一種用於製造半導體封裝的方法。作為非限制性實例,本揭示的各種態樣提供各種半導體封裝結構和其製造方法,所述半導體封裝結構包括在多個其它半導體晶粒之間按特定路線發送電信號的連接晶粒。Various aspects of the present disclosure provide a semiconductor package structure and a method for manufacturing a semiconductor package. As non-limiting examples, aspects of the present disclosure provide various semiconductor package structures including connection dies that route electrical signals between multiple other semiconductor dies and methods of fabricating the same.

以下討論通過提供其實例來呈現本揭示內容的各種態樣。此類實例是非限制性的,並且由此本揭示內容的各種態樣的範圍不必一定由所提供的實例的任何特定特徵來限制。在以下討論中,用語“例如”和“示例性”是非限制性的,並且通常與“作為實例而非限制”、“例如且非限制性”等同義。The following discussion presents various aspects of this disclosure by providing examples thereof. Such examples are non-limiting, and thus the scope of various aspects of the present disclosure is not necessarily limited by any specific features of the examples provided. In the following discussion, the terms "such as" and "exemplary" are non-limiting and are generally synonymous with "by way of example and not limitation," "for example and not limiting."

如本文所用,“和/或”是指列表中由“和/或”連接的任何一個或多個項。例如,“x和/或y”表示三元素集合{(x), (y), (x, y)}中的任何元素。換句話說,“x和/或y”表示“x和y中的一個或兩個”。作為另一實例,“x、y和/或z”表示七元素集合{(x), (y), (z), (x, y), (x, z), (y, z), (x, y, z)}中的任何元素。換句話說,“x、y和/或z”表示“x、y和z中的一個或多個”。As used herein, "and/or" refers to any one or more items in a list connected by "and/or". For example, "x and/or y" means any element in the three-element set {(x), (y), (x, y)}. In other words, "x and/or y" means "one or both of x and y". As another example, "x, y and/or z" means a seven-element set {(x), (y), (z), (x, y), (x, z), (y, z), ( any element in x, y, z)}. In other words, "x, y, and/or z" means "one or more of x, y, and z."

本文使用的術語僅出於描述特定實例的目的,且並不意圖限制本揭示內容。如本文所使用,除非上下文另外明確指示,否則單數形式也意圖包含複數形式。將進一步理解,術語“包括”、“包含”、“具有”等當在本說明書中使用時,表示所陳述特徵、整體、步驟、操作、元件和/或部件的存在,但是不排除一個或多個其它特徵、整體、步驟、操作、元件、部件和/或其群組的存在或添加。The terminology used herein is for the purpose of describing particular examples only and is not intended to limit the disclosure. As used herein, the singular forms are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms "comprising," "comprising," "having" and the like, when used in this specification, mean that the presence of stated features, integers, steps, operations, elements and/or components does not exclude the presence of one or more The presence or addition of other features, integers, steps, operations, elements, parts and/or groups thereof.

應理解的是,雖然術語第一、第二等可在本文中用以描述各種元件,但這些元件不應受這些術語的限制。這些術語僅用於將一個元件與另一元件區分開來。因此,例如,在不脫離本揭示內容的教示的情況下,下文討論的第一元件、第一部件或第一部分可被稱為第二元件、第二部件或第二部分。類似地,各種空間術語,例如“上部”、“下部”、“側部”等,可以用於以相對方式將一個元件與另一元件區分開來。然而,應理解的是,部件可以不同方式定向,例如,在不脫離本揭示內容的教示的情況下,半導體裝置或封裝可以側向轉動,使得其“頂”表面水平地面向且其“側”表面垂直地面向。It will be understood that, although the terms first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another element. Thus, for example, a first element, component or section discussed below could be termed a second element, component or section without departing from the teachings of the present disclosure. Similarly, various spatial terms such as "upper," "lower," "sides," etc. may be used to distinguish one element from another element in a relative manner. However, it is understood that the components may be oriented differently, for example, a semiconductor device or package may be turned sideways such that its "top" surface faces horizontally and its "sides" without departing from the teachings of this disclosure. The surface faces vertically.

本揭示內容的各種態樣提供了一種半導體裝置或封裝和其製造方法,其可以降低成本、增加可靠性和/或提高半導體裝置或封裝的可製造性。Various aspects of the present disclosure provide a semiconductor device or package and a method of fabricating the same that may reduce cost, increase reliability, and/or improve manufacturability of the semiconductor device or package.

本揭示內容的以上和其它態樣將在各種實例實施方案的以下描述中進行描述並從各種實例實施方案的以下描述中顯而易見。現將參考附圖提出本揭示內容的各種態樣,使得所屬領域的技術人員可容易地實踐各種態樣。The above and other aspects of the present disclosure will be described in and will be apparent from the following description of various example embodiments. Various aspects of the present disclosure will now be presented with reference to the accompanying drawings so that those skilled in the art can readily practice the various aspects.

圖1示出製造電子裝置(例如,半導體封裝等)的實例方法100的流程圖。實例方法100可以例如與本文討論的任何其它實例方法(例如,圖3的實例方法300、圖5的實例方法500、圖7的實例方法700等)共享任何或所有特徵。圖2A至圖2Q示出的橫截面圖示出根據本揭示內容的各種態樣的實例電子裝置(例如,半導體封裝等)和製造實例電子裝置的實例方法。圖2A至圖2Q可以例如以圖1的方法100的各個方塊(或步驟)示出實例電子裝置。現將一起討論圖1和圖2A至圖2Q。應注意,在不脫離本揭示內容的範圍的情況下,方法100的實例方塊的順序可以變化。1 illustrates a flow diagram of an example method 100 of manufacturing an electronic device (eg, semiconductor package, etc.). Example method 100 may, for example, share any or all features with any other example method discussed herein (eg, example method 300 of FIG. 3, example method 500 of FIG. 5, example method 700 of FIG. 7, etc.). 2A-2Q illustrate cross-sectional views illustrating example electronic devices (eg, semiconductor packages, etc.) and example methods of fabricating the example electronic devices in accordance with various aspects of the present disclosure. 2A-2Q may illustrate an example electronic device, such as in various blocks (or steps) of the method 100 of FIG. 1 . Figures 1 and 2A-2Q will now be discussed together. It should be noted that the order of the example blocks of method 100 may vary without departing from the scope of the present disclosure.

實例方法100可以在方塊105處開始執行。方法100可以響應於各種原因或條件中的任何一種而開始執行,本文提供了其非限制性實例。例如,在方法100執行期間使用的部件和/或製造材料到達時,方法100可以響應於從一個或多個上游和/或下游製造站接收的一個或多個信號、響應於來自中央製造線控制器的信號等而開始自動執行。又例如,方法100可以響應於操作員命令開始而開始執行。另外,例如,方法100可以響應於從本文討論的任何其它方法方塊(或步驟)接收到執行流程而開始執行。Execution of the instance method 100 may begin at block 105 . Method 100 may begin execution in response to any of a variety of causes or conditions, non-limiting examples of which are provided herein. For example, method 100 may be responsive to one or more signals received from one or more upstream and/or downstream manufacturing stations upon the arrival of components and/or manufacturing materials used during execution of method 100 , in response to input from a central manufacturing line control. The signal from the controller starts to execute automatically. As another example, method 100 may begin execution in response to an operator command to begin. Additionally, for example, method 100 may begin execution in response to receiving an execution flow from any other method block (or step) discussed herein.

實例方法100可以在方塊110處包括接收、製造和/或準備多個功能晶粒。方塊110可以包括以各種方式中的任何一種接收、製造和/或準備多個功能晶粒,本文提供了其非限制性實例。例如,方塊110可以與本文討論的功能晶粒接收、製造和/或準備操作中的任何一個共享任何或所有特徵。在圖2A呈現了方塊110的各種實例態樣。Example method 100 may include receiving, fabricating, and/or preparing a plurality of functional dies at block 110 . Block 110 may include receiving, fabricating, and/or preparing a plurality of functional dies in any of a variety of manners, non-limiting examples of which are provided herein. For example, block 110 may share any or all characteristics with any of the functional die receipt, fabrication, and/or preparation operations discussed herein. Various example aspects of block 110 are presented in Figure 2A.

方塊110可以例如包括在相同設施或地理位置從上游製造製程接收多個功能晶粒(或其任何部分)。方塊110還可以例如包括從供應商(例如,從鑄造廠等)接收功能晶粒(或其任何部分)。Block 110 may include, for example, receiving multiple functional dies (or any portion thereof) from an upstream manufacturing process at the same facility or geographic location. Block 110 may also include, for example, receiving the functional die (or any portion thereof) from a supplier (eg, from a foundry, etc.).

所接收、製造和/或準備的功能晶粒可以包括各種特徵中的任何一種。例如,儘管未示出,但是所接收的晶粒可以包括在同一晶圓(例如,多項目晶圓(MPW))上的多個不同晶粒。第15/594,313號美國專利申請案的圖2A的實例210A中示出了此類配置的實例,所述美國專利申請案出於所有目的由此以全文引用的方式併入本文中。在此類MPW配置中,晶圓可以包含多個不同類型的功能晶粒。例如,第一晶粒可以包括處理器,並且第二晶粒可以包括記憶體晶片。又例如,第一晶粒可以包括處理器,並且第二晶粒可以包括協處理器。另外,例如,第一晶粒和第二晶粒均可以包括記憶體晶片。通常,晶粒可以包括主動半導體電路。儘管本文中呈現的各種實例通常放置或附接經過切割的功能晶粒,但是此類晶粒也可以在放置之前相互連接(例如,作為同一半導體晶圓的一部分、作為重構晶圓的一部分等)。Functional dies received, fabricated, and/or prepared may include any of a variety of features. For example, although not shown, the received dies may include multiple different dies on the same wafer (eg, a multi-project wafer (MPW)). An example of such a configuration is shown in Example 210A of Figure 2A of US Patent Application No. 15/594,313, which is hereby incorporated by reference in its entirety for all purposes. In such MPW configurations, the wafer can contain multiple functional dies of different types. For example, a first die may include a processor and a second die may include a memory die. As another example, a first die may include a processor and a second die may include a coprocessor. Additionally, for example, both the first die and the second die may include memory dies. Typically, a die may include active semiconductor circuitry. Although the various examples presented herein typically place or attach diced functional dies, such dies may also be interconnected prior to placement (e.g., as part of the same semiconductor wafer, as part of a reconstituted wafer, etc. ).

方塊110可以例如包括在專用於單一類型的晶粒的一個或多個相應晶圓中接收功能晶粒。例如,如圖2A所示,實例200A-1示出專用於晶粒1的整個晶圓的晶圓,所述晶粒的實例晶粒以元件符號211示出,並且實例晶圓200A-3示出專用於晶粒2的整個晶圓的晶圓,所述晶粒的實例晶粒以元件符號212示出。應理解的是,儘管本文所示的各種實例通常涉及第一和第二功能晶粒(例如,晶粒1和晶粒2),但本揭示內容的範圍擴展到相同或不同類型的任何數量的功能晶粒(例如,三個晶粒、四個晶粒等)。例如,除了或代替功能半導體晶粒,本揭示內容的範圍還擴展到被動電子部件(例如,電阻器、電容器、電感器等)。Block 110 may include, for example, receiving functional dies in one or more corresponding wafers dedicated to a single type of die. For example, as shown in Figure 2A, example 200A-1 shows a wafer dedicated to an entire wafer of die 1, example die of which is shown at element numeral 211, and example wafer 200A-3 shows An entire wafer is shown dedicated to die 2, an example die of which is shown with reference numeral 212. It should be understood that while the various examples shown herein generally relate to first and second functional dies (eg, die 1 and die 2), the scope of the present disclosure extends to any number of the same or different types. Functional die (e.g., three die, four die, etc.). For example, the scope of the present disclosure extends to passive electronic components (eg, resistors, capacitors, inductors, etc.) in addition to or instead of functional semiconductor dies.

功能晶粒211和212可以包括晶粒互連結構。例如,如圖2A所示,第一功能晶粒211包括第一組一個或多個晶粒互連結構213,以及第二組一個或多個晶粒互連結構214。類似地,第二功能晶粒212可以包括此類結構。晶粒互連結構213和214可以包括各種晶粒互連結構特徵中的任何一種,本文提供了其非限制性實例。Functional dies 211 and 212 may include die interconnect structures. For example, as shown in FIG. 2A , the first functional die 211 includes a first set of one or more die interconnect structures 213 and a second set of one or more die interconnect structures 214 . Similarly, the second functional die 212 may include such structures. Die interconnect structures 213 and 214 may include any of a variety of die interconnect structure features, non-limiting examples of which are provided herein.

第一晶粒互連結構213可以例如包括金屬(例如,銅、鋁等)柱或連接盤(land)。第一晶粒互連結構213還可以例如包括導電凸塊(例如,C4凸塊等)或球、引線、柱等。The first die interconnect structure 213 may include, for example, metal (eg, copper, aluminum, etc.) pillars or lands. The first die interconnect structure 213 may also include, for example, conductive bumps (eg, C4 bumps, etc.) or balls, leads, pillars, etc.

第一晶粒互連結構213可以以各種方式中的任何一種形成。例如,第一晶粒互連結構213可以被鍍在功能晶粒211的晶粒襯墊上。又例如,第一晶粒互連結構213可以被印刷和回焊、引線接合等。應注意的是,在一些實例實施方案中,第一晶粒互連結構213可以是第一功能晶粒211的晶粒襯墊。The first die interconnect structure 213 may be formed in any of a variety of ways. For example, first die interconnect structure 213 may be plated on the die pad of functional die 211 . As another example, the first die interconnect structure 213 may be printed and reflowed, wire bonded, or the like. It should be noted that in some example implementations, first die interconnect structure 213 may be a die pad for first functional die 211 .

第一晶粒互連結構213可以例如被封蓋。例如,第一晶粒互連結構213可以被焊料封蓋。又例如,第一晶粒互連結構213可以金屬層(例如,除了焊料之外的金屬層,其形成取代型固體溶液或具有銅的金屬間化合物)封蓋。例如,第一晶粒互連結構213可如在2015年12月8日提交的標題為“金屬接合的瞬態界面梯度接合(Transient Interface Gradient Bonding for Metal Bonds)”的第14/963,037號美國專利申請案中所解釋般形成和/或連接,所述美國專利申請案的全部內容由此以引用的方式併入本文中。另外,例如,第一晶粒互連結構213可如在2016年1月6日提交的標題為“具有互鎖金屬對金屬接合的半導體產品和其製造方法(Semiconductor Product with Interlocking Metal-to-Metal Bonds and Method for Manufacturing Thereof)”的第14/989,455號美國專利申請案中所解釋般形成和/或連接,所述美國專利申請案的全部內容由此以引用的方式併入本文中。The first die interconnect structure 213 may be capped, for example. For example, the first die interconnect structure 213 may be capped by solder. As another example, the first die interconnect structure 213 may be capped with a metal layer (eg, a metal layer other than solder that forms a substituted solid solution or an intermetallic compound with copper). For example, the first die interconnect structure 213 may be as described in U.S. Patent No. 14/963,037 titled "Transient Interface Gradient Bonding for Metal Bonds" filed on December 8, 2015. formed and/or connected as explained in the application, the entire contents of which are hereby incorporated by reference. Additionally, for example, the first die interconnect structure 213 may be as described in a paper filed on January 6, 2016 titled "Semiconductor Product with Interlocking Metal-to-Metal Bonding and Methods of Manufacturing the Same" Bonds and Method for Manufacturing Thereof", U.S. Patent Application No. 14/989,455, the entire contents of which are hereby incorporated by reference.

第一晶粒互連結構213可以例如包括各種尺寸特徵中的任何一種。例如,在實例實施方案中,第一晶粒互連結構213可以包括30微米的間距(例如,中心到中心的間隔)和17.5微米的直徑(或寬度、短軸或主軸寬度等)。又例如,在實例實施方案中,第一晶粒互連結構213可以包括在20到40(或30到40)微米範圍內的間距和在10到25微米範圍內的直徑(或寬度、短軸或主軸寬度等)。第一晶粒互連結構213可以例如是15到20微米高。The first die interconnect structure 213 may include any of a variety of dimensional features, for example. For example, in example embodiments, first die interconnect structure 213 may include a pitch (eg, center-to-center spacing) of 30 microns and a diameter (or width, minor or major axis width, etc.) of 17.5 microns. As another example, in example embodiments, first die interconnect structure 213 may include a pitch in the range of 20 to 40 (or 30 to 40) microns and a diameter (or width, minor axis) in the range of 10 to 25 microns. or spindle width, etc.). The first die interconnect structure 213 may be, for example, 15 to 20 microns tall.

第二晶粒互連結構214可以例如與第一晶粒互連結構213共享任何或所有特徵。第二晶粒互連結構214中的一些或全部可以例如與第一晶粒互連結構213基本不同。The second die interconnect structure 214 may share any or all features with the first die interconnect structure 213 , for example. Some or all of the second die interconnect structures 214 may be substantially different than the first die interconnect structures 213 , for example.

第二晶粒互連結構214可以例如包括金屬(例如,銅、鋁等)柱或連接盤。第二晶粒互連結構214還可以例如包括導電凸塊(例如,C4凸塊等)或球、引線等。第二晶粒互連結構214可以例如是與第一晶粒互連結構213相同的一般類型的互連結構,但是不必如此。例如,第一晶粒互連結構213和第二晶粒互連結構214都可以包括銅柱。又例如,第一晶粒互連結構213可以包括金屬連接盤,並且第二晶粒互連結構214可以包括銅柱。The second die interconnect structure 214 may include, for example, metal (eg, copper, aluminum, etc.) pillars or pads. The second die interconnect structure 214 may also include conductive bumps (eg, C4 bumps, etc.) or balls, leads, etc., for example. The second die interconnect structure 214 may, for example, be the same general type of interconnect structure as the first die interconnect structure 213, but need not be. For example, both the first die interconnect structure 213 and the second die interconnect structure 214 may include copper pillars. As another example, the first die interconnect structure 213 may include metal lands, and the second die interconnect structure 214 may include copper pillars.

第二晶粒互連結構214可以以各種方式中的任何一種形成。例如,第二晶粒互連結構214可以被鍍在功能晶粒211的晶粒襯墊上。又例如,第二晶粒互連結構214可以被印刷和回焊、引線接合等。可以在與第一晶粒互連結構213相同的製程步驟中形成第二晶粒互連結構214,但是此類晶粒互連結構213和214也可以在單獨的各個步驟中和/或在重疊的步驟中形成。The second die interconnect structure 214 may be formed in any of a variety of ways. For example, second die interconnect structure 214 may be plated on the die pad of functional die 211 . As another example, the second die interconnect structure 214 may be printed and reflowed, wire bonded, or the like. The second die interconnect structure 214 may be formed in the same process step as the first die interconnect structure 213, but such die interconnect structures 213 and 214 may also be formed in separate respective steps and/or in overlapping layers. formed in the steps.

例如,在第一實例情境中,可以在與第一晶粒互連結構213相同的第一電鍍操作中形成第二晶粒互連結構214中的每一個的第一部分(例如,第一半、前三分之一)。繼續第一實例情境,然後可以在第二電鍍操作中形成第二晶粒互連結構214中的每一個的第二部分(例如,第二半、其餘三分之二等)。例如,在第二電鍍操作期間,可以抑制第一晶粒互連結構213進行額外的電鍍(例如,通過在其上形成的介電質或保護遮罩層、通過去除電鍍信號等)。在另一實例情境中,可以在完全獨立於用於形成第一晶粒互連結構213的第一電鍍製程的第二電鍍製程中形成第二晶粒互連結構214,在第二電鍍製程期間所述第一晶粒互連結構可以例如由保護遮罩層覆蓋。For example, in a first example scenario, a first portion of each of the second die interconnect structures 214 (eg, a first half, first third). Continuing with the first example scenario, a second portion (eg, second half, remaining two-thirds, etc.) of each of the second die interconnect structures 214 may then be formed in a second plating operation. For example, during the second plating operation, the first die interconnect structure 213 may be inhibited from additional plating (eg, by a dielectric or protective mask layer formed thereon, by removing plating signals, etc.). In another example scenario, the second die interconnect structure 214 may be formed in a second plating process that is completely independent of the first plating process used to form the first die interconnect structure 213 during the second plating process. The first die interconnect structure may be covered by a protective mask layer, for example.

第二晶粒互連結構214可以例如未封蓋。例如,第二晶粒互連結構214可以未被焊料封蓋。在實例情境中,第一晶粒互連結構213可以被封蓋(例如,被焊料封蓋、被金屬層封蓋等),而第二晶粒互連結構214未被封蓋。在另一實例情境中,第一晶粒互連結構213和第二晶粒互連結構214均未被封蓋。The second die interconnect structure 214 may be uncapped, for example. For example, the second die interconnect structure 214 may not be capped by solder. In an example scenario, the first die interconnect structure 213 may be capped (eg, capped by solder, capped by a metal layer, etc.) while the second die interconnect structure 214 is not capped. In another example scenario, neither the first die interconnect structure 213 nor the second die interconnect structure 214 is capped.

第二晶粒互連結構214可以例如包括各種尺寸特徵中的任何一種。例如,在實例實施方案中,第二晶粒互連結構214可以包括80微米的間距(例如,中心到中心的間隔)和25微米或更大的直徑(或寬度)。又例如,在實例實施方案中,第二晶粒互連結構214可以包括在50到80微米範圍內的間距和在20到30微米範圍內的直徑(或寬度、短軸或主軸寬度等)。另外,例如,在實例實施方案中,第二晶粒互連結構214可以包括在80到150(或100到150)微米範圍內的間距和在25到40微米範圍內的直徑(或寬度、短軸或主軸寬度等)。第二晶粒互連結構214可以例如是40到80微米高。The second die interconnect structure 214 may include any of a variety of dimensional features, for example. For example, in example embodiments, second die interconnect structure 214 may include a pitch (eg, center-to-center spacing) of 80 microns and a diameter (or width) of 25 microns or greater. As another example, in example embodiments, the second die interconnect structure 214 may include a pitch in the range of 50 to 80 microns and a diameter (or width, minor or major axis width, etc.) in the range of 20 to 30 microns. Additionally, for example, in example embodiments, the second die interconnect structure 214 may include a pitch in the range of 80 to 150 (or 100 to 150) microns and a diameter (or width, short diameter) in the range of 25 to 40 microns. axis or spindle width, etc.). The second die interconnect structure 214 may be, for example, 40 to 80 microns tall.

應注意,可以接收已經具有形成在其上的一個或多個晶粒互連結構213/214(或其任何部分)的功能晶粒(例如,呈晶圓形式等)。It should be noted that functional dies (eg, in wafer form, etc.) may be received that already have one or more die interconnect structures 213/214 (or any portion thereof) formed thereon.

還應注意,此時可以從其原始晶粒厚度(例如,通過研磨、機械和/或化學減薄等)使功能晶粒(例如,呈晶圓形式)減薄,但是不必如此。例如,功能晶粒晶圓(例如,實例200A-1、200A-2、200A-3和/或200A-4所示的晶圓)可以是全厚度晶圓。又例如,可以將功能晶粒晶圓(例如,實例200A-1、200A-2、200A-3、200A-4等所示的晶圓)至少部分地變薄以減小所得封裝的厚度同時仍實現安全地處理晶圓。It should also be noted that the functional die (eg, in wafer form) can be thinned at this point from its original die thickness (eg, by grinding, mechanical and/or chemical thinning, etc.), but does not have to be. For example, functional die wafers (eg, the wafers shown in Examples 200A-1, 200A-2, 200A-3, and/or 200A-4) may be full thickness wafers. As another example, functional die wafers (eg, the wafers shown in Examples 200A-1, 200A-2, 200A-3, 200A-4, etc.) can be at least partially thinned to reduce the thickness of the resulting package while still Enables safe wafer handling.

通常,方塊110可以包括接收、製造和/或準備多個功能晶粒。因此,本揭示內容的範圍不應受此類接收和/或製造的任何特定方式的特徵的限制,也不受此類功能晶粒的任何特定特徵的限制。Generally, block 110 may include receiving, fabricating, and/or preparing a plurality of functional dies. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner in which such is received and/or manufactured, nor by any particular characteristics of such functional dies.

實例方法100可以在方塊115處包括接收、製造和/或準備連接晶粒。方塊115可以包括以各種方式中的任何一種接收、製造和/或準備多個連接晶粒,本文提供了其非限制性實例。在圖2B-1和圖2B-2所示的實例200B-1到實例200B-7中呈現了方塊115的各種實例態樣。The example method 100 may include receiving, fabricating, and/or preparing the connection die at block 115 . Block 115 may include receiving, fabricating, and/or preparing a plurality of connection dies in any of a variety of manners, non-limiting examples of which are provided herein. Various example aspects of block 115 are presented in Examples 200B-1 through 200B-7 shown in Figures 2B-1 and 2B-2.

方塊115可以例如包括在相同設施或地理位置從上游製造製程接收多個連接晶粒。方塊115還可以例如包括從供應商(例如,從鑄造廠等)接收連接晶粒。Block 115 may include, for example, receiving multiple connection dies from an upstream manufacturing process at the same facility or geographic location. Block 115 may also include, for example, receiving connection dies from a supplier (eg, from a foundry, etc.).

所接收、製造和/或準備的連接晶粒可以包括各種特徵中的任何一種。例如,所接收、製造和/或準備的晶粒可以包括晶圓(例如,矽或其它半導體晶圓、玻璃晶圓或面板、金屬晶圓或面板等)上的多個連接晶粒。例如,如圖2B-1所示,實例200B-1包括連接晶粒的整個晶圓,連接晶粒的實例連接晶粒以元件符號216a示出。應理解,儘管本文所示的各種實例通常涉及封裝中單個連接晶粒的利用,但是可以在單個電子裝置封裝中利用多個連接晶粒(例如,具有相同或不同設計的多個連接晶粒)。本文提供了此類配置的非限制性實例。The connection dies received, fabricated, and/or prepared may include any of a variety of features. For example, the dies received, fabricated, and/or prepared may include multiple connected dies on a wafer (eg, silicon or other semiconductor wafer, glass wafer or panel, metal wafer or panel, etc.). For example, as shown in FIG. 2B-1 , example 200B-1 includes an entire wafer of connection dies, an example connection die of which is shown at symbol 216a. It should be understood that although the various examples shown herein generally involve the utilization of a single connection die in a package, multiple connection dies may be utilized in a single electronic device package (e.g., multiple connection dies with the same or different designs) . This article provides non-limiting examples of such configurations.

在本文示出的實例(例如200B-1到200B-4)中,連接晶粒可以例如僅包含電性路由電路(例如,沒有主動半導體部件和/或被動部件)。然而,注意,本揭示內容的範圍不限於此。例如,本文所示的連接晶粒可以包括被動電子部件(例如,電阻器、電容器、電感器、整合式被動裝置(IPD)等)和/或主動電子部件(例如,電晶體、邏輯電路、半導體處理部件、半導體記憶體部件等)和/或光學部件等。In the examples illustrated herein (eg, 200B-1 through 200B-4), the connection die may, for example, only contain electrical routing circuitry (eg, no active semiconductor components and/or passive components). Note, however, that the scope of the present disclosure is not limited in this regard. For example, the connection dies shown herein may include passive electronic components (e.g., resistors, capacitors, inductors, integrated passive devices (IPDs), etc.) and/or active electronic components (e.g., transistors, logic circuits, semiconductors, etc.) processing components, semiconductor memory components, etc.) and/or optical components, etc.

連接晶粒可以包括連接晶粒互連結構。例如,圖200B-1所示的實例連接晶粒216a包括連接晶粒互連結構217。連接晶粒互連結構217可以包括各種互連結構特徵中的任何一種,本文提供了其非限制性實例。儘管此討論通常將所有連接晶粒互連結構217呈現為彼此相同,但是它們也可以彼此不同。例如,參考圖2B-1,連接晶粒互連結構217的左側部分可以與連接晶粒互連結構217的右側部分相同或不同。Connecting the dies may include connecting dies interconnect structures. For example, the example connection die 216a shown in FIG. 200B-1 includes a connection die interconnect structure 217. The connecting die interconnect structure 217 may include any of a variety of interconnect structure features, non-limiting examples of which are provided herein. Although this discussion generally presents all connected die interconnect structures 217 as being identical to each other, they may also differ from each other. For example, referring to FIG. 2B-1 , the left portion of the connecting die interconnect structure 217 may be the same as or different from the right portion of the connecting die interconnect structure 217 .

連接晶粒互連結構217和/或其形成可以與本文討論的第一晶粒互連結構213和/或第二晶粒互連結構214和/或其形成共享任何或所有特徵。在實例實施方案中,連接晶粒互連結構217的第一部分可以包括提供將此類第一部分配合到第一功能晶粒211的相應第一晶粒互連結構213的間隔、佈局、形狀、大小和/或材料特徵,並且連接晶粒互連結構217的第二部分可以包括提供將此類第二部分配合到第二功能晶粒212的相應第一晶粒互連結構213的間隔、佈局、形狀、大小和/或材料特徵。The connecting die interconnect structure 217 and/or its formation may share any or all characteristics with the first die interconnect structure 213 and/or the second die interconnect structure 214 and/or its formation discussed herein. In example embodiments, connecting the first portions of the die interconnect structures 217 may include providing spacing, layout, shape, size, mating of such first portions to corresponding first die interconnect structures 213 of the first functional die 211 and/or material characteristics, and connecting the second portions of the die interconnect structures 217 may include providing spacing, layout, mating of such second portions to corresponding first die interconnect structures 213 of the second functional die 212 , Shape, size and/or material characteristics.

連接晶粒互連結構217可以例如包括金屬(例如,銅、鋁等)柱或連接盤。連接晶粒互連結構217還可以例如包括導電凸塊(例如,C4凸塊等)或球、引線、柱等。The connection die interconnect structure 217 may include, for example, metal (eg, copper, aluminum, etc.) pillars or lands. The connection die interconnect structure 217 may also include, for example, conductive bumps (eg, C4 bumps, etc.) or balls, leads, posts, etc.

連接晶粒互連結構217可以以各種方式中的任何一種形成。例如,連接晶粒互連結構217可以被鍍在連接晶粒216a的晶粒襯墊上。又例如,連接晶粒互連結構217可以被印刷和回焊、引線接合等。應注意的是,在一些實例實施方案中,連接晶粒互連結構217可以是連接晶粒216a的晶粒襯墊。The connecting die interconnect structure 217 may be formed in any of a variety of ways. For example, connection die interconnect structure 217 may be plated on the die pad of connection die 216a. As another example, the connecting die interconnect structure 217 may be printed and reflowed, wire bonded, or the like. It should be noted that in some example embodiments, connecting die interconnect structure 217 may be a die pad connecting die 216a.

連接晶粒互連結構217可以例如被封蓋。例如,連接晶粒互連結構217可以被焊料覆蓋。又例如,連接晶粒互連結構217可以金屬層(例如,形成取代型固體溶液或具有銅的金屬間化合物的金屬層)封蓋。例如,連接晶粒互連結構217可如在2015年12月8日提交的標題為“金屬接合的瞬態界面梯度接合(Transient Interface Gradient Bonding for Metal Bonds)”的第14/963,037號美國專利申請案中所解釋般形成和/或連接,所述美國專利申請案的全部內容由此以引用的方式併入本文中。另外,例如,連接晶粒互連結構217可如在2016年1月6日提交的標題為“具有互鎖金屬對金屬接合的半導體產品和其製造方法(Semiconductor Product with Interlocking Metal-to-Metal Bonds and Method for Manufacturing Thereof)”的第14/989,455號美國專利申請案中所解釋般形成和/或連接,所述美國專利申請案的全部內容由此以引用的方式併入本文中。The connection die interconnect structure 217 may be capped, for example. For example, connecting die interconnect structure 217 may be covered by solder. As another example, the connecting die interconnect structure 217 may be capped with a metal layer (eg, a metal layer forming a substituted solid solution or an intermetallic compound with copper). For example, the connecting die interconnect structure 217 may be as described in U.S. Patent Application No. 14/963,037 entitled "Transient Interface Gradient Bonding for Metal Bonds" filed on December 8, 2015. Formed and/or connected as herein explained, the entire contents of said U.S. patent application are hereby incorporated by reference. Additionally, for example, the connecting die interconnect structure 217 may be used as described in a paper filed on January 6, 2016 titled "Semiconductor Product with Interlocking Metal-to-Metal Bonds and Methods of Making the Same" and Method for Manufacturing Thereof," U.S. Patent Application No. 14/989,455, the entire contents of which are hereby incorporated by reference.

連接晶粒互連結構217可以例如包括各種尺寸特徵中的任何一種。例如,在實例實施方案中,連接晶粒互連結構217可以包括30微米的間距(例如,中心到中心的間隔)和17.5微米的直徑(或寬度、短軸或主軸寬度等)。又例如,在實例實施方案中,連接晶粒互連結構217可以包括在20到40(或30到40)微米範圍內的間距和在10到25微米範圍內的直徑(或寬度、短軸或主軸寬度等)。連接晶粒互連結構217可以例如是15到20微米高。The connecting die interconnect structure 217 may include any of a variety of dimensional features, for example. For example, in example embodiments, connecting die interconnect structures 217 may include a pitch (eg, center to center spacing) of 30 microns and a diameter (or width, minor or major axis width, etc.) of 17.5 microns. As another example, in example embodiments, the connecting die interconnect structure 217 may include a pitch in the range of 20 to 40 (or 30 to 40) microns and a diameter (or width, minor axis, or diameter) in the range of 10 to 25 microns. Spindle width, etc.). The connecting die interconnect structure 217 may be, for example, 15 to 20 microns tall.

在實例情境中,連接晶粒互連結構217可以包括與第一功能晶粒211和第二功能晶粒212的相應第一晶粒互連結構213(例如,金屬連接盤、導電凸塊、銅柱等)配合的銅柱。In an example scenario, connecting die interconnect structures 217 may include corresponding first die interconnect structures 213 (eg, metal lands, conductive bumps, copper pillars, etc.) matching copper pillars.

連接晶粒216a(或其晶圓200B-1)可以以各種方式中的任何一種形成,本文討論了其非限制性實例。例如,參考圖2B-1,連接晶粒216a(例如,在實例200B-3中示出)或其晶圓(例如,在實例200B-1中示出)可以例如包括支撐層290a(例如,矽或其它半導體層、玻璃層、金屬層、塑料層等)。可以在支撐層290上形成重分佈(RD)結構298。RD結構298可以例如包括基礎介電質層291、第一介電質層293、第一導電跡線292、第二介電質層296、第二導電跡線295以及連接晶粒互連結構217。Connection die 216a (or wafer 200B-1 thereof) may be formed in any of a variety of ways, non-limiting examples of which are discussed herein. For example, referring to FIG. 2B-1 , connection die 216a (eg, shown in Example 200B-3) or its wafer (eg, shown in Example 200B-1) may, for example, include a support layer 290a (eg, silicon Or other semiconductor layers, glass layers, metal layers, plastic layers, etc.). A redistribution (RD) structure 298 may be formed on the support layer 290 . RD structure 298 may include, for example, a base dielectric layer 291, a first dielectric layer 293, a first conductive trace 292, a second dielectric layer 296, a second conductive trace 295, and a connecting die interconnect structure 217 .

基礎介電質層291可以例如在支撐層290上。基礎介電質層291可以例如包括氧化物層、氮化物層、各種無機介電質材料中的任何一種等。基礎介電質層291可以例如按照規格形成和/或可以是天然的。基礎介電質層291可以被稱為鈍化層。基礎介電質層291可以是或包括例如使用低壓化學氣相沉積(LPCVD)製程形成的二氧化矽層。在其它實例實施方案中,基礎介電質層291可以由各種有機介電質材料中的任何一種形成,本文提供了其許多實例。Base dielectric layer 291 may be, for example, on support layer 290. The base dielectric layer 291 may include, for example, an oxide layer, a nitride layer, any one of various inorganic dielectric materials, and the like. Base dielectric layer 291 may, for example, be formed to specifications and/or may be natural. Base dielectric layer 291 may be referred to as a passivation layer. The base dielectric layer 291 may be or include, for example, a silicon dioxide layer formed using a low pressure chemical vapor deposition (LPCVD) process. In other example embodiments, base dielectric layer 291 may be formed from any of a variety of organic dielectric materials, many examples of which are provided herein.

連接晶粒216a(例如,在實例200B-3中示出)或其晶圓(例如,在實例200B-1中示出)也可以例如包括第一導電跡線292和第一介電質層293。第一導電跡線292可以例如包括沉積的導電金屬(例如,銅、鋁、鎢等)。第一導電跡線292可以例如通過濺射、電鍍、無電電鍍等形成。第一導電跡線292可以例如以亞微米或亞兩微米間距(或中心到中心的間隔)形成。第一介電質層293可以例如包括無機介電質材料(例如,氧化矽、氮化矽等)。應注意的是,在各種實施方案中,第一介電質層293可以在第一導電跡線292之前形成,例如形成有孔,然後用第一導電跡線292或其一部分填充所述孔。在例如包括銅導電跡線的實例實施方案中,可以利用雙鑲嵌製程來沉積跡線。Connection die 216a (eg, shown in Example 200B-3) or wafer thereof (eg, shown in Example 200B-1) may also include, for example, first conductive traces 292 and first dielectric layer 293 . First conductive trace 292 may, for example, include deposited conductive metal (eg, copper, aluminum, tungsten, etc.). First conductive trace 292 may be formed, for example, by sputtering, electroplating, electroless plating, or the like. The first conductive traces 292 may be formed, for example, with sub-micron or sub-two micron spacing (or center-to-center spacing). The first dielectric layer 293 may, for example, include an inorganic dielectric material (eg, silicon oxide, silicon nitride, etc.). It should be noted that in various embodiments, first dielectric layer 293 may be formed before first conductive trace 292, such as by forming a hole, and then filling the hole with first conductive trace 292 or a portion thereof. In example embodiments that include, for example, copper conductive traces, the traces may be deposited using a dual damascene process.

在替代組件中,第一介電質層293可以包括有機介電質材料。例如,第一介電質層293可以包括雙馬來醯亞胺三嗪(bismaleimidetriazine,BT)、酚醛樹脂、聚醯亞胺(polyimide,PI)、苯並環丁烯(benzo cyclo butene,BCB)、聚苯並噁唑(poly benz oxazole,PBO)、環氧樹脂和其等同物和其化合物,但是本揭示內容的態樣不限於此。可以以各種方式中的任何一種來形成有機介電質材料,例如化學氣相沉積(CVD)。在此類替代組件中,第一導電跡線292可以例如呈2到5微米的間距(或中心到中心的間隔)。In alternative components, first dielectric layer 293 may include an organic dielectric material. For example, the first dielectric layer 293 may include bismaleimidetriazine (BT), phenolic resin, polyimide (PI), benzocyclobutene (BCB) , polybenzoxazole (PBO), epoxy resin and equivalents and compounds thereof, but the aspects of the present disclosure are not limited thereto. The organic dielectric material can be formed in any of a variety of ways, such as chemical vapor deposition (CVD). In such alternative components, the first conductive traces 292 may be spaced, for example, from 2 to 5 microns (or center to center).

連接晶粒216a(例如,在實例200B-3中示出)或其晶圓200B-1(例如,在實例200B-1中示出)也可以例如包括第二導電跡線295和第二介電質層296。第二導電跡線295可以例如包括沉積的導電金屬(例如,銅等)。第二導電跡線295可以例如通過相應的導電通孔294或孔(例如,在第一介電質層293中)連接到相應的第一導電跡線292。第二介電質層296可以例如包括無機介電質材料(例如,氧化矽、氮化矽等)。在替代組件中,第二介電質層296可以包括有機介電質材料。例如,第二介電質層296可以包括雙馬來醯亞胺三嗪(BT)、酚醛樹脂、聚醯亞胺(PI)、苯並環丁烯(BCB)、聚苯並噁唑(PBO)、環氧樹脂和其等同物和其化合物,但是本揭示內容的態樣不限於此。第二介電質層296可以例如使用CVD製程形成,但是本揭示的範圍不限於此。應注意的是,各種介電質層(例如,第一介電質層293、第二介電質層296等)可以由相同的介電質材料形成和/或使用相同的製程形成,但這不是必需的。例如,第一介電質層293可以由本文討論的任何無機介電質材料形成,第二介電質層296可以由本文討論的任何有機介電質材料形成,反之亦然。Connection die 216a (eg, shown in Example 200B-3) or its wafer 200B-1 (eg, shown in Example 200B-1) may also include, for example, second conductive traces 295 and a second dielectric Quality layer 296. Second conductive trace 295 may, for example, include deposited conductive metal (eg, copper, etc.). The second conductive trace 295 may be connected to the corresponding first conductive trace 292 , such as through a corresponding conductive via 294 or hole (eg, in the first dielectric layer 293 ). The second dielectric layer 296 may include, for example, an inorganic dielectric material (eg, silicon oxide, silicon nitride, etc.). In alternative components, second dielectric layer 296 may include an organic dielectric material. For example, the second dielectric layer 296 may include bismaleimidetriazine (BT), phenolic resin, polyimide (PI), benzocyclobutene (BCB), polybenzoxazole (PBO) ), epoxy resins and equivalents thereof and compounds thereof, but the aspects of the present disclosure are not limited thereto. The second dielectric layer 296 may be formed using a CVD process, for example, but the scope of the present disclosure is not limited thereto. It should be noted that various dielectric layers (eg, first dielectric layer 293, second dielectric layer 296, etc.) may be formed of the same dielectric material and/or formed using the same process, but this not necessary. For example, first dielectric layer 293 may be formed from any inorganic dielectric material discussed herein and second dielectric layer 296 may be formed from any organic dielectric material discussed herein, or vice versa.

儘管在圖2B-1中示出了兩組介電質層和導電跡線,但是應理解的是,連接晶粒216a(例如,在實例200B-3中示出)的RD結構298或其晶圓(例如,如實例200B-1所示)可以包括任何數量的此類層和跡線。例如,RD結構298可以僅包括一個介電質層和/或一組導電跡線、三組介電質層和/或導電跡線等。Although two sets of dielectric layers and conductive traces are shown in Figure 2B-1, it should be understood that the RD structure 298 connecting die 216a (eg, shown in Example 200B-3) or its die A circle (eg, as shown in Example 200B-1) may include any number of such layers and traces. For example, RD structure 298 may include only one dielectric layer and/or one set of conductive traces, three sets of dielectric layers and/or conductive traces, etc.

連接晶粒互連結構217(例如,導電凸塊、導電球、導電柱或支柱、導電連接盤或襯墊等)可以形成在RD結構298的表面上。此類連接晶粒互連結構217的實例在圖2B-1和2B-2中示出,其中連接晶粒互連結構217示出為形成在RD結構298的前面(或頂面)上,並且通過第二介電質層296中的導電通孔電連接到相應的第二導電跡線295。此類連接晶粒互連結構217可以例如用於將RD結構298耦合到各種電子部件(例如,主動半導體部件或晶粒、被動部件等),包含例如本文討論的第一功能晶粒211和第二功能晶粒212。Connection die interconnect structures 217 (eg, conductive bumps, conductive balls, conductive posts or pillars, conductive lands or pads, etc.) may be formed on the surface of the RD structure 298 . Examples of such connecting die interconnect structures 217 are shown in Figures 2B-1 and 2B-2, where the connecting die interconnect structures 217 are shown formed on the front (or top) surface of the RD structure 298, and Electrical connections are made to corresponding second conductive traces 295 through conductive vias in the second dielectric layer 296 . Such connecting die interconnect structures 217 may, for example, be used to couple the RD structure 298 to various electronic components (eg, active semiconductor components or dies, passive components, etc.), including, for example, the first functional die 211 and the first functional die 211 discussed herein. Dual-function die 212.

連接晶粒互連結構217可以例如包括各種導電材料中的任何一種(例如,銅、鎳、金等中的任何一種或組合)。連接晶粒互連結構217也可以例如包括焊料。又例如,連接晶粒互連結構217可以包括焊球或凸塊、多焊球焊柱、細長焊球、在金屬芯上具有焊料層的金屬(例如銅)芯球、鍍柱結構(例如,銅柱等)、引線結構(例如引線接合引線)等。The connecting die interconnect structure 217 may, for example, include any of a variety of conductive materials (eg, any one or combination of copper, nickel, gold, etc.). The connecting die interconnect structure 217 may also include solder, for example. As another example, the connection die interconnect structure 217 may include solder balls or bumps, multi-ball solder posts, elongated solder balls, metal (eg, copper) core balls with a solder layer on the metal core, plated post structures (eg, copper pillars, etc.), lead structures (e.g. wire bond leads), etc.

參考圖2B-1,示出連接晶粒216a的晶圓的實例200B-1可以被減薄,以例如產生如實例200B-2所示的薄連接晶粒216b的薄連接晶粒晶圓。例如,可以將薄連接晶粒晶圓(例如,如實例200B-2所示)減薄(例如,通過研磨、化學和/或機械減薄等)到仍然允許安全處理薄連接晶粒晶圓和/或其單個薄連接晶粒216b但提供低輪廓的程度。例如,參考圖2B-1,在其中支撐層290包括矽的實例實施方案中,薄連接晶粒216b仍可以包括矽支撐層290的至少一部分。例如,薄連接晶粒216b的底面(或背面)可以包括足夠的非導電支撐層290、基礎介電質層291等,以禁止在其餘支撐層290的底面導電接觸頂面的導電層。在其它實例中,可以將薄連接晶粒216b減薄以基本上或完全去除支撐層290。在此類實例中,連接晶粒216b底面的導電接觸仍可被基礎介電質291阻擋。Referring to FIG. 2B-1 , example 200B-1 of a wafer showing connection die 216a may be thinned, for example, to produce a thin connection die wafer of thin connection die 216b as shown in example 200B-2. For example, a thin tie die wafer (e.g., as shown in Example 200B-2) may be thinned (e.g., by grinding, chemical and/or mechanical thinning, etc.) to a level that still allows safe handling of the thin tie die wafer and / or to the extent that it has a single thin connecting die 216b but provides a low profile. For example, referring to Figure 2B-1, in example embodiments in which support layer 290 includes silicon, thin connection die 216b may still include at least a portion of silicon support layer 290. For example, the bottom surface (or backside) of thin connection die 216b may include sufficient non-conductive support layer 290, base dielectric layer 291, etc. to prohibit conductive contact on the bottom surface of the remaining support layer 290 with the conductive layer on the top surface. In other examples, thin connection die 216b may be thinned to substantially or completely remove support layer 290. In such instances, the conductive contact connecting the bottom surface of die 216b may still be blocked by base dielectric 291.

例如,在實例實施方案中,薄連接晶粒晶圓(例如,如實例200B-2所示)或其薄連接晶粒216b可以具有50微米或更小的厚度。在另一實例實施方案中,薄連接晶粒晶圓(或其薄連接晶粒216b)可以具有20到40微米範圍內的厚度。如本文將要討論的,薄連接晶粒216b的厚度可以小於第一晶粒211和第二晶粒212的第二晶粒互連結構214的長度,例如,使得薄連接晶粒216b可以裝配在載體與功能晶粒211和212之間。For example, in example embodiments, a thin tie die wafer (eg, as shown in Example 200B-2) or thin tie die 216b thereof may have a thickness of 50 microns or less. In another example embodiment, the thin tie die wafer (or thin tie die 216b thereof) may have a thickness in the range of 20 to 40 microns. As will be discussed herein, the thickness of the thin connection die 216b may be less than the length of the second die interconnect structure 214 of the first die 211 and the second die 212, for example, such that the thin connection die 216b may be mounted on a carrier and between functional dies 211 and 212.

在圖2B-2的200B-5處示出了標記為“連接晶粒實例1”和“連接晶粒實例2”的兩個實例連接晶粒實施方案。連接晶粒實例1可以例如利用RD結構298和半導體支撐層290中的無機介電質層(和/或無機和有機介電質層的組合)。連接晶粒實例1可以例如利用Amkor Technology的無矽整合式模塊(SLIM™)技術產生。半導體支撐層可以例如是30到100 μm(例如70 μm)厚,並且RD結構的每個層級(或子層或層)(例如,至少包含介電質層和導電層)可以例如是1到3 μm(例如3 μm、5 μm等)厚。實例所得結構的總厚度可以例如在33到109 μm的範圍內(例如,<80 μm等)。應注意,本揭示內容的範圍不限於任何特定尺寸。Two example connection die embodiments labeled "Connection Die Example 1" and "Connection Die Example 2" are shown at 200B-5 of Figure 2B-2. Connection die Example 1 may, for example, utilize an inorganic dielectric layer (and/or a combination of inorganic and organic dielectric layers) in the RD structure 298 and the semiconductor support layer 290 . Connection die Example 1 may be produced, for example, using Amkor Technology's Silicon-less Integrated Module (SLIM™) technology. The semiconductor support layer may be, for example, 30 to 100 μm (eg, 70 μm) thick, and each level (or sub-layer or layer) of the RD structure (eg, including at least a dielectric layer and a conductive layer) may be, for example, 1 to 3 μm (e.g. 3 μm, 5 μm, etc.) thick. The total thickness of the resulting structures of examples may, for example, range from 33 to 109 μm (eg, <80 μm, etc.). It should be noted that the scope of this disclosure is not limited to any specific dimensions.

連接晶粒實例2可以例如利用RD結構298和半導體支撐層290中的有機介電質層(和/或無機和有機介電質層的組合)。連接晶粒實例2可以例如利用Amkor Technology的矽晶圓整合式扇出(SWIFT™)技術產生。半導體支撐層可以例如是30到100 μm(例如70 μm)厚,並且RD結構的每個層級(或子層或層)(例如,至少包含介電質層和導電層)可以例如是4到7 μm厚、10 μm厚等。實例所得結構的總厚度可以例如在41到121 μm的範圍內(例如,<80 μm、100 μm、110 μm等)。應注意,本揭示內容的範圍不限於任何特定尺寸。還應注意的是,在各種實例實施方案中,可以使連接晶粒實例2的支撐層290減薄(例如,相對於連接晶粒實例1),以得到相同或相似的整體厚度。Connecting die Example 2 may, for example, utilize RD structure 298 and an organic dielectric layer (and/or a combination of inorganic and organic dielectric layers) in semiconductor support layer 290 . Connection Die Example 2 may be produced, for example, using Amkor Technology's Silicon Wafer Integrated Fan-Out (SWIFT™) technology. The semiconductor support layer may be, for example, 30 to 100 μm (eg, 70 μm) thick, and each level (or sub-layer or layer) of the RD structure (eg, including at least a dielectric layer and a conductive layer) may be, for example, 4 to 7 μm thick, 10 μm thick, etc. The total thickness of the resulting structures of examples may, for example, be in the range of 41 to 121 μm (eg, <80 μm, 100 μm, 110 μm, etc.). It should be noted that the scope of this disclosure is not limited to any specific dimensions. It should also be noted that in various example embodiments, the support layer 290 of Connection Die Example 2 may be thinned (eg, relative to Connection Die Example 1 ) to achieve the same or similar overall thickness.

本文呈現的實例實施方案通常涉及單面連接晶粒,其可以例如僅在一面上具有互連結構。然而,應注意的是,本揭示內容的範圍不限於此類單面結構。例如,如實例200B-6和200B-7所示,連接晶粒216c可以在兩面上包括互連結構。也可以稱為雙面連接晶粒的此類連接晶粒216c(例如,如實例200B-7所示)和其晶圓(例如,如實例200B-6所示)的實例實施方案在圖2B-2示出。實例晶圓(例如,實例200B-6)可以例如與圖2B中示出並且在本文中討論的實例晶圓(例如,實例200B-1和/或200B-2)共享任何或所有特徵。又例如,實例連接晶粒216c可以與圖2B-1中示出並且在本文中討論的實例連接晶粒216a和/或216b共享任何或所有特徵。例如,連接晶粒互連結構217b可以與圖2B-1中示出並且在本文中討論的連接晶粒互連結構217共享任何或所有特徵。又例如,重分佈(RD)結構298b、基礎介電質層291b、第一導電跡線292b、第一介電質層293b、導電通孔294b、第二導電跡線295b和第二介電質層296b中的任何一個或全部可以分別與圖2B-1中示出並且在本文中討論的重分佈(RD)結構298、基礎介電質層291、第一導電跡線292、第一介電質層293、導電通孔294、第二導電跡線295和第二介電質層296共享任何或所有特徵。實例連接晶粒216c還包含在連接晶粒216c的與連接晶粒互連結構217b相反的一面上接收和/或製造的第二組連接晶粒互連結構299。此類第二連接晶粒互連結構299可以與連接晶粒互連結構217共享任何或所有特徵。在實例實施方案中,可以在RD結構298b在支撐結構(例如,類似於支撐結構290)上積累時首先形成第二連接晶粒互連結構299,然後將其去除或減薄或平坦化(例如,通過研磨、剝離、脫除、蝕刻等)。Example embodiments presented herein generally involve single-sided connected dies, which may, for example, have interconnect structures on only one side. However, it should be noted that the scope of the present disclosure is not limited to such single-sided structures. For example, as shown in Examples 200B-6 and 200B-7, connection die 216c may include interconnect structures on both sides. An example implementation of such a connection die 216c (e.g., as shown in Example 200B-7) and its wafer (e.g., as shown in Example 200B-6), which may also be referred to as a double-sided connection die, is shown in Figure 2B- 2 is shown. Example wafers (eg, Example 200B-6) may, for example, share any or all features with example wafers (eg, Examples 200B-1 and/or 200B-2) shown in FIG. 2B and discussed herein. As another example, example connection die 216c may share any or all features with example connection dies 216a and/or 216b shown in FIG. 2B-1 and discussed herein. For example, connection die interconnect structure 217b may share any or all features with connection die interconnect structure 217 shown in FIG. 2B-1 and discussed herein. As another example, the redistribution (RD) structure 298b, the base dielectric layer 291b, the first conductive trace 292b, the first dielectric layer 293b, the conductive via 294b, the second conductive trace 295b and the second dielectric Any or all of layer 296b may be associated with redistribution (RD) structure 298, base dielectric layer 291, first conductive trace 292, first dielectric, respectively, shown in FIG. 2B-1 and discussed herein. Material layer 293, conductive via 294, second conductive trace 295, and second dielectric layer 296 share any or all characteristics. Example bonding die 216c also includes a second set of bonding die interconnect structures 299 received and/or fabricated on a side of bonding die 216c opposite bonding die interconnect structures 217b. Such second connection die interconnect structure 299 may share any or all characteristics with connection die interconnect structure 217 . In an example embodiment, the second connection die interconnect structure 299 may be first formed as the RD structure 298b is built up on the support structure (eg, similar to the support structure 290 ) and then removed or thinned or planarized (eg, similar to the support structure 290 ). , by grinding, stripping, removing, etching, etc.).

類似地,第15/594,313號美國專利申請案中示出的任何或所有實例方法和結構可以通過任何此類連接晶粒216a、216b和/或216c執行,所述美國專利申請案由此以全文引用的方式併入本文中。Similarly, any or all of the example methods and structures illustrated in U.S. Patent Application No. 15/594,313, which is hereby incorporated by reference in its entirety, may be implemented with any such connection dies 216a, 216b, and/or 216c. are incorporated into this article.

應注意的是,第二連接晶粒互連結構299中的一個或多個或全部可以與連接晶粒216c的其它電路隔離,所述其它電路在本文中也可以稱為虛設結構(例如,虛設柱等)、錨固結構(例如,錨固柱等)。例如,第二連接晶粒互連結構299中的任何一個或全部可以僅形成用於在稍後的步驟將連接晶粒216c錨固到載體或RD結構或金屬圖案。還應注意的是,第二連接晶粒互連結構299中的一個或多個或全部可以電連接到電跡線,所述電跡線可以例如連接到附接到連接晶粒216c的晶粒的電子裝置電路。此類結構可以例如被稱為主動結構(例如,主動柱等)等。It should be noted that one or more or all of the second connection die interconnect structures 299 may be isolated from other circuits of the connection die 216c, which may also be referred to herein as dummy structures (e.g., dummy structures). columns, etc.), anchoring structures (e.g., anchor columns, etc.). For example, any or all of the second connection die interconnect structures 299 may be formed solely for anchoring the connection die 216c to the carrier or RD structure or metal pattern at a later step. It should also be noted that one or more or all of second connection die interconnect structures 299 may be electrically connected to electrical traces, which may, for example, be connected to the die attached to connection die 216c electronic device circuit. Such structures may, for example, be referred to as active structures (eg active columns, etc.) or the like.

通常,方塊115可以包括接收、製造和/或準備連接晶粒。因此,本揭示內容的範圍不應受此類接收、製造和/或準備的任何特定方式的特徵或此類連接晶粒的任何特定特徵的限制。Generally, block 115 may include receiving, fabricating, and/or preparing the die for attachment. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner in which such is received, manufactured, and/or prepared or by any particular characteristics of such connected dies.

實例方法100可以在方塊120處包括接收、製造和/或準備第一載體。方塊120可以包括以各種方式中的任何一種接收、製造和/或準備載體,本文提供了其非限制性實例。例如,方塊120可以例如與本文討論的其它載體接收、製造和/或準備步驟共享任何或所有特徵。在圖2C的實例200C處呈現了方塊120的各種實例態樣。Example method 100 may include receiving, manufacturing, and/or preparing a first carrier at block 120 . Block 120 may include receiving, manufacturing, and/or preparing the carrier in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 120 may share any or all features with other carrier receiving, manufacturing, and/or preparation steps discussed herein, for example. Various example aspects of block 120 are presented at instance 200C of Figure 2C.

方塊120可以例如包括在相同設施或地理位置從上游製造製程接收載體。方塊120還可以例如包括從供應商(例如,從鑄造廠等)接收載體。Block 120 may include, for example, receiving the carrier from the upstream manufacturing process at the same facility or geographic location. Block 120 may also include, for example, receiving a carrier from a supplier (eg, from a foundry, etc.).

所接收、製造和/或準備的載體221可以包括各種特徵中的任何一種。例如,載體221可以包括半導體晶圓或面板(例如,典型的半導體晶圓,利用比本文討論的功能晶粒所使用的矽低級的矽的低級半導體晶圓等)。又例如,載體221可以包括金屬、玻璃、塑料等。載體221可以是例如可重複使用或可破壞的(例如,單次使用、多次使用等)。The received, manufactured and/or prepared carrier 221 may include any of a variety of features. For example, carrier 221 may include a semiconductor wafer or panel (eg, a typical semiconductor wafer, a lower-grade semiconductor wafer utilizing lower-grade silicon than that used for the functional dies discussed herein, etc.). For another example, the carrier 221 may include metal, glass, plastic, etc. The carrier 221 may be, for example, reusable or destructible (eg, single use, multiple use, etc.).

載體221可以包括各種形狀中的任何一種。例如,載體可以是晶圓形的(例如,圓形的等),可以是面板形的(例如,正方形的、矩形的等),等。載體221可以具有各種橫向尺寸和/或厚度中的任何一種。例如,載體221可以具有本文討論的功能晶粒和/或連接晶粒的晶圓的相同或相似的橫向尺寸和/或厚度。又例如,載體221可以具有與本文討論的功能晶粒和/或連接晶粒的晶圓相同或相似的厚度。本揭示的範圍不受任何特定載體特徵(例如,材料、形狀、尺寸等)的限制。The carrier 221 may include any of a variety of shapes. For example, the carrier may be wafer-shaped (eg, circular, etc.), may be panel-shaped (eg, square, rectangular, etc.), etc. Carrier 221 may have any of a variety of lateral dimensions and/or thicknesses. For example, carrier 221 may have the same or similar lateral dimensions and/or thickness of the functional dies and/or wafers connecting the dies discussed herein. As another example, carrier 221 may have the same or similar thickness as the functional dies and/or wafers to which the dies are connected as discussed herein. The scope of the present disclosure is not limited by any specific carrier characteristics (eg, material, shape, size, etc.).

圖2C所示的實例200C包括一層黏合劑材料223。黏合劑材料223可以包括各種類型的黏合劑中的任何一種。例如,黏合劑可以是液體、糊劑、膠帶等。Example 200C shown in Figure 2C includes a layer of adhesive material 223. Adhesive material 223 may include any of various types of adhesives. For example, the adhesive may be liquid, paste, tape, etc.

黏合劑223可以包括各種尺寸中的任何一種。例如,黏合劑223可以覆蓋第一載體221的整個頂面。又例如,黏合劑可以覆蓋第一載體221的頂面的中心部分,同時保留第一載體221的頂面的外圍邊緣未被覆蓋又例如,黏合劑可以覆蓋第一載體221的頂面的在位置上對應於單個電子封裝的功能晶粒的未來位置的相應部分。Adhesive 223 may include any of a variety of sizes. For example, the adhesive 223 may cover the entire top surface of the first carrier 221 . As another example, the adhesive may cover a central portion of the top surface of the first carrier 221 while leaving the peripheral edges of the top surface of the first carrier 221 uncovered. For another example, the adhesive may cover a portion of the top surface of the first carrier 221 in place. corresponding portions corresponding to the future location of the functional die of a single electronic package.

黏合劑223的厚度可以大於第二晶粒互連結構214的高度,並且因此也大於第一晶粒互連結構213的高度(例如,大5%、大10%、大20%等)。The thickness of adhesive 223 may be greater than the height of second die interconnect structure 214, and thus also greater than the height of first die interconnect structure 213 (eg, 5% larger, 10% larger, 20% larger, etc.).

實例載體221可以與本文討論的任何載體共享任何或所有特徵。例如但不限於,載體可以沒有信號分佈層,但是也可以包括一個或多個信號分佈層。此類結構和其形成的實例在圖6A的實例600A中示出並且在本文中進行了討論。Example vector 221 may share any or all characteristics with any vector discussed herein. For example, but not limited to, the carrier may have no signal distribution layer, but may also include one or more signal distribution layers. Examples of such structures and their formation are shown in example 600A of Figure 6A and discussed herein.

通常,方塊120可以包括接收、製造和/或準備載體。因此,本揭示的範圍不應受接收載體的任何特定條件、製造載體的任何特定方式和/或準備此類載體以供使用的任何特定方式的特徵的限制。Generally, block 120 may include receiving, manufacturing, and/or preparing the carrier. Accordingly, the scope of the present disclosure should not be limited by any particular conditions under which the vectors are received, by any particular manner of making the vectors, and/or by the characteristics of any particular manner of preparing such vectors for use.

實例方法100可以在方塊125處包括將功能晶粒耦合(或安裝)到載體(例如,耦合到非導電載體的頂面、耦合到載體的頂面上的金屬圖案、耦合到載體的頂面上的RD結構等)。方塊125可以包括以各種方式中的任何一種執行此類耦合,本文提供了其非限制性實例。例如,方塊125可以例如與本文討論的其它晶粒安裝步驟共享任何或所有特徵。在圖2D所示的實例200D中呈現了方塊125的各種實例態樣。The example method 100 may include coupling (or mounting) the functional die to the carrier (eg, coupling to a top surface of the non-conductive carrier, coupling to a metal pattern on the top surface of the carrier, coupling to a top surface of the carrier, at block 125 RD structure, etc.). Block 125 may include performing such coupling in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 125 may share any or all features with other die mounting steps discussed herein, for example. Various example aspects of block 125 are presented in example 200D shown in Figure 2D.

例如,功能晶粒201-204(例如,功能晶粒211和212中的任何一個)可以作為單獨的晶粒被接收。又例如,一個或多個功能晶粒201-204可以被接收在單個晶圓上,功能晶粒201-204中的一個或多個可以被接收在多個相應的晶圓上(例如,如實例200A-1和200A-3等所示),等。在以晶圓形式接收功能晶粒中的一個或兩個的情況下,可以從晶圓切割功能晶粒。應注意,如果功能晶粒201-204中的任何功能晶粒被接收在單個MPW上,則可以將此類功能晶粒作為附接裝置(例如,與塊狀矽連接)從晶圓中切割出來。For example, functional dies 201-204 (eg, any of functional dies 211 and 212) may be received as individual dies. As another example, one or more functional dies 201 - 204 may be received on a single wafer, and one or more of the functional dies 201 - 204 may be received on multiple corresponding wafers (e.g., as in the example 200A-1 and 200A-3, etc.), etc. Where one or both of the functional dies are received in wafer form, the functional dies may be cut from the wafer. It should be noted that if any of the functional dies 201-204 are received on a single MPW, such functional dies may be cut out of the wafer as attachment means (e.g., connected to bulk silicon) .

方塊125可以包括將功能晶粒201-204放置在黏合劑層223中。例如,第二晶粒互連結構214和第一晶粒互連結構213可以被完全(或部分地)插入黏合劑層223中。如本文所討論,黏合劑層223可以比第二晶粒互連結構214的高度厚,使得當晶粒201-204的底表面接觸黏合劑層223的頂表面時,第二晶粒互連結構214的底端不接觸載體221。然而,在替代實施方案中,黏合劑層223可以比第二晶粒互連結構214的高度薄,但是仍然足夠厚以當晶粒201-204放置在黏合劑層223上時覆蓋第一晶粒互連結構213的至少一部分。Block 125 may include placing functional dies 201 - 204 in adhesive layer 223 . For example, the second die interconnect structure 214 and the first die interconnect structure 213 may be fully (or partially) inserted into the adhesive layer 223 . As discussed herein, adhesive layer 223 may be thicker than the height of second die interconnect structure 214 such that when the bottom surfaces of dies 201 - 204 contact the top surface of adhesive layer 223 , the second die interconnect structure The bottom end of 214 does not contact the carrier 221. However, in alternative embodiments, the adhesive layer 223 may be thinner than the height of the second die interconnect structure 214 but still thick enough to cover the first die when the dies 201 - 204 are placed on the adhesive layer 223 At least a portion of interconnect structure 213 .

方塊125可以包括利用例如晶粒拾取和放置機器來放置功能晶粒201-204。Block 125 may include placing functional dies 201-204 using, for example, a die pick and place machine.

應注意,儘管本文的圖示總體上將功能晶粒201-204(和其互連結構)的大小和形狀設置為相似,但此類對稱性並非必需的。例如,功能晶粒201-204可以具有不同的相應形狀和大小,可以具有不同類型和/或數量的互連結構,等。還應注意的是,功能晶粒201-204(或本文討論的任何所謂的功能晶粒)可以是半導體晶粒,但是也可以是各種電子部件中的任何一種,例如被動電子部件、主動電子部件、裸晶粒、封裝晶粒等。因此,本揭示內容的範圍不應受限於功能晶粒201-204(或本文討論的任何所謂的功能晶粒)的特徵。It should be noted that although the illustrations herein generally set functional dies 201-204 (and their interconnect structures) to be similar in size and shape, such symmetry is not required. For example, functional dies 201-204 may have different corresponding shapes and sizes, may have different types and/or numbers of interconnect structures, etc. It should also be noted that functional dies 201-204 (or any so-called functional dies discussed herein) may be semiconductor dies, but may also be any of a variety of electronic components, such as passive electronic components, active electronic components , bare die, packaged die, etc. Accordingly, the scope of the present disclosure should not be limited by the characteristics of functional dies 201-204 (or any so-called functional dies discussed herein).

通常,方塊125可以包括將功能晶粒耦合(或安裝)到載體。因此,本揭示的範圍不應受執行此類耦合的任何特定方式的特徵或此類功能晶粒、互連結構、載體、附接構件等的任何特定特徵的限制。Generally, block 125 may include coupling (or mounting) the functional die to the carrier. Accordingly, the scope of the present disclosure should not be limited by the features of any particular manner of performing such coupling or by any specific features of such functional dies, interconnect structures, carriers, attachment members, or the like.

實例方法100可以在方塊130處包括囊封。方塊130可以包括以各種方式中的任何一種執行此類囊封,本文提供了其非限制性實例。在圖2E所示的實例200E中呈現了方塊130的各種實例態樣。方塊130可以例如與本文討論的其它囊封共享任何或所有特徵。Example method 100 may include encapsulation at block 130 . Block 130 may include performing such encapsulation in any of a variety of ways, non-limiting examples of which are provided herein. Various example aspects of block 130 are presented in example 200E shown in Figure 2E. Block 130 may, for example, share any or all features with other capsules discussed herein.

方塊130可以例如包括執行晶圓(或面板)級模製製程。如本文所討論,在切割各個模塊之前,本文討論的任何或所有製程步驟可以在面板或晶圓級執行。參考圖2E所示的實例實施方案200E,囊封材料226'可以覆蓋黏合劑223的頂面、功能晶粒201-204的頂面、功能晶粒201-204的側面表面的至少部分(或全部)等。囊封材料226'還可以例如覆蓋第二晶粒互連結構214的任何部分、第一晶粒互連結構213以及從223暴露的功能晶粒201-204的底表面(如果任何此類部件暴露在外的話)。Block 130 may include, for example, performing a wafer (or panel) level molding process. As discussed herein, any or all of the process steps discussed herein can be performed at the panel or wafer level before individual modules are diced. Referring to the example embodiment 200E shown in FIG. 2E , the encapsulation material 226 ′ may cover at least part (or all) of the top surface of the adhesive 223 , the top surfaces of the functional dies 201 - 204 , and the side surfaces of the functional dies 201 - 204 )wait. The encapsulation material 226' may also, for example, cover any portion of the second die interconnect structure 214, the first die interconnect structure 213, and the bottom surface of the functional dies 201-204 exposed from 223 if any such components are exposed outside).

囊封材料226'可以包括各種類型的囊封材料中的任何一種,例如模製材料、本文呈現的任何介電質材料等。Encapsulating material 226' may include any of various types of encapsulating materials, such as molding materials, any dielectric material presented herein, etc.

儘管囊封材料226'(如圖2E所示)被示出為覆蓋功能晶粒201-204的頂面,但是任何或所有此類頂面(或此類頂面的任何相應部分)可以從囊封材料226暴露(如圖2F所示)。方塊130可以例如包括最初形成其中晶粒頂面暴露的囊封材料226(例如,利用膜輔助模製技術、晶粒密封模製技術等);形成囊封材料226',接著進行減薄製程(例如,在方塊135處執行)以使囊封材料226'減薄到足以暴露任何或所有功能晶粒201-204的頂面;形成囊封材料226',接著進行減薄製程(例如,在方塊135處執行)以使囊封材料減薄但仍保留一部分囊封材料226'覆蓋任何或所有功能晶粒201-204的頂面(或其任何相應部分);等。Although encapsulation material 226' (shown in Figure 2E) is shown covering the top surfaces of functional dies 201-204, any or all such top surfaces (or any corresponding portions of such top surfaces) may be removed from the encapsulation material 226' (shown in Figure 2E). The sealing material 226 is exposed (as shown in Figure 2F). Block 130 may include, for example, initially forming encapsulation material 226 with die top surfaces exposed (e.g., using film-assisted molding techniques, die seal molding techniques, etc.); forming encapsulation material 226', followed by a thinning process ( For example, performed at block 135 ) to thin encapsulation material 226 ′ sufficiently to expose the top surfaces of any or all functional dies 201 - 204 ; forming encapsulation material 226 ′, followed by a thinning process (e.g., at block 135 ) Performed at 135) to thin the encapsulation material but still retain a portion of the encapsulation material 226' covering the top surface of any or all functional dies 201-204 (or any corresponding portion thereof); etc.

通常,方塊130可以包括囊封。因此,本揭示內容的範圍不應受執行此類囊封的任何特定方式的特徵或任何特定類型的囊封材料或其配置的特徵的限制。Generally, block 130 may include encapsulation. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of performing such encapsulation or by the characteristics of any particular type of encapsulation material or configuration thereof.

實例方法100可以在方塊135處包括研磨囊封材料。方塊135可以包括以各種方式中的任何一種執行此類研磨(或任何減薄或平坦化),本文提供了其非限制性實例。方塊135可以例如與本文討論的其它研磨(或減薄)方塊(或步驟)共享任何或所有特徵。在圖2F所示的實例200F中呈現了方塊135的各種實例態樣。Example method 100 may include grinding the encapsulation material at block 135 . Block 135 may include performing such grinding (or any thinning or planarizing) in any of a variety of ways, non-limiting examples of which are provided herein. Block 135 may, for example, share any or all features with other grinding (or thinning) blocks (or steps) discussed herein. Various example aspects of block 135 are presented in example 200F shown in Figure 2F.

如本文所討論,在各種實例實施方案中,囊封材料226'可以最初形成為大於最終所需的厚度。在此類實例實施方案中,可以執行方塊135以研磨(或者以其它方式減薄或平坦化)囊封材料226'。在圖2F所示的實例200F中,已研磨囊封材料226'以形成囊封材料226。研磨(或減薄或平坦化)的囊封材料226的頂表面與功能晶粒201-204的頂表面共平面,因此,所述功能晶粒從囊封材料226暴露。應注意的是,在各種實例實施方案中,功能晶粒201-204中的一個或多個可以暴露,而功能晶粒201-204中的一個或多個可以保持由囊封材料226覆蓋。應注意的是,如果執行,此類研磨操作不需要暴露功能晶粒201-204的頂面。As discussed herein, in various example embodiments, the encapsulation material 226' may initially be formed to a thickness greater than the final desired thickness. In such example embodiments, block 135 may be performed to grind (or otherwise thin or planarize) the encapsulation material 226'. In the example 200F shown in Figure 2F, encapsulation material 226' has been ground to form encapsulation material 226. The top surface of the ground (or thinned or planarized) encapsulation material 226 is coplanar with the top surfaces of the functional die 201 - 204 and, therefore, the functional die are exposed from the encapsulation material 226 . It should be noted that in various example embodiments, one or more of functional dies 201 - 204 may be exposed, while one or more of functional dies 201 - 204 may remain covered by encapsulation material 226 . It should be noted that, if performed, such grinding operations need not expose the top surfaces of functional dies 201-204.

在實例實施方案中,方塊135可以包括研磨(或減薄或平坦化)囊封材料226'以及任何或所有功能晶粒201-204的背面,從而實現囊封材料226的頂表面與功能晶粒201-204中的一或多個的共平面性。In an example embodiment, block 135 may include grinding (or thinning or planarizing) the encapsulation material 226 ′ and the backside of any or all functional dies 201 - 204 , thereby achieving a top surface of the encapsulation material 226 that is consistent with the functional dies 201 - 204 . Coplanarity of one or more of 201-204.

通常,方塊135可以包括研磨囊封材料。因此,本揭示的範圍不應受執行此類研磨(或減薄或平坦化)的任何特定方式的特徵的限制。Generally, block 135 may include grinding encapsulation material. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of performing such grinding (or thinning or planarizing).

實例方法100可以在方塊140處包括附接第二載體。方塊140可以包括以各種方式中的任何一種附接第二載體,本文提供了其非限制性實例。例如,方塊140可以與本文討論的任何載體附接共享任何或所有特徵。圖2G示出了方塊140的各種實例態樣。Example method 100 may include attaching a second carrier at block 140 . Block 140 may include attaching the second carrier in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 140 may share any or all features with any carrier attachment discussed herein. Figure 2G illustrates various example aspects of block 140.

如圖2G的實例200G所示,第二載體231可以附接到囊封材料226的頂面和/或功能晶粒201-204的頂面。應注意的是,此時組件可能仍為晶圓(或面板)形式。第二載體231可以包括各種特徵中的任何一種。例如,第二載體231可以包括玻璃載體、矽(或半導體)載體、金屬載體、塑料載體等。方塊140可以包括以各種方式中的任何一種附接(或耦合或安裝)第二載體231。例如,方塊140可以包括使用黏合劑、使用機械附接機制、使用真空附接等附接第二載體231。As shown in example 200G of Figure 2G, second carrier 231 may be attached to the top surface of encapsulation material 226 and/or the top surface of functional dies 201-204. It should be noted that the component may still be in wafer (or panel) form at this point. The second carrier 231 may include any of a variety of features. For example, the second carrier 231 may include a glass carrier, a silicon (or semiconductor) carrier, a metal carrier, a plastic carrier, etc. Block 140 may include attaching (or coupling or mounting) the second carrier 231 in any of a variety of ways. For example, block 140 may include attaching the second carrier 231 using an adhesive, using a mechanical attachment mechanism, using vacuum attachment, or the like.

通常,方塊140可以包括附接第二載體。因此,本揭示的範圍不應受附接載體的任何特定方式的特徵或任何特定類型的載體的特徵的限制。Generally, block 140 may include attaching a second carrier. Accordingly, the scope of the present disclosure should not be limited by the features of any particular manner of attaching the carrier or by the features of any particular type of carrier.

實例方法100可以在方塊145處包括去除第一載體。方塊145可以包括以各種方式中的任何一種去除第一載體,本文提供了其非限制性實例。例如,方塊145可以與本文討論的任何載體去除製程共享任何或所有特徵。在圖2H所示的實例200H中呈現了方塊145的各種實例態樣。Example method 100 may include removing the first carrier at block 145 . Block 145 may include removing the first carrier in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 145 may share any or all features with any of the carrier removal processes discussed herein. Various example aspects of block 145 are presented in example 200H shown in Figure 2H.

例如,圖2H的實例200H示出去除了第一載體221(例如,與圖2G的實例200G相比)。方塊145可以包括以各種方式中的任何一種(例如,研磨、蝕刻、化學機械平坦化、剝離、剪切、熱釋放或雷射釋放等)執行此類載體去除。For example, example 200H of Figure 2H shows first carrier 221 removed (eg, compared to example 200G of Figure 2G). Block 145 may include performing such carrier removal in any of a variety of ways (eg, grinding, etching, chemical mechanical planarization, lift-off, shearing, thermal release or laser release, etc.).

又例如,方塊145可以包括去除在方塊125處使用的將功能晶粒201-204耦合到第一載體221的黏合劑層223。例如,此類黏合劑層223可以與第一載體221一起在單步或多步製程中去除。例如,在實例實施方案中,方塊145可以包括從功能晶粒201-204和囊封材料226中拉出第一載體221,其中與第一載體221一起去除黏合劑(或其一部分)。又例如,方塊145可以包括利用溶劑、熱能、光能或其它清潔技術從功能晶粒201-204(例如,從功能晶粒201-204的底表面、從第一晶粒213和/或第二晶粒214互連結構等)和囊封材料226去除黏合劑層223(例如,整個黏合劑層223和/或黏合劑層223的在去除第一載體221之後剩餘的任何部分等)。As another example, block 145 may include removing the adhesive layer 223 used at block 125 to couple the functional dies 201 - 204 to the first carrier 221 . For example, such adhesive layer 223 can be removed together with the first carrier 221 in a single-step or multi-step process. For example, in an example embodiment, block 145 may include pulling first carrier 221 from functional dies 201 - 204 and encapsulation material 226 , with the adhesive (or a portion thereof) being removed along with first carrier 221 . As another example, block 145 may include utilizing solvents, thermal energy, light energy, or other cleaning techniques to clean the functional die 201-204 (e.g., from the bottom surface of the functional die 201-204, from the first die 213 and/or the second die 214 interconnect structure, etc.) and encapsulation material 226 remove adhesive layer 223 (eg, the entire adhesive layer 223 and/or any portion of the adhesive layer 223 remaining after removal of the first carrier 221 , etc.).

通常,方塊145可以包括去除第一載體。因此,本揭示的範圍不應受去除載體的任何特定方式的特徵或任何特定類型的載體的特徵的限制。Generally, block 145 may include removing the first carrier. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of removing the carrier or by the characteristics of any particular type of carrier.

實例方法100可以在方塊150處包括將連接晶粒附接(或耦合或安裝)到功能晶粒。方塊150可以包括以各種方式中的任何一種執行此類附接,本文提供了其非限制性實例。方塊150可以例如與本文討論的任何晶粒附接製程共享任何或所有特徵。在圖2I處呈現了方塊150的各種實例態樣。The example method 100 may include attaching (or coupling or mounting) a connection die to a functional die at block 150 . Block 150 may include performing such attachment in any of a variety of ways, non-limiting examples of which are provided herein. Block 150 may, for example, share any or all features with any of the die attach processes discussed herein. Various example aspects of block 150 are presented at Figure 2I.

例如,第一連接晶粒216b(例如,此類連接晶粒中的任何一個或全部)的晶粒互連結構217可以機械地且電連接到第一功能晶粒201和第二功能晶粒202的相應的第一晶粒互連結構213。For example, die interconnect structure 217 of first connection die 216b (eg, any or all of such connection dies) may be mechanically and electrically connected to first functional die 201 and second functional die 202 corresponding first die interconnect structure 213 .

此類互連結構可以以各種方式中的任何一種來連接。例如,可以通過焊接來執行連接。在實例實施方案中,第一晶粒互連結構213和/或連接晶粒互連結構217可以包括可被回焊以執行連接的焊料蓋(或其它焊料結構)。此類焊料蓋可以例如通過質量回焊、熱壓接合(TCB)等來回焊。在另一實例實施方案中,可以通過直接的金屬對金屬(例如,銅對銅等)接合而不利用焊料來執行連接。此類連接的實例在2015年12月8日提交的標題為“金屬接合的瞬態界面梯度接合(Transient Interface Gradient Bonding for Metal Bonds)”的第14/963,037號美國專利申請案和在2016年1月6日提交的標題為“具有互鎖金屬對金屬鍵的半導體產品和其製造方法(Semiconductor Product with Interlocking Metal-to-Metal Bonds and Method for Manufacturing Thereof)”的第14/989,455號美國專利申請案中提供,所述美國專利申請案中的每一個的全部內容由此以引用的方式併入本文中。可以利用各種技術中的任何一種來將第一晶粒互連結構213附接到連接晶粒互連結構217(例如,質量回焊、熱壓接合(TCB)、直接的金屬對金屬的金屬間接合、導電黏合劑,等)。Such interconnect structures may be connected in any of a variety of ways. For example, the connection can be performed by soldering. In example embodiments, first die interconnect structure 213 and/or connecting die interconnect structure 217 may include a solder cap (or other solder structure) that may be resoldered to perform the connection. Such solder caps can be resoldered, for example, by mass reflow, thermocompression bonding (TCB), etc. In another example embodiment, the connection may be performed by direct metal-to-metal (eg, copper-to-copper, etc.) bonding without utilizing solder. Examples of such connections are provided in U.S. Patent Application No. 14/963,037 titled "Transient Interface Gradient Bonding for Metal Bonds" filed on December 8, 2015 and in January 2016. U.S. Patent Application No. 14/989,455 titled "Semiconductor Product with Interlocking Metal-to-Metal Bonds and Method for Manufacturing Thereof" filed on March 6 are provided in , the entire contents of each of said U.S. patent applications is hereby incorporated by reference. The first die interconnect structure 213 may be attached to the connecting die interconnect structure 217 using any of a variety of techniques (eg, mass reflow, thermocompression bonding (TCB), direct metal-to-metal metal indirect. compounds, conductive adhesives, etc.).

如實例200I所示,第一連接晶粒201的第一晶粒互連結構213連接到連接晶粒216b的相應連接晶粒互連結構217,並且第二連接晶粒202的第一晶粒互連結構213連接到連接晶粒216b的相應連接晶粒互連結構217。在連接時,連接晶粒216b經由RD結構298在第一功能晶粒201和第二功能晶粒202的各種晶粒互連結構之間提供電連接(例如,如圖2B-1的實例200B-3等所示)。As shown in example 200I, the first die interconnect structure 213 of the first connection die 201 is connected to the corresponding connection die interconnect structure 217 of the connection die 216b, and the first die interconnect structure 217 of the second connection die 202 is connected to each other. Bond structures 213 are connected to corresponding bond die interconnect structures 217 of bond die 216b. When connected, connection die 216b provides electrical connections between various die interconnect structures of first functional die 201 and second functional die 202 via RD structures 298 (e.g., example 200B- of FIG. 2B-1 3 etc.).

在圖2I所示的實例200I中,第二晶粒互連結構214的高度可以例如大於(或等於)第一晶粒互連結構213、連接晶粒互連結構217、RD結構298以及連接晶粒216b的任何支撐層290b的組合高度。此類高度差可以例如為連接晶粒216b與另一基板(例如,如圖2N的實例200N所示並且在本文中討論的)之間的緩衝材料(例如,底部填充物等)提供空間。In the example 200I shown in FIG. 2I , the height of the second die interconnection structure 214 may be, for example, greater than (or equal to) the first die interconnection structure 213 , the connection die interconnection structure 217 , the RD structure 298 and the connection die interconnection structure 217 . The combined height of any support layer 290b of particle 216b. Such height differences may, for example, provide space for buffer material (eg, underfill, etc.) connecting die 216b to another substrate (eg, as shown in example 200N of FIG. 2N and discussed herein).

應注意,儘管實例連接晶粒(216b)被示為單面連接晶粒(例如,類似於圖2B-1的實例連接晶粒216b),但是本揭示內容的範圍不限於此。例如,任何或所有此類實例連接晶粒216b可以是雙面的(例如,類似於圖2B-2的實例連接晶粒216c)。It should be noted that although example connection die (216b) is shown as a single-sided connection die (eg, similar to example connection die 216b of Figure 2B-1), the scope of the present disclosure is not limited in this regard. For example, any or all such example connection die 216b may be double-sided (eg, similar to example connection die 216c of Figure 2B-2).

通常,方塊150可以包括將連接晶粒附接(或耦合或安裝)到功能晶粒。因此,本揭示內容的範圍不應受執行此類附接的任何特定方式的特徵或任何特定類型的附接結構的特徵的限制。Generally, block 150 may include attaching (or coupling or mounting) a connection die to a functional die. Accordingly, the scope of the present disclosure should not be limited by the features of any particular manner of performing such attachment or by the features of any particular type of attachment structure.

實例方法100可以在方塊155處包括對連接晶粒進行底部填充。方塊155可以包括以各種方式中的任何一種執行此類底部填充,本文提供了其非限制性實例。方塊155可以例如與本文討論的任何底部填充製程共享任何或所有特徵。在圖2J所示的實例200J中呈現了方塊155的各種實例態樣。The example method 100 may include underfilling the connection die at block 155 . Block 155 may include performing such underfill in any of a variety of ways, non-limiting examples of which are provided herein. Block 155 may, for example, share any or all features with any of the underfill processes discussed herein. Various example aspects of block 155 are presented in example 200J shown in Figure 2J.

應注意的是,可以在連接晶粒216b與功能晶粒201-204之間施加底部填充物。在利用預施加底部填充物(PUF)的情境中,可以在將連接晶粒互連結構217耦合到功能晶粒201-204的第一晶粒互連結構213之前(例如,在方塊150處)將此類PUF施加到功能晶粒201-204和/或施加到連接晶粒216b。It should be noted that underfill may be applied between connection die 216b and functional dies 201-204. In scenarios utilizing pre-applied underfill (PUF), the connection die interconnect structure 217 may be coupled to the first die interconnect structure 213 of the functional dies 201 - 204 (eg, at block 150 ) Such PUF is applied to functional dies 201-204 and/or to connection die 216b.

在方塊150處執行的附接之後,方塊155可以包括形成底部填充物(例如,毛細管底部填充物等)。如圖2J的實例實施方案200J所示,底部填充材料223(例如,本文討論的任何底部填充材料等)可以完全或部分覆蓋連接晶粒216b的底面(例如,如圖2J所示的定向),和/或連接晶粒216b的側面的至少一部分(如果不是全部的話)。底部填充材料223還可以例如圍繞連接晶粒互連結構217,並且圍繞功能晶粒201-204的第一晶粒互連結構213。底部填充材料223可以另外例如在對應於第一晶粒互連結構213的區域中覆蓋功能晶粒201-204的頂面(如圖2J所示的定向)。Following the attachment performed at block 150, block 155 may include forming an underfill (eg, capillary underfill, etc.). As shown in the example embodiment 200J of Figure 2J, underfill material 223 (e.g., any underfill material discussed herein, etc.) may fully or partially cover the bottom surface of connection die 216b (e.g., oriented as shown in Figure 2J), and/or connect at least a portion, if not all, of the sides of die 216b. The underfill material 223 may also surround the connecting die interconnect structure 217 , for example, and surround the first die interconnect structure 213 of the functional dies 201 - 204 . Underfill material 223 may additionally cover the top surfaces of functional dies 201 - 204 , such as in areas corresponding to first die interconnect structures 213 (the orientation shown in FIG. 2J ).

應注意,在實例方法100的各種實例實施方案中,可以跳過在方塊155處執行的底部填充。例如,可以在另一方塊處(例如,在方塊175處等)執行對連接晶粒進行底部填充。又例如,可以完全省略此類底部填充。It should be noted that in various example implementations of example method 100, the underfill performed at block 155 may be skipped. For example, underfilling the connection die may be performed at another block (eg, at block 175 , etc.). As another example, such bottom padding can be omitted entirely.

通常,方塊155可以包括對連接晶粒進行底部填充。因此,本揭示的範圍不應受執行此類底部填充的任何特定方式的特徵或任何特定類型的底部填充的特徵的限制。Generally, block 155 may include underfilling the connection die. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of performing such underfill or by the characteristics of any particular type of underfill.

實例方法100可以在方塊160處包括去除第二載體。方塊160可以包括以各種方式中的任何一種去除第二載體,本文提供了其非限制性實例。例如,方塊160可以與本文討論的任何載體去除處理(例如,關於方塊145等)共享任何或所有特徵。圖2K所示的實例200K呈現了方塊160的各種實例態樣。Example method 100 may include removing the second carrier at block 160 . Block 160 may include removing the second carrier in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 160 may share any or all features with any carrier removal process discussed herein (eg, with respect to block 145, etc.). Example 200K shown in FIG. 2K presents various example aspects of block 160.

例如,圖2K所示的實例實施方案200K不包含圖2J所示的實例實施方案200J的第二載體231。應注意的是,此類去除可以例如包括清潔表面、去除黏合劑(如果使用的話)等。For example, the example implementation 200K shown in Figure 2K does not include the second carrier 231 of the example implementation 200J shown in Figure 2J. It should be noted that such removal may include, for example, cleaning the surface, removing adhesive (if used), etc.

通常,方塊160可以包括去除第二載體。因此,本揭示內容的範圍不應受執行此類載體去除的任何特定方式的特徵或被去除的任何特定類型的載體或載體材料的特徵的限制。Generally, block 160 may include removing the second carrier. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of performing such carrier removal or by the characteristics of any particular type of carrier or carrier material that is removed.

實例方法100可以在方塊165處包括單粒化切割。方塊165可以包括以各種方式中的任何一種執行此類單粒化切割,本文討論了其非限制性實例。方塊165可以例如與本文討論的任何單粒化切割共享任何或所有特徵。圖2L所示的實例200L呈現了方塊165的各種實例態樣。Example method 100 may include singulation cutting at block 165 . Block 165 may include performing such singulation in any of a variety of ways, non-limiting examples of which are discussed herein. Block 165 may, for example, share any or all characteristics with any of the singulation cuts discussed herein. Example 200L shown in FIG. 2L presents various example aspects of block 165.

如本文所討論,本文所示的實例組件可以形成於包含多個此類組件(或模塊)的晶圓或面板上。例如,圖2K所示的實例200K具有通過囊封材料226接合在一起的兩個組件(左和右)。在此類實例實施方案中,可以將晶圓或面板單粒化切割(或切塊)以形成單獨的組件(或模塊)。在圖2L的實例200L中,將囊封材料226鋸切(或剪裁、折斷、拉斷、切塊,或以其它方式剪裁等)成兩個囊封材料部分226a和226b,每個部分對應於相應的電子裝置。As discussed herein, example components shown herein may be formed on a wafer or panel containing a plurality of such components (or modules). For example, the example 200K shown in FIG. 2K has two components (left and right) joined together by encapsulation material 226 . In such example embodiments, the wafers or panels may be singulated (or diced) to form individual components (or modules). In example 200L of Figure 2L, encapsulating material 226 is sawed (or trimmed, broken, pulled, diced, or otherwise trimmed, etc.) into two encapsulating material portions 226a and 226b, each portion corresponding to Corresponding electronic device.

在圖2L所示的實例實施方案200L中,僅需要剪裁囊封材料226。然而,方塊165可以包括剪裁各種材料中的任何一種(如果沿著單粒化切割線(或剪裁線)存在的話)。例如,方塊165可以包括剪裁底部填充材料、載體材料、功能和/或連接晶粒材料、基板材料等。In the example embodiment 200L shown in Figure 2L, only encapsulation material 226 needs to be trimmed. However, block 165 may include cutting any of the various materials if present along a singulation cut line (or cut line). For example, block 165 may include tailoring underfill material, carrier material, functional and/or connection die material, substrate material, etc.

通常,方塊165可以包括單粒化切割。因此,本揭示內容的範圍不應受單粒化切割的任何特定方式的限制。Generally, block 165 may include singulation cutting. Accordingly, the scope of the present disclosure should not be limited by any particular manner of singulation.

實例方法100可以在方塊170處包括安裝到基板。方塊170可以例如包括以各種方式中的任何一種執行此類附接,本文提供了其非限制性實例。例如,方塊170可以與本文討論的任何安裝(或附接)步驟(例如,附接互連結構、附接晶粒背面等)共享任何或所有特徵。圖4M所示的實例400M中呈現了方塊170的各種實例態樣。The example method 100 may include mounting to a substrate at block 170 . Block 170 may, for example, include performing such attachment in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 170 may share any or all features with any of the mounting (or attaching) steps discussed herein (eg, attaching interconnect structures, attaching die backside, etc.). Various example aspects of block 170 are presented in example 400M shown in FIG. 4M.

基板288可以包括各種特徵中的任何一種,本文提供了其非限制性實例。例如,基板288可以包括封裝基板、中介層、母板、印刷引線板、功能半導體晶粒、另一裝置的堆積重分佈結構等。基板288可以例如包括無芯基板、有機基板、陶瓷基板等。基板288可以例如包括一個或多個介電質層(例如,有機和/或無機介電質層)和/或形成在半導體(例如,矽等)基板、玻璃或金屬基板、陶瓷基板等上的導電層。基板288可以例如與圖2B-1的RD結構298、圖2B-2的RD結構298b、本文討論的任何RD結構等共享任何或所有特徵。基板288可以例如包括單獨的封裝基板,或者可以包括耦合在一起(例如,在面板或晶圓中)且可以後續切割的多個基板。Substrate 288 may include any of a variety of features, non-limiting examples of which are provided herein. For example, substrate 288 may include a packaging substrate, an interposer, a motherboard, a printed lead board, a functional semiconductor die, a stacked redistribution structure of another device, or the like. The substrate 288 may include, for example, a coreless substrate, an organic substrate, a ceramic substrate, or the like. Substrate 288 may, for example, include one or more dielectric layers (eg, organic and/or inorganic dielectric layers) and/or be formed on a semiconductor (eg, silicon, etc.) substrate, a glass or metal substrate, a ceramic substrate, etc. conductive layer. Substrate 288 may share any or all features, for example, with RD structure 298 of Figure 2B-1, RD structure 298b of Figure 2B-2, any RD structure discussed herein, and the like. Substrate 288 may include, for example, a single package substrate, or may include multiple substrates that are coupled together (eg, in a panel or wafer) and may be subsequently cut.

在圖2M所示的實例200M中,方塊170可以包括將功能晶粒201-202的第二晶粒互連結構214焊接(例如,利用質量回焊、熱壓接合、雷射焊接等)到相應襯墊(例如,接合襯墊、跡線、連接盤等)或基板288的其它互連結構(例如,柱、支柱、球、凸塊等)。In the example 200M shown in FIG. 2M , block 170 may include soldering (eg, using quality reflow, thermocompression bonding, laser welding, etc.) the second die interconnect structure 214 of the functional dies 201 - 202 to corresponding pads (eg, bonding pads, traces, lands, etc.) or other interconnect structures of the substrate 288 (eg, pillars, posts, balls, bumps, etc.).

應注意的是,在其中連接晶粒216b是類似於連接晶粒216c的雙面連接晶粒的實例實施方案中,方塊170還可以包括將第二組連接晶粒互連結構299連接到基板288的相應襯墊或其它互連結構。然而,在圖2M的實例200M中,連接晶粒216b是單面連接晶粒。應注意的是,如本文所討論,由於功能晶粒201-202的第二晶粒互連結構214比第一晶粒互連結構213、連接晶粒互連結構217和連接晶粒216b的支撐層290b的組合高度高,因此在連接晶粒216b的背面(圖2M中的連接晶粒216b的下面)與基板288的頂面之間存在間隙。如圖2N所示,此間隙可以用底部填充物填充。It should be noted that in example embodiments in which connection die 216b is a double-sided connection die similar to connection die 216c, block 170 may also include connecting a second set of connection die interconnect structures 299 to the substrate 288 corresponding pads or other interconnect structures. However, in example 200M of Figure 2M, connection die 216b is a single-sided connection die. It should be noted that, as discussed herein, since the second die interconnect structure 214 of the functional dies 201-202 is more supportive than the first die interconnect structure 213, the connection die interconnect structure 217, and the connection die 216b The combined height of layer 290b is high so that there is a gap between the backside of connection die 216b (underside of connection die 216b in Figure 2M) and the top surface of substrate 288. This gap can be filled with underfill as shown in Figure 2N.

通常,方塊170包括將在方塊165處單粒化切割的組件(或模塊)安裝(或附接或耦合)到基板。因此,本揭示內容的範圍不應受任何特定類型的安裝(或附接)的特徵或任何特定安裝(或附接)結構的特徵的限制。Generally, block 170 includes mounting (or attaching or coupling) the components (or modules) singulated at block 165 to a substrate. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular type of mounting (or attachment) or by the characteristics of any particular mounting (or attachment) structure.

實例方法100可以在方塊175處包括在基板與在方塊170處安裝到其上的組件(或模塊)之間進行底部填充。方塊175可以包括以各種方式中的任何一種執行底部填充,本文提供了其非限制性實例。方塊175可以例如與本文討論的任何底部填充(或囊封)製程(例如,關於方塊155等)共享任何或所有特徵。在圖2N所示的實例200N中呈現了方塊175的各種態樣。The example method 100 may include, at block 175 , underfilling between the substrate and the component (or module) mounted thereto at block 170 . Block 175 may include performing underfill in any of a variety of ways, non-limiting examples of which are provided herein. Block 175 may, for example, share any or all features with any underfill (or encapsulation) process discussed herein (eg, with respect to block 155 , etc.). Various aspects of block 175 are presented in example 200N shown in Figure 2N.

方塊175可以例如包括在方塊170處執行安裝之後執行毛細管底部填充物或注入的底部填充物處理。又例如,在利用預施加底部填充物(PUF)的情境中,可以在此類安裝之前將此類PUF施加到基板、基板的金屬圖案和/或其互連結構。方塊175還可以包括利用模製的底部填充製程執行此類底部填充。Block 175 may include, for example, performing a capillary underfill or injected underfill process after performing the installation at block 170 . As another example, in scenarios utilizing pre-applied underfill (PUF), such PUF may be applied to the substrate, its metal pattern, and/or its interconnect structure prior to such mounting. Block 175 may also include performing such underfill using a molded underfill process.

如圖2N的實例實施方案200N所示,底部填充材料291(例如,本文討論的任何底部填充材料等)可以完全或部分覆蓋基板288的頂面。底部填充材料291還可以例如圍繞功能晶粒201-202的第二晶粒互連結構214(和/或相應的基板襯墊)。底部填充材料291可以例如覆蓋功能晶粒201-202的底面、連接晶粒216b的底面和囊封材料226a的底面。底部填充材料291還可以例如覆蓋連接晶粒216b的側面表面和/或在連接晶粒216b與功能晶粒201-202之間的底部填充物223的暴露的橫向表面。底部填充材料291可以例如覆蓋囊封材料226a和/或功能晶粒201-202的側面表面(例如,全部或一部分)。As shown in example embodiment 200N of FIG. 2N, underfill material 291 (eg, any of the underfill materials discussed herein, etc.) may fully or partially cover the top surface of substrate 288. The underfill material 291 may also surround the second die interconnect structure 214 (and/or the corresponding substrate pad) of the functional dies 201 - 202 , for example. Underfill material 291 may, for example, cover the bottom surfaces of functional dies 201-202, the bottom surfaces of connection die 216b, and the bottom surfaces of encapsulation material 226a. Underfill material 291 may also cover, for example, side surfaces of connection die 216b and/or exposed lateral surfaces of underfill 223 between connection die 216b and functional dies 201-202. Underfill material 291 may, for example, cover encapsulation material 226a and/or side surfaces (eg, all or a portion) of functional dies 201 - 202 .

在其中未形成底部填充物223的實例實施方案中,可以形成底部填充材料291代替底部填充物223。例如,參考實例200N,在實例200N中可以用更多的底部填充材料291代替底部填充材料223。In example embodiments in which underfill 223 is not formed, underfill material 291 may be formed in place of underfill 223 . For example, referring to Example 200N, underfill material 223 may be replaced with more underfill material 291 in Example 200N.

在其中形成底部填充物223的實例實施方案中,底部填充材料291可以是與底部填充材料223不同類型的底部填充材料。在另一實例實施方案中,底部填充材料223和291都可以是相同類型的材料。In example embodiments in which underfill 223 is formed, underfill material 291 may be a different type of underfill material than underfill material 223 . In another example embodiment, both underfill materials 223 and 291 may be the same type of material.

與方塊155一樣,也可以跳過方塊175,例如在另一方塊處留下要用另一底部填充物(例如,模製底部填充物等)填充的空間。As with block 155, block 175 may also be skipped, such as leaving a space at another block to be filled with another underfill (eg, molded underfill, etc.).

通常,方塊175包括進行底部填充。因此,本揭示內容的範圍不應受任何特定類型的底部填充的特徵或任何特定底部填充材料的特徵的限制。Typically, block 175 involves performing an underfill. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular type of underfill or the characteristics of any particular underfill material.

實例方法100可以在方塊190處包括執行繼續處理。此類繼續處理可以包括各種特徵中的任何一種,本文提供了其非限制性實例。例如,方塊190可以包括將實例方法100的執行流程返回到其任何方塊。又例如,方塊190可以包括將實例方法100的執行流程引導到本文討論的任何其它方法方塊(或步驟)(例如,關於圖3的實例方法300、圖5的實例方法500等)。The example method 100 may include performing a continuation process at block 190 . Such continued processing may include any of a variety of features, non-limiting examples of which are provided herein. For example, block 190 may include returning execution flow of example method 100 to any block thereof. As another example, block 190 may include directing execution flow of example method 100 to any other method block (or step) discussed herein (eg, with respect to example method 300 of Figure 3, example method 500 of Figure 5, etc.).

例如,方塊190可以包括在基板288的底面上形成互連結構299(例如,導電球、凸塊、柱等)。For example, block 190 may include forming interconnect structures 299 (eg, conductive balls, bumps, pillars, etc.) on the bottom surface of substrate 288 .

又例如,如圖2O的實例200O所示,方塊190可以包括形成囊封材料225。此類囊封材料225可以例如覆蓋基板288的頂面、底部填充物224的側面、囊封材料226a的側面和/或功能晶粒201-202的側面。在圖2O所示的實例200O中,囊封材料225的頂面、囊封材料226a的頂面和/或功能晶粒201-202的頂面可以共平面。As another example, as shown in example 200O of FIG. 2O , block 190 may include forming encapsulation material 225 . Such encapsulation material 225 may, for example, cover the top surface of substrate 288, the sides of underfill 224, the sides of encapsulation material 226a, and/or the sides of functional dies 201-202. In the example 200O shown in FIG. 2O, the top surface of the encapsulation material 225, the top surface of the encapsulation material 226a, and/or the top surfaces of the functional dies 201-202 may be coplanar.

如本文所討論,可能不形成底部填充物224(例如,如在方塊175處形成的底部填充物)。在這種情況下,囊封材料225可以代替底部填充物。在圖2P處提供了此類結構和方法的實例200P。相對於圖20所示的實例實施方案200O,在實例實施方案200P中,用囊封材料225替換實例實施方案200O的底部填充物224作為底部填充物。As discussed herein, underfill 224 may not be formed (eg, as formed at block 175 ). In this case, encapsulating material 225 may replace the underfill. An example 200P of such structures and methods is provided at Figure 2P. Relative to example embodiment 200O shown in FIG. 20 , in example embodiment 200P, underfill 224 of example embodiment 200O is replaced with encapsulation material 225 as the underfill.

如本文所討論,可能不形成底部填充物223(例如,如在方塊155處形成的)和底部填充物224。在這種情況下,囊封材料225可以代替它們。在圖2Q處提供了此類結構和方法的實例實施方案200Q。相對於圖2P所示的實例實施方案200P,在實例實施方案200Q中,用囊封材料225代替實例實施方案200P的底部填充物223。As discussed herein, underfill 223 (eg, as formed at block 155) and underfill 224 may not be formed. In this case, encapsulating material 225 may replace them. An example implementation 200Q of such structures and methods is provided at Figure 2Q. Relative to example embodiment 200P shown in Figure 2P, in example embodiment 200Q, encapsulation material 225 is used in place of underfill 223 of example embodiment 200P.

應注意,在圖2O、2P和2Q所示的任何實例實施方案200O、200P和200Q中,囊封材料225和基板288的側面可以共平面。It should be noted that in any of the example embodiments 200O, 200P, and 200Q shown in Figures 2O, 2P, and 2Q, the sides of the encapsulation material 225 and the substrate 288 may be coplanar.

在圖1和圖2A-2Q所示的實例方法100中,各種晶粒互連結構(例如,第一晶粒互連結構213、第二晶粒互連結構214、連接晶粒互連結構217(和/或299)等)通常在晶粒的接收、製造和/或準備製程中形成。例如,此類各種晶粒互連結構通常可以在其相應晶粒整合到組件中之前形成。然而,本揭示內容的範圍不應受此類實例實施方案的時序的限制。例如,任何或所有各種晶粒互連結構可以在其相應晶粒整合到組件中之後形成。現將討論示出在不同階段形成晶粒互連結構的實例方法300。In the example method 100 shown in FIGS. 1 and 2A-2Q , various die interconnect structures (eg, first die interconnect structure 213 , second die interconnect structure 214 , connecting die interconnect structure 217 (and/or 299), etc.) are typically formed during the die receiving, fabrication, and/or preparation processes. For example, such various die interconnect structures may often be formed prior to integration of their corresponding die into the component. However, the scope of the present disclosure should not be limited by the timing of such example implementations. For example, any or all of the various die interconnect structures may be formed after their corresponding die are integrated into the assembly. An example method 300 illustrating forming a die interconnect structure at different stages will now be discussed.

圖3示出製造電子裝置(例如,半導體封裝等)的實例方法300的流程圖。 實例方法300可以例如與本文討論的任何其它實例方法(例如,圖1的實例方法100、圖5的實例方法500、圖7的實例方法700等)共享任何或所有特徵。圖4A-4N示出的橫截面圖示出根據本揭示的內容各種態樣的實例電子裝置(例如,半導體封裝等)和製造實例電子裝置的實例方法。圖4A-4N可以例如以圖3的方法300的各個方塊(或步驟)示出實例電子裝置。現將一起討論圖3和4A-4N。應注意,在不脫離本揭示內容的範圍的情況下,方法300的實例方塊的順序可以變化。3 illustrates a flow diagram of an example method 300 of manufacturing an electronic device (eg, semiconductor package, etc.). Example method 300 may, for example, share any or all features with any other example method discussed herein (eg, example method 100 of FIG. 1 , example method 500 of FIG. 5 , example method 700 of FIG. 7 , etc.). 4A-4N illustrate cross-sectional views illustrating example electronic devices (eg, semiconductor packages, etc.) and example methods of fabricating the example electronic devices in accordance with various aspects of the present disclosure. 4A-4N may illustrate an example electronic device, such as in various blocks (or steps) of method 300 of FIG. 3 . Figures 3 and 4A-4N will now be discussed together. It should be noted that the order of the example blocks of method 300 may vary without departing from the scope of the present disclosure.

實例方法300可以在方塊305處開始執行。方法300可以響應於各種原因或條件中的任何一種而開始執行,本文提供了其非限制性實例。例如,方法300可以響應於從一個或多個上游和/或下游製造站接收的一個或多個信號、響應於來自中央製造線控制器的信號等而開始自動執行。又例如,方法300可以響應於操作員命令開始而開始執行。另外,例如,方法300可以響應於從本文討論的任何其它方法方塊(或步驟)接收到執行流程而開始執行。Example method 300 may begin execution at block 305. Method 300 may begin execution in response to any of a variety of causes or conditions, non-limiting examples of which are provided herein. For example, method 300 may begin automatically in response to one or more signals received from one or more upstream and/or downstream manufacturing stations, in response to signals from a central manufacturing line controller, or the like. As another example, method 300 may begin execution in response to an operator command to begin. Additionally, for example, method 300 may begin execution in response to receiving an execution flow from any other method block (or step) discussed herein.

實例方法300可以在方塊310處包括接收、製造和/或準備多個功能晶粒。方塊310可以包括以各種方式中的任何一種接收、製造和/或準備多個功能晶粒,本文提供了其非限制性實例。例如,方塊310可以與圖1所示且在本文討論的實例方法100的方塊110共享任何或所有特徵。在圖4A所示的實例400A-1到400A-4中呈現了方塊310的各種態樣。Example method 300 may include receiving, fabricating, and/or preparing a plurality of functional dies at block 310 . Block 310 may include receiving, fabricating, and/or preparing a plurality of functional dies in any of a variety of manners, non-limiting examples of which are provided herein. For example, block 310 may share any or all features with block 110 of example method 100 shown in FIG. 1 and discussed herein. Various aspects of block 310 are presented in examples 400A-1 through 400A-4 shown in Figure 4A.

方塊310可以例如包括在相同設施或地理位置從上游製造製程接收多個功能晶粒。方塊310還可以例如包括從供應商(例如,從鑄造廠等)接收功能晶粒。方塊310還可以例如包括形成多個功能晶粒的任何或所有特徵。Block 310 may include, for example, receiving multiple functional dies from an upstream manufacturing process at the same facility or geographic location. Block 310 may also include, for example, receiving functional dies from a supplier (eg, from a foundry, etc.). Block 310 may also include, for example, any or all features that form a plurality of functional dies.

在實例實施方案中,方塊310可以與圖1的實例方法100的方塊110共享任何或所有特徵,但是不具有第一晶粒互連結構213和第二晶粒互連結構214。將會看到,此類晶粒互連結構可以稍後在實例方法300中形成(例如,在方塊347處等)。儘管未在圖4A中示出,但是功能晶粒411-412中的每一個可以例如包括晶粒襯墊和/或凸塊下金屬化結構,可以在其上形成此類晶粒互連結構。In an example implementation, block 310 may share any or all features with block 110 of example method 100 of FIG. 1 but does not have first die interconnect structure 213 and second die interconnect structure 214 . It will be seen that such die interconnect structures may be formed later in example method 300 (eg, at block 347, etc.). Although not shown in FIG. 4A , each of the functional dies 411 - 412 may, for example, include die pads and/or under-bump metallization structures on which such die interconnect structures may be formed.

圖4A所示的功能晶粒411-412可以例如與圖2A所示的功能晶粒211-212共享任何或所有特徵(例如,不具有第一晶粒互連結構213和第二晶粒互連結構214)。例如但不限於,功能晶粒411-412可以包括各種電子部件(例如,被動電子部件、主動電子部件、裸晶粒或部件、封裝晶粒或部件等)中的任何一種的特徵。Functional die 411-412 shown in Figure 4A may, for example, share any or all features with functional die 211-212 shown in Figure 2A (e.g., not having first die interconnect structure 213 and second die interconnect Structure 214). For example, and without limitation, functional dies 411 - 412 may include features of any of a variety of electronic components (eg, passive electronic components, active electronic components, bare dies or components, packaged dies or components, etc.).

通常,方塊310可以包括接收、製造和/或準備多個功能晶粒。因此,本揭示的範圍不應受執行此類接收、製造和/或準備的任何特定方式的特徵的限制,也不受此類功能晶粒的任何特定特徵的限制。Generally, block 310 may include receiving, fabricating, and/or preparing a plurality of functional dies. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner in which such receiving, fabrication, and/or preparation is performed, nor by any specific characteristics of such functional die.

實例方法300可以在方塊315處包括接收、製造和/或準備連接晶粒。方塊315可以包括以各種方式中的任何一種接收、製造和/或準備一個或多個連接晶粒,本文提供了其非限制性實例。方塊315可以例如與圖1所示且在本文討論的實例方法100的方塊115共享任何或所有特徵。在圖4B所示的實例400B-1和400B-2中呈現了方塊315的各種實例態樣。The example method 300 may include receiving, fabricating, and/or preparing the connection die at block 315 . Block 315 may include receiving, fabricating, and/or preparing one or more connection dies in any of a variety of ways, non-limiting examples of which are provided herein. Block 315 may, for example, share any or all features with block 115 of the example method 100 shown in FIG. 1 and discussed herein. Various example aspects of block 315 are presented in examples 400B-1 and 400B-2 shown in Figure 4B.

連接晶粒416a和/或416b(或其晶圓)可以例如包括連接晶粒互連結構417。連接晶粒互連結構417可以包括各種特徵中的任何一種。例如,連接晶粒互連結構417和/或其任何態樣的形成可以與圖2B-1到圖2B-2所示且在本文討論的連接晶粒互連結構217和/或其形成具有任何或所有特徵。Connection die 416a and/or 416b (or wafers thereof) may include, for example, connection die interconnect structures 417 . The connecting die interconnect structure 417 may include any of a variety of features. For example, the connection die interconnect structure 417 and/or the formation of any aspects thereof may have any characteristics similar to the connection die interconnect structure 217 and/or the formation thereof shown in FIGS. 2B-1 through 2B-2 and discussed herein. or all features.

連接晶粒416a和/或416b(或其晶圓)可以以各種方式中的任何一種形成,本文例如關於圖2B-1到2B-2的連接晶粒216a、216b和/或216c提供了其非限制性實例。Connection dies 416a and/or 416b (or wafers thereof) may be formed in any of a variety of manners, for example as provided herein with respect to connection dies 216a, 216b, and/or 216c of Figures 2B-1 through 2B-2. Restricted examples.

通常,方塊315可以包括接收、製造和/或準備連接晶粒。因此,本揭示的範圍不應受執行此類接收、製造和/或準備的任何特定方式的特徵的限制,也不受此類連接晶粒的任何特定特徵的限制。Generally, block 315 may include receiving, fabricating, and/or preparing the die for attachment. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner in which such receiving, fabrication, and/or preparation is performed, nor by any particular characteristics of such connected dies.

實例方法300可以在方塊320處包括接收、製造和/或準備第一載體。方塊320可以包括以各種方式中的任何一種接收、製造和/或準備第一載體,本文提供了其非限制性實例。方塊320可以例如與本文討論的其它載體接收、製造和/或準備步驟(例如,與圖1的實例方法100的方塊120等)共享任何或所有特徵。Example method 300 may include receiving, manufacturing, and/or preparing a first carrier at block 320 . Block 320 may include receiving, manufacturing, and/or preparing the first carrier in any of a variety of ways, non-limiting examples of which are provided herein. Block 320 may, for example, share any or all features with other carrier receiving, manufacturing, and/or preparation steps discussed herein (eg, with block 120 of example method 100 of FIG. 1 , etc.).

在圖4C所示的實例400C中呈現了方塊320的各種實例態樣。例如,載體421可以與圖2C的載體221共享任何或所有特徵。又例如,黏合劑423可以與圖2C的黏合劑223共享任何或所有特徵。然而,應注意的是,由於黏合劑423不接收功能晶粒的晶粒互連結構(例如,在方塊325處),因此黏合劑423不必與黏合劑223一樣厚。Various example aspects of block 320 are presented in example 400C shown in Figure 4C. For example, carrier 421 may share any or all features with carrier 221 of Figure 2C. As another example, adhesive 423 may share any or all features with adhesive 223 of Figure 2C. However, it should be noted that since adhesive 423 does not receive the die interconnect structure of the functional die (eg, at block 325 ), adhesive 423 does not have to be as thick as adhesive 223 .

通常,方塊320可以包括接收、製造和/或準備第一載體。因此,本揭示內容的範圍不應受接收載體的任何特定條件、製造載體的任何特定方式和/或準備此類載體以供使用的任何特定方式的特徵的限制。Generally, block 320 may include receiving, manufacturing, and/or preparing a first carrier. The scope of the disclosure should therefore not be limited by any particular conditions under which the carriers are received, by any particular manner of making the carriers, and/or by the characteristics of any particular manner of preparing such carriers for use.

實例方法300可以在方塊325處包括將功能晶粒耦合(或安裝)到載體(例如,耦合到非導電載體的頂面、耦合到載體的頂面上的金屬圖案、耦合到載體的頂面上的RD結構等)。方塊325可以包括以各種方式中的任何一種執行此類耦合,本文提供了其非限制性實例。例如,方塊325可以例如與本文討論的其它晶粒安裝步驟(例如,在圖1的實例方法100的方塊125處等)共享任何或所有特徵。The example method 300 may include coupling (or mounting) the functional die to the carrier (eg, coupling to a top surface of the non-conductive carrier, coupling to a metal pattern on the top surface of the carrier, coupling to a top surface of the carrier, at block 325 RD structure, etc.). Block 325 may include performing such coupling in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 325 may share any or all features, such as with other die mounting steps discussed herein (eg, at block 125 of the example method 100 of FIG. 1 , etc.).

在圖4D所示的實例400D中呈現了方塊325的各種實例態樣。實例400D可以與圖2D的實例200D共享任何或所有特徵。例如,功能晶粒401-404(例如,晶粒411和/或412的實例)可以與圖2D的功能晶粒201-204(例如,晶粒211和/或212的實例)共享任何或所有特徵(例如,晶粒互連結構213和214未延伸到黏合劑223中。Various example aspects of block 325 are presented in example 400D shown in Figure 4D. Instance 400D may share any or all features with instance 200D of Figure 2D. For example, functional die 401-404 (eg, instances of die 411 and/or 412) may share any or all features with functional die 201-204 of Figure 2D (eg, instances of die 211 and/or 212) (For example, die interconnect structures 213 and 214 do not extend into adhesive 223.

在實例400D中,示出了功能晶粒401-404的相應主動面耦合到黏合劑423,但是本揭示內容的範圍不限於此類定向。在替代實施方案中,功能晶粒401-404的相應非作用面可以安裝到黏合劑423(例如,其中功能晶粒404-404可以具有矽通孔或其它結構以稍後連接到連接晶粒等)。In example 400D, the respective active faces of functional dies 401 - 404 are shown coupled to adhesive 423 , but the scope of the present disclosure is not limited to such orientations. In alternative embodiments, the respective non-active sides of functional dies 401-404 may be mounted to adhesive 423 (e.g., where functional dies 404-404 may have through-silicon vias or other structures for later connection to bonding dies, etc. ).

通常,方塊325可以包括將功能晶粒耦合到載體。因此,本揭示的範圍不應受執行此類耦合的任何特定方式的特徵的限制。Generally, block 325 may include coupling the functional die to the carrier. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of performing such coupling.

實例方法300可以在方塊330處包括囊封。方塊330可以包括以各種方式中的任何一種執行此類囊封,本文提供了其非限制性實例。例如,方塊330可以與本文討論的其它囊封(例如,與圖1的實例方法100的方塊130等)共享任何或所有特徵。Example method 300 may include encapsulation at block 330 . Block 330 may include performing such encapsulation in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 330 may share any or all features with other encapsulations discussed herein (eg, with block 130 of example method 100 of FIG. 1 , etc.).

在圖4E所示的實例400E中呈現了方塊330的各種實例態樣。例如,囊封材料426'(和/或其形成)可以與圖2E的囊封材料226'(和/或其形成)共享任何或所有特徵。Various example aspects of block 330 are presented in example 400E shown in Figure 4E. For example, encapsulation material 426' (and/or its formation) may share any or all features with encapsulation material 226' (and/or its formation) of Figure 2E.

通常,方塊330可以包括囊封。因此,本揭示的範圍不應受執行此類囊封的任何特定方式的特徵、任何特定類型的囊封材料的特徵等的限制。Generally, block 330 may include encapsulation. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of performing such encapsulation, the characteristics of any particular type of encapsulating material, or the like.

實例方法300可以在方塊335處包括研磨(或以其它方式減薄或平坦化)囊封材料。方塊335可以包括以各種方式中的任何一種執行此類研磨(或任何減薄或平坦化製程),本文提供了其非限制性實例。例如,方塊335可以與本文討論的其它研磨(或減薄或平坦化)(例如,與圖1的實例方法100的方塊135等)共享任何或所有特徵。Example method 300 may include grinding (or otherwise thinning or planarizing) the encapsulation material at block 335 . Block 335 may include performing such grinding (or any thinning or planarization process) in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 335 may share any or all features with other grinding (or thinning or planarizing) discussed herein (eg, with block 135 of the example method 100 of FIG. 1 , etc.).

在圖4F所示的實例400F中呈現了方塊335的各種實例態樣。實例研磨的(或減薄或平坦化的等)囊封材料426(和/或其形成)可以與圖2F的囊封材料226(和/或其形成)共享任何或所有特徵。Various example aspects of block 335 are presented in example 400F shown in Figure 4F. Example ground (or thinned or planarized, etc.) encapsulation material 426 (and/or its formation) may share any or all characteristics with encapsulation material 226 (and/or its formation) of Figure 2F.

通常,方塊335可以包括研磨(或以其它方式減薄或平坦化)囊封材料。因此,本揭示內容的範圍不應受執行此類研磨(或減薄或平坦化)的任何特定方式的特徵的限制。Generally, block 335 may include grinding (or otherwise thinning or planarizing) the encapsulation material. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of performing such grinding (or thinning or planarizing).

實例方法300可以在方塊340處包括附接第二載體。方塊340可以包括以各種方式中的任何一種附接第二載體,本文提供了其非限制性實例。例如,方塊340可以與本文討論的任何載體附接(例如,與圖1的實例方法100的方塊140等)共享任何或所有特徵。Example method 300 may include attaching a second carrier at block 340 . Block 340 may include attaching the second carrier in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 340 may share any or all features with any carrier attachment discussed herein (eg, with block 140 of example method 100 of FIG. 1 , etc.).

在圖4G所示的實例400G中示出了方塊340的各種實例態樣。第二載體431(和/或其附接)可以例如與圖2G的第二載體231共享任何或所有特徵。Various example aspects of block 340 are shown in example 400G shown in Figure 4G. The second carrier 431 (and/or its attachment) may share any or all features with the second carrier 231 of Figure 2G, for example.

通常,方塊340可以包括附接第二載體。因此,本揭示內容的範圍不應受執行此類附接的任何特定方式的特徵和/或任何特定類型的第二載體的特徵的限制。Generally, block 340 may include attaching a second carrier. Accordingly, the scope of the present disclosure should not be limited by the features of any particular manner of performing such attachment and/or by the features of any particular type of second carrier.

實例方法300可以在方塊345處包括去除第一載體。方塊345可以包括以各種方式中的任何一種去除第一載體,本文提供了其非限制性實例。例如,方塊345可以與本文討論的任何載體去除(例如,與圖1所示的實例方法100的方塊145等)共享任何或所有特徵。Example method 300 may include removing the first carrier at block 345 . Block 345 may include removing the first carrier in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 345 may share any or all features with any of the vector removals discussed herein (eg, with block 145 of example method 100 shown in FIG. 1 , etc.).

在圖4H-1所示的實例400H中示出了方塊345的各種實例態樣。例如,相對於實例400G,已經去除了第一載體421。Various example aspects of block 345 are shown in example 400H shown in Figure 4H-1. For example, relative to example 400G, first carrier 421 has been removed.

通常,方塊345可以包括去除第一載體。因此,本揭示內容的範圍不應受執行此類去除的任何特定方式的特徵的限制。Generally, block 345 may include removing the first carrier. Accordingly, the scope of this disclosure should not be limited by the characteristics of any particular manner in which such removal is performed.

實例方法300可以在方塊347處包括形成互連結構。方塊347可以包括以各種方式中的任何一種形成互連結構,本文提供了其非限制性實例。例如,方塊347可以與本文討論的其它互連結構形成製程(或步驟或方塊)(例如,關於圖1所示和本文討論的實例方法100的方塊110等)共享任何或所有特徵。Example method 300 may include forming an interconnect structure at block 347 . Block 347 may include forming an interconnect structure in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 347 may share any or all features with other interconnect structure formation processes (or steps or blocks) discussed herein (eg, block 110 with respect to the example method 100 shown in FIG. 1 and discussed herein, etc.).

在圖4H-2的實例400H-2處示出了方塊347的各種實例態樣。圖4H-2的第一晶粒互連結構413(和/或其形成)可以與圖2A的第一晶粒互連結構213(和/或其形成)共享任何或所有特徵。類似地,圖4H-2的第二晶粒互連結構414(和/或其形成)可以與圖2A的第二晶粒互連結構214(和/或其形成)共享任何或所有特徵。Various example aspects of block 347 are shown at instance 400H-2 of Figure 4H-2. The first die interconnect structure 413 (and/or its formation) of FIG. 4H-2 may share any or all features with the first die interconnect structure 213 (and/or its formation) of FIG. 2A. Similarly, the second die interconnect structure 414 of FIG. 4H-2 (and/or its formation) may share any or all features with the second die interconnect structure 214 (and/or its formation) of FIG. 2A.

實例實施方案400H-2包含鈍化層417(或重新鈍化層)。儘管在圖2A的實例實施方案和/或本文呈現的其它實例實施方案中未示出,但是此類實例實施方案也可以包含此類鈍化層417(例如,在功能晶粒與晶粒互連結構之間和/或在晶粒互連結構的基底周圍,在連接晶粒與連接晶粒互連結構之間和/或在連接晶粒互連結構的基底周圍,等)。例如,在方塊347之前尚未形成此類鈍化層417的情況下,方塊347可以包括形成此類鈍化層417。應注意的是,也可以省略鈍化層417。Example implementation 400H-2 includes a passivation layer 417 (or re-passivation layer). Although not shown in the example embodiment of FIG. 2A and/or other example embodiments presented herein, such example embodiments may also include such passivation layers 417 (e.g., between functional die and die interconnect structures). between and/or around the base of the die interconnect structures, between the connecting dies and the connecting die interconnect structures and/or around the base of the connecting die interconnect structures, etc.). For example, block 347 may include forming such passivation layer 417 where such passivation layer 417 has not been formed prior to block 347 . It should be noted that passivation layer 417 may also be omitted.

在實例實施方案中,例如其中通過外部無機介電質層接收或形成功能晶粒,鈍化層417可以包括有機介電質層(例如,包括本文討論的任何有機介電質層)。In example embodiments, such as where functional grains are received or formed through an external inorganic dielectric layer, passivation layer 417 may include an organic dielectric layer (eg, including any of the organic dielectric layers discussed herein).

鈍化層417(和/或其形成)可以包括本文討論的任何鈍化(或介電質)層(和/或其形成)的特徵。第一晶粒互連結構413和第二晶粒互連結構414可以例如通過鈍化層417中的相應孔電連接到功能晶粒401-404。Passivation layer 417 (and/or its formation) may include features of any passivation (or dielectric) layer (and/or its formation) discussed herein. The first die interconnect structure 413 and the second die interconnect structure 414 may be electrically connected to the functional dies 401 - 404 , such as through corresponding holes in the passivation layer 417 .

儘管在模製層426和功能晶粒401-404上示出了鈍化層417,但是鈍化層417也可以僅在功能晶粒401-404上形成(例如,在方塊310處)。在此類實例實施方案中,鈍化層417的外表面(例如,在圖4H-2中鈍化層417的面向上的表面)可以與囊封材料426的對應表面(例如,在圖4H-2中囊封材料426的面向上的表面)共平面。Although passivation layer 417 is shown on mold layer 426 and functional dies 401 - 404 , passivation layer 417 may also be formed only on functional dies 401 - 404 (eg, at block 310 ). In such example embodiments, the outer surface of passivation layer 417 (eg, the upwardly facing surface of passivation layer 417 in FIG. 4H-2 ) may be consistent with a corresponding surface of encapsulation material 426 (eg, in FIG. 4H-2 The upwardly facing surfaces of the encapsulation material 426 are coplanar.

通常,方塊347可以包括形成互連結構。因此,本揭示內容的範圍不應受此類形成的任何特定方式的特徵或互連結構的任何特定特徵的限制。Generally, block 347 may include forming an interconnect structure. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of such formation or by any particular characteristics of the interconnection structures.

實例方法300可以在方塊350處包括將連接晶粒附接(或耦合或安裝)到功能晶粒。方塊350可以包括以各種方式中的任何一種執行此類附接,本文提供了其非限制性實例。例如,方塊350可以例如與本文討論的任何晶粒附接(例如,與圖1的實例方法100的方塊150等)共享任何或所有特徵。The example method 300 may include attaching (or coupling or mounting) a connection die to a functional die at block 350 . Block 350 may include performing such attachment in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 350 may share any or all features, such as with any die attachment discussed herein (eg, with block 150 of example method 100 of FIG. 1 , etc.).

在圖4I所示的實例400I中呈現了方塊350的各種實例態樣。連接晶粒416b、功能晶粒401-404和/或此類晶粒彼此的連接可以例如與圖2I所示的實例200I的連接晶粒216b、功能晶粒201-204和/或此類晶粒彼此的連接共享任何或所有特徵。Various example aspects of block 350 are presented in example 400I shown in Figure 4I. Connections of connection die 416b, functional dies 401-404, and/or such dies to each other may be, for example, similar to connection die 216b, functional dies 201-204, and/or such dies of example 200I shown in FIG. 2I Connections to each other share any or all characteristics.

通常,方塊350可以包括將連接晶粒附接到功能晶粒。因此,本揭示內容的範圍不應受執行此類附接的任何特定方式的特徵和/或用於執行此類附接的任何特定結構的特徵的限制。Generally, block 350 may include attaching a connection die to a functional die. Accordingly, the scope of the present disclosure should not be limited by the features of any particular manner of performing such attachments and/or by the features of any particular structure for performing such attachments.

實例方法300可以在方塊355處包括對連接晶粒進行底部填充。方塊355可以包括以各種方式中的任何一種執行此類底部填充,本文提供了其非限制性實例。方塊355可以例如與本文討論的任何底部填充(例如,與圖1的實例方法100的方塊155和/或方塊175等)共享任何或所有特徵。The example method 300 may include underfilling the connection die at block 355 . Block 355 may include performing such underfill in any of a variety of ways, non-limiting examples of which are provided herein. Block 355 may, for example, share any or all features with any of the underfills discussed herein (eg, with block 155 and/or block 175 of example method 100 of FIG. 1 , etc.).

在圖4J所示的實例400J中呈現了方塊355的各種實例態樣。例如,圖4J的底部填充劑423(和/或其形成)可以與圖2J的底部填充物223(和/或其形成)共享任何或所有特徵。應注意的是,與本文討論的任何底部填充一樣,各種實例實施方案可以省略執行此類底部填充。Various example aspects of block 355 are presented in example 400J shown in Figure 4J. For example, underfill 423 (and/or its formation) of FIG. 4J may share any or all features with underfill 223 (and/or its formation) of FIG. 2J . It should be noted that, as with any underfill discussed herein, various example implementations may omit to perform such underfill.

通常,方塊355可以包括對連接晶粒進行底部填充。因此,本揭示的範圍不應受執行此類底部填充的任何特定方式的特徵或任何特定類型的底部填充材料的特徵的限制。Generally, block 355 may include underfilling the connection die. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of performing such underfill or by the characteristics of any particular type of underfill material.

實例方法300可以在方塊360處包括去除第二載體。方塊360可以包括以各種方式中的任何一種去除第二載體,本文提供了其非限制性實例。例如,方塊360可以與本文討論的任何載體去除(例如,與圖1的實例方法100的方塊145和/或方塊160、與方塊345等)共享任何或所有特徵。Example method 300 may include removing the second carrier at block 360 . Block 360 may include removing the second carrier in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 360 may share any or all features with any of the carrier components discussed herein (eg, with block 145 and/or block 160 of example method 100 of FIG. 1 , with block 345 , etc.).

在圖4K所示的實例400K中呈現了方塊360的各種實例態樣。例如,將圖4K與圖4J進行比較,已經去除了第二載體431。Various example aspects of block 360 are presented in example 400K shown in Figure 4K. For example, comparing Figure 4K to Figure 4J, the second carrier 431 has been removed.

通常,方塊360可以包括去除第二載體。因此,本揭示內容的範圍不應受執行此類去除的任何特定方式的特徵的限制。Generally, block 360 may include removing the second carrier. Accordingly, the scope of this disclosure should not be limited by the characteristics of any particular manner in which such removal is performed.

實例方法300可以在方塊365處包括單粒化切割。方塊365可以包括以各種方式中的任何一種執行此類單粒化切割,本文討論了其非限制性實例。方塊365可以例如與本文討論的任何單粒化切割(例如,如關於圖1的實例方法100的方塊165所討論的等)共享任何或所有特徵。Example method 300 may include singulation cutting at block 365 . Block 365 may include performing such singulation in any of a variety of ways, non-limiting examples of which are discussed herein. Block 365 may, for example, share any or all features with any of the singulation cuts discussed herein (eg, as discussed with respect to block 165 of the example method 100 of FIG. 1 , etc.).

在圖4L所示的實例400L中呈現了方塊365的各種實例態樣。單粒化切割的結構(例如,對應於兩個囊封材料部分426a和426b)可以例如與圖2L的單粒化切割的結構(例如,對應於兩個囊封材料部分226a和226b)共享任何或所有特徵。Various example aspects of block 365 are presented in example 400L shown in Figure 4L. The singulated-cut structure (eg, corresponding to the two encapsulating material portions 426a and 426b) may, for example, share any or all features.

通常,方塊365可以包括單粒化切割。因此,本揭示的範圍不應受單粒化切割的任何特定方式的特徵的限制。Generally, block 365 may include singulation cutting. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of singulation.

實例方法300可以在方塊370處包括安裝到基板。方塊370可以例如包括以各種方式中的任何一種執行此類安裝(或耦合或附接),本文提供了其非限制性實例。例如,方塊370可以與本文討論的任何安裝(或耦合或附接)(例如,關於圖1所示的實例方法100的方塊170等)共享任何或所有特徵。Example method 300 may include mounting to a substrate at block 370 . Block 370 may, for example, include performing such mounting (or coupling or attaching) in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 370 may share any or all features with any installation (or coupling or attachment) discussed herein (eg, block 170 with respect to the example method 100 shown in FIG. 1 , etc.).

圖4M所示的實例400M中呈現了方塊370的各種實例態樣。例如,基板488(和/或到此類基板288的附接)可以與圖2M的實例200M的基板288(和/或到此類基板288的附接)共享任何或所有特徵。Various example aspects of block 370 are presented in example 400M shown in FIG. 4M. For example, substrate 488 (and/or attachment to such substrate 288) may share any or all features with substrate 288 (and/or attachment to such substrate 288) of example 200M of FIG. 2M.

通常,方塊370可以包括安裝到基板。因此,本揭示內容的範圍不應受安裝到基板的任何特定方式的特徵或任何特定類型的基板的特徵的限制。Generally, block 370 may include mounting to a substrate. Accordingly, the scope of the present disclosure should not be limited by the features of any particular manner of mounting to a substrate or by the features of any particular type of substrate.

實例方法300可以在方塊375處包括在基板與在方塊370處安裝到其上的組件(或模塊)之間進行底部填充。方塊375可以包括以各種方式中的任何一種執行底部填充,本文提供了其非限制性實例。方塊375可以例如與本文討論的任何底部填充(或囊封)製程(例如,關於方塊355、關於圖1的實例方法100的方塊155和175等)共享任何或所有特徵。The example method 300 may include, at block 375 , underfilling between the substrate and the component (or module) mounted thereto at block 370 . Block 375 may include performing underfill in any of a variety of ways, non-limiting examples of which are provided herein. Block 375 may, for example, share any or all features with any of the underfill (or encapsulation) processes discussed herein (eg, with respect to block 355 , with respect to blocks 155 and 175 of the example method 100 of FIG. 1 , etc.).

在圖4N所示的實例400N中呈現了方塊375的各種態樣。底部填充物424(和/或其形成)可以例如與圖2N的實例200N所示的實例底部填充物224(和/或其形成)共享任何或所有特徵。應注意的是,與本文討論的任何底部填充一樣,可以跳過或可以在方法中的不同點處執行方塊375的底部填充。Various aspects of block 375 are presented in example 400N shown in Figure 4N. Underfill 424 (and/or its formation) may, for example, share any or all features with example underfill 224 (and/or its formation) shown in example 200N of FIG. 2N. It should be noted that, as with any underfill discussed herein, the underfill of block 375 may be skipped or may be performed at different points in the method.

通常,方塊375可以包括在基板與安裝到基板的組件之間進行底部填充。因此,本揭示的範圍不應受安裝到基板的任何特定方式的特徵或任何特定類型的基板的特徵的限制。Generally, block 375 may include underfilling between the substrate and components mounted to the substrate. Accordingly, the scope of the present disclosure should not be limited by the features of any particular manner of mounting to a substrate or by the features of any particular type of substrate.

實例方法300可以在方塊390處包括執行繼續處理。此類繼續處理可以包括各種特徵中的任何一種,本文提供了其非限制性實例。例如,方塊390可以與本文討論的圖1的實例方法100的方塊190共享任何或所有特徵。The example method 300 may include performing a continuation process at block 390 . Such continued processing may include any of a variety of features, non-limiting examples of which are provided herein. For example, block 390 may share any or all features with block 190 of the example method 100 of FIG. 1 discussed herein.

例如,方塊390可以包括將實例方法300的執行流程返回到其任何方塊。又例如,方塊390可以包括將實例方法300的執行流程引導到本文討論的任何其它方法方塊(或步驟)(例如,關於圖1的實例方法100、圖5的實例方法500、圖7的實例方法700等)。For example, block 390 may include returning execution flow of example method 300 to any block thereof. As another example, block 390 may include directing execution flow of example method 300 to any other method block (or step) discussed herein (e.g., with respect to example method 100 of FIG. 1 , example method 500 of FIG. 5 , the example method of FIG. 7 700 etc.).

例如,方塊390可以包括在基板488的底面上形成互連結構499(例如,導電球、凸塊、柱等)。For example, block 390 may include forming interconnect structures 499 (eg, conductive balls, bumps, pillars, etc.) on the bottom surface of substrate 488 .

又例如,如圖2O的實例200O、圖2P的實例200P和圖2Q的實例200Q所示,方塊390可以包括形成囊封材料和/或底部填充物(或跳過形成囊封材料和/或底部填充物)。As another example, as shown in example 200O of FIG. 2O , example 200P of FIG. 2P , and example 200Q of FIG. 2Q , block 390 may include forming the encapsulation material and/or underfill (or skip forming the encapsulation material and/or underfill). filling).

在本文討論的各種實例實施方案中,在將連接晶粒附接到功能晶粒之前,將功能晶粒安裝到載體。本揭示內容的範圍不限於此類安裝順序。現將呈現非限制性實例,其中在將連接晶粒附接到功能晶粒之前將連接晶粒安裝到載體。In various example embodiments discussed herein, the functional die is mounted to the carrier prior to attaching the connection die to the functional die. The scope of this disclosure is not limited to such installation sequences. A non-limiting example will now be presented where the connection die is mounted to the carrier prior to attaching the connection die to the functional die.

圖5示出根據本揭示內容的各種態樣的製造電子裝置的實例方法500的流程圖。實例方法500可以例如與本文討論的任何其它實例方法(例如,圖1的實例方法100、圖3的實例方法300、圖7的實例方法700等)共享任何或所有特徵。圖6A-6M示出的橫截面圖示出根據本揭示內容的各種態樣的實例電子裝置(例如,半導體封裝等)和製造實例電子裝置的實例方法。圖6A-6M可以例如以圖5的方法500的各個方塊(或步驟)示出實例電子裝置。現將一起討論圖5和6A-6M。應注意的是,在不脫離本揭示內容的範圍的情況下,方法500的實例方塊的順序可以變化。5 illustrates a flowchart of an example method 500 of manufacturing an electronic device in accordance with various aspects of the present disclosure. Example method 500 may, for example, share any or all features with any other example method discussed herein (eg, example method 100 of FIG. 1 , example method 300 of FIG. 3 , example method 700 of FIG. 7 , etc.). 6A-6M illustrate cross-sectional views illustrating example electronic devices (eg, semiconductor packages, etc.) and example methods of fabricating the example electronic devices in accordance with various aspects of the present disclosure. 6A-6M may illustrate an example electronic device, such as in various blocks (or steps) of method 500 of FIG. 5 . Figures 5 and 6A-6M will now be discussed together. It should be noted that the order of the example blocks of method 500 may vary without departing from the scope of the present disclosure.

實例方法500可以在方塊505處開始執行。方法500可以響應於各種原因或條件中的任何一種而開始執行,本文提供了其非限制性實例。例如,方法500可以響應於從一個或多個上游和/或下游製造站接收的一個或多個信號、響應於來自中央製造線控制器的信號等而開始自動執行。又例如,方法500可以響應於操作員命令開始而開始執行。另外,例如,方法500可以響應於從本文討論的任何其它方法方塊(或步驟)接收到執行流程而開始執行。Execution of instance method 500 may begin at block 505. Method 500 may begin execution in response to any of a variety of causes or conditions, non-limiting examples of which are provided herein. For example, method 500 may begin automatically in response to one or more signals received from one or more upstream and/or downstream manufacturing stations, in response to signals from a central manufacturing line controller, or the like. As another example, method 500 may begin execution in response to an operator command to begin. Additionally, for example, method 500 may begin execution in response to receiving an execution flow from any other method block (or step) discussed herein.

實例方法500可以在方塊510處包括接收、製造和/或準備多個功能晶粒。方塊510可以包括以各種方式中的任何一種接收、製造和/或準備多個功能晶粒,本文提供了其非限制性實例。例如,方塊510可以與圖3所示且在本文討論的實例方法300的方塊310共享任何或所有特徵。在圖4A所示的實例400A-1到400A-4中呈現了方塊510的各種態樣。應注意的是,方塊510還可以例如與圖1所示且在本文討論的實例方法100的方塊110共享任何或所有特徵。Example method 500 may include receiving, fabricating, and/or preparing a plurality of functional dies at block 510 . Block 510 may include receiving, fabricating, and/or preparing a plurality of functional dies in any of a variety of manners, non-limiting examples of which are provided herein. For example, block 510 may share any or all features with block 310 of example method 300 shown in FIG. 3 and discussed herein. Various aspects of block 510 are presented in examples 400A-1 through 400A-4 shown in Figure 4A. It should be noted that block 510 may also share any or all features, for example, with block 110 of the example method 100 shown in FIG. 1 and discussed herein.

如圖6A-6M中的許多圖所示的功能晶粒611a和612a(和/或其形成)可以例如與圖4A的功能晶粒411和412(和/或其形成)、與圖2A的功能晶粒211-212(和/或其形成)等共享任何或所有特徵。例如但不限於,功能晶粒611和612可以包括各種電子部件(例如,被動電子部件、主動電子部件、裸晶粒或部件、封裝晶粒或部件等)中的任何一種的特徵。Functional dies 611a and 612a (and/or their formation) as shown in many of FIGS. 6A-6M may, for example, be the same as functional dies 411 and 412 (and/or their formation) of FIG. 4A , with the function of FIG. 2A Grains 211-212 (and/or their formation) etc. share any or all characteristics. For example, and without limitation, functional dies 611 and 612 may include features of any of a variety of electronic components (eg, passive electronic components, active electronic components, bare dies or components, packaged dies or components, etc.).

通常,方塊510可以包括接收、製造和/或準備多個功能晶粒。因此,本揭示內容的範圍不應受執行此類接收和/或製造的任何特定方式的特徵的限制,也不受此類功能晶粒的任何特定特徵的限制。Generally, block 510 may include receiving, fabricating, and/or preparing a plurality of functional dies. Accordingly, the scope of the present disclosure should not be limited by the features of any particular manner of performing such receiving and/or fabrication, nor by any specific features of such functional dies.

實例方法500可以在方塊515處包括接收、製造和/或準備連接晶粒。方塊515可以包括以各種方式中的任何一種接收和/或製造多個連接晶粒,本文提供了其非限制性實例。例如,方塊515可以與圖1所示且在本文討論的實例方法100的方塊115共享任何或所有特徵。在圖2B-1到2B-2所示的實例200B-1和200B-7中呈現了方塊515的各種實例態樣。應注意的是,方塊515還可以與圖3所示且在本文討論的實例方法300的方塊315共享任何或所有特徵。The example method 500 may include receiving, fabricating, and/or preparing the connection die at block 515 . Block 515 may include receiving and/or fabricating a plurality of connection dies in any of a variety of manners, non-limiting examples of which are provided herein. For example, block 515 may share any or all features with block 115 of the example method 100 shown in FIG. 1 and discussed herein. Various example aspects of block 515 are presented in examples 200B-1 and 200B-7 shown in Figures 2B-1 through 2B-2. It should be noted that block 515 may also share any or all features with block 315 of the example method 300 shown in FIG. 3 and discussed herein.

如圖6A-6M中的許多圖所示的連接晶粒616b和連接晶粒互連結構617(和/或其形成)可以例如與圖2B-1到2B-2的連接晶粒216b和連接晶粒互連結構217(和/或其形成)共享任何或所有特徵。The connection die 616b and connection die interconnect structures 617 (and/or their formation) as shown in many of the figures in Figures 6A-6M may, for example, be related to the connection die 216b and connection die 216b of Figures 2B-1 through 2B-2. Granular interconnect structures 217 (and/or their formation) share any or all characteristics.

應注意,連接晶粒互連結構617(和/或其形成)可以例如與第一晶粒互連結構213(和/或其形成)共享任何或所有特徵。例如,在實例實施方案中,代替在功能晶粒211/212上形成如圖2A的第一晶粒互連結構213之類的第一晶粒互連結構,可以在連接晶粒616b上形成相同或相似的連接晶粒互連結構617。It should be noted that connecting die interconnect structure 617 (and/or its formation) may share any or all features with first die interconnect structure 213 (and/or its formation), for example. For example, in an example embodiment, instead of forming a first die interconnect structure such as first die interconnect structure 213 of FIG. 2A on functional die 211/212, the same may be formed on connection die 616b. or similar connecting die interconnect structure 617.

通常,方塊515可以包括接收、製造和/或準備連接晶粒。因此,本揭示的範圍不應受此類接收、製造和/或準備的任何特定方式的特徵或此類連接晶粒的任何特定特徵的限制。Generally, block 515 may include receiving, fabricating, and/or preparing the die for attachment. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner in which such is received, manufactured, and/or prepared or by any particular characteristics of such connected dies.

實例方法500可以在方塊520處包括接收、製造和/或準備其上具有信號重分佈(RD)結構(或分佈結構)的載體。方塊520可以包括以各種方式中的任何一種執行此類接收、製造和/或準備,本文提供了其非限制性實例。Example method 500 may include receiving, manufacturing, and/or preparing a carrier having a signal redistribution (RD) structure (or distribution structure) thereon at block 520 . Block 520 may include performing such receiving, manufacturing, and/or preparing in any of a variety of ways, non-limiting examples of which are provided herein.

方塊520可以例如與本文討論的任何或所有載體接收、製造和/或準備(例如,關於圖1的實例方法100的方塊120、關於圖3的實例方法300的方塊320等)共享任何或所有特徵。在圖6A的實例600A中提供了方塊520的各種實例態樣。Block 520 may, for example, share any or all features with any or all of the carrier receiving, manufacturing, and/or preparing discussed herein (eg, block 120 with respect to the example method 100 of FIG. 1 , block 320 with respect to the example method 300 of FIG. 3 , etc.) . Various example aspects of block 520 are provided in example 600A of Figure 6A.

如本文所討論,本文討論的任何或所有載體可以例如僅包括塊狀材料(例如,塊狀矽、塊狀玻璃、塊狀金屬等)。任何或所有此類載體還可以在塊狀材料上(或代替塊狀材料)包括信號重分佈(RD)結構。方塊520提供了此類載體的接收、製造和/或準備的實例。As discussed herein, any or all of the supports discussed herein may, for example, include only bulk materials (eg, bulk silicon, bulk glass, bulk metal, etc.). Any or all such carriers may also include signal redistribution (RD) structures on (or in place of) the bulk material. Block 520 provides an example of the receipt, manufacture, and/or preparation of such carriers.

方塊520可以包括以各種方式中的任何一種在塊狀載體621a上形成RD結構646a,本文呈現了其非限制性實例。在實例實施方案中,一個或多個介電質層和一個或多個導電層可以形成為將電連接橫向地和/或垂直地分佈到第二晶粒互連結構614(稍後形成),所述第二晶粒互連結構將最終連接到功能晶粒611和612(稍後連接)。Block 520 may include forming RD structure 646a on bulk carrier 621a in any of a variety of ways, non-limiting examples of which are presented herein. In example embodiments, one or more dielectric layers and one or more conductive layers may be formed to distribute electrical connections laterally and/or vertically to the second die interconnect structure 614 (which is later formed), The second die interconnect structure will eventually connect to functional dies 611 and 612 (which will be connected later).

圖6A示出了其中RD結構646a包括三個介電質層647和三個導電層648的實例。此類層數僅僅是實例,並且本揭示的範圍不限於此。在另一實例實施方案中,RD結構646a可以僅包括單個介電質層647和單個導電層648,兩個介電質層和兩個導電層等。實例重分佈(RD)結構646a形成在塊狀載體621a材料上。FIG. 6A shows an example in which RD structure 646a includes three dielectric layers 647 and three conductive layers 648. Such numbers of layers are merely examples, and the scope of this disclosure is not limited thereto. In another example embodiment, RD structure 646a may include only a single dielectric layer 647 and a single conductive layer 648, two dielectric layers and two conductive layers, etc. Example redistribution (RD) structures 646a are formed on bulk carrier 621a material.

介電質層647可以由任何的各種材料(例如,Si 3N 4、SiO 2、SiON、PI、BCB、PBO、WPR、環氧樹脂或其它絕緣材料)形成。可以利用各種製程(例如,PVD、CVD、印刷、旋塗、噴塗、燒結、熱氧化等)中的任何一種來形成介電質層647。介電質層647可以例如被圖案化以暴露各種表面(例如,暴露導電層648的下部跡線或襯墊等)。 Dielectric layer 647 may be formed from any of a variety of materials (eg, Si 3 N 4 , SiO 2 , SiON, PI, BCB, PBO, WPR, epoxy, or other insulating materials). The dielectric layer 647 may be formed using any of various processes (eg, PVD, CVD, printing, spin coating, spray coating, sintering, thermal oxidation, etc.). Dielectric layer 647 may, for example, be patterned to expose various surfaces (eg, exposing lower traces or pads of conductive layer 648, etc.).

導電層648可以由各種材料(例如,銅、銀、金、鋁、鎳、其組合、其合金等)中的任何一種形成。可以利用各種製程(例如,電解電鍍、無電電鍍、CVD、PVD等)中的任何一種來形成導電層648。Conductive layer 648 may be formed from any of a variety of materials (eg, copper, silver, gold, aluminum, nickel, combinations thereof, alloys thereof, etc.). Conductive layer 648 may be formed using any of a variety of processes (eg, electrolytic plating, electroless plating, CVD, PVD, etc.).

重分佈結構646a可以例如包括在其外表面處暴露(例如,在實例600A的頂表面處暴露)的導體。此類暴露的導體可以例如用於晶粒互連結構的附接(或形成)(例如,在方塊525等處)。在此類實施方案中,暴露的導體可以包括襯墊,並且可以例如包括在其上形成的凸塊下金屬(UBM),以增強晶粒互連結構的附接(或形成)。此類凸塊下金屬可以例如包括一層或多層的Ti、Cr、Al、TiW、TiN或其它導電材料。Redistribution structure 646a may, for example, include conductors exposed at its outer surface (eg, exposed at the top surface of example 600A). Such exposed conductors may be used, for example, for attachment (or formation) of die interconnect structures (eg, at block 525 , etc.). In such embodiments, the exposed conductors may include pads and may include, for example, under-bump metallization (UBM) formed thereon to enhance attachment (or formation) of the die interconnect structure. Such under-bump metal may include, for example, one or more layers of Ti, Cr, Al, TiW, TiN, or other conductive materials.

在2015年8月11日提交的標題為“半導體封裝和其製造方法(SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF)”的第14/823,689號美國專利申請案;以及標題為“半導體裝置和其製造方法(SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF)”的第8,362,612號美國專利案中提供了實例重分佈結構和/或其形成;以上申請案中的每一個由此以全文引用的方式併入本文中。U.S. Patent Application No. 14/823,689 titled "SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF" filed on August 11, 2015; and titled "SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF"; Example redistribution structures and/or formations thereof are provided in U.S. Patent No. 8,362,612, DEVICE AND MANUFACTURING METHOD THEREOF; each of which is hereby incorporated by reference in its entirety.

重分佈結構646a可以例如執行至少一些電連接的扇出重分佈,例如將到(將要形成的)晶粒互連結構614的至少一部分的電連接橫向地移動到將經由此類晶粒互連結構614附接的功能晶粒611和612的覆蓋區之外的位置。又例如,重分佈結構646a可以執行至少一些電連接的扇入重分佈,例如將到(將要形成的)晶粒互連結構614的至少一部分的電連接橫向地移動到(待連接的)連接晶粒616b的覆蓋區內和/或到(待連接的)功能晶粒611和612的覆蓋區內部的位置。重分佈結構646a還可以例如提供功能晶粒611與612之間的各種信號的連通性(例如,除了由連接晶粒616b提供的連接之外)。The redistribution structure 646a may, for example, perform a fan-out redistribution of at least some electrical connections, such as laterally moving the electrical connections to at least a portion of the die interconnect structure 614 (to be formed) to where it will be via such die interconnect structure. 614 attaches functional dies 611 and 612 at a location outside the footprint. As another example, redistribution structure 646a may perform fan-in redistribution of at least some electrical connections, such as laterally moving electrical connections to at least a portion of die interconnect structure 614 (to be formed) to connected dies (to be connected). within the footprint of die 616b and/or to a location within the footprint of functional die 611 and 612 (to be connected). Redistribution structure 646a may also, for example, provide connectivity for various signals between functional die 611 and 612 (eg, in addition to the connections provided by connection die 616b).

在各種實例實施方案中,方塊520可以包括僅形成整個RD結構646的第一部分646a,其中可以在稍後(例如,在方塊570處)形成整個RD結構646的第二部分646b。In various example embodiments, block 520 may include forming only a first portion 646a of the entire RD structure 646, wherein a second portion 646b of the entire RD structure 646 may be formed later (eg, at block 570).

通常,方塊520可以包括接收、製造和/或準備其上具有信號重分佈(RD)結構的載體。因此,本揭示的範圍不應受製造此類載體和/或信號重分佈結構的任何特定方式的特徵或此類載體和/或信號重分佈結構的任何特定特徵的限制。Generally, block 520 may include receiving, manufacturing, and/or preparing a carrier having a signal redistribution (RD) structure thereon. Accordingly, the scope of the present disclosure should not be limited by the features of any particular manner of making such carriers and/or signal redistribution structures or by any specific features of such carriers and/or signal redistribution structures.

實例方法500可以在方塊525處包括在RD結構(例如,如在方塊520處所提供的)上形成高晶粒互連結構。方塊525可以包括以各種方式中的任何一種在RD結構上形成高晶粒互連結構,本文提供了其非限制性實例。The example method 500 may include, at block 525 , forming a high-grain interconnect structure on an RD structure (eg, as provided at block 520 ). Block 525 may include forming a high-grain interconnect structure on the RD structure in any of a variety of ways, non-limiting examples of which are provided herein.

方塊525可以例如與本文討論的任何或所有功能晶粒接收、製造和/或準備(例如,關於圖1的實例方法100的方塊110和第二晶粒互連結構214的形成和/或第一晶粒互連結構213的形成、關於圖3的實例方法347的方塊347和第二晶粒互連結構414的形成等)共享任何或所有特徵(例如,第二晶粒互連結構形成特徵等)。Block 525 may, for example, be associated with the receipt, fabrication, and/or preparation of any or all of the functional dies discussed herein (e.g., with respect to block 110 of the example method 100 of FIG. 1 and the formation of the second die interconnect structure 214 and/or the first Formation of die interconnect structure 213, block 347 with respect to example method 347 of FIG. 3, formation of second die interconnect structure 414, etc.) share any or all features (eg, second die interconnect structure formation features, etc. ).

在圖6B的實例600B中提供了方塊525的各種實例態樣。高互連結構614(和/或其形成)可以與圖2A的第二晶粒互連結構214(和/或其形成)和/或與圖4H-2的第二晶粒互連結構414(和/或其形成)共享任何或所有特徵。Various example aspects of block 525 are provided in example 600B of Figure 6B. High interconnect structure 614 (and/or its formation) may be associated with second die interconnect structure 214 (and/or its formation) of FIG. 2A and/or with second die interconnect structure 414 (of FIG. 4H-2 and/or its formation) share any or all characteristics.

通常,方塊525可以包括在RD結構(例如,如在方塊520處所提供的)上形成高晶粒互連結構。因此,本揭示內容的範圍不應受形成此類高晶粒互連結構的任何特定方式的特徵和/或任何特定類型的高互連結構的特徵的限制。Generally, block 525 may include forming a high-grain interconnect structure on an RD structure (eg, as provided at block 520 ). Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of forming such high-grain interconnect structures and/or by the characteristics of any particular type of high-grain interconnect structures.

實例方法500可以在方塊530處包括將連接晶粒安裝到RD結構(例如,如在方塊520處所提供的)。方塊530可以包括以各種方式中的任何一種執行此類安裝(或附接或耦合),本文提供了其非限制性實例。方塊530可以例如與本文討論的任何晶粒附接共享任何或所有特徵(例如,關於圖3所示且在本文討論的實例方法300的方塊325、關於圖1所示且在本文討論的實例方法100的方塊125等)。在圖6C所示的實例600C中呈現了方塊530的各種實例態樣。The example method 500 may include mounting the connection die to the RD structure at block 530 (eg, as provided at block 520 ). Block 530 may include performing such mounting (or attaching or coupling) in any of a variety of ways, non-limiting examples of which are provided herein. Block 530 may, for example, share any or all features with any die attachment discussed herein (e.g., block 325 with respect to example method 300 shown in FIG. 3 and discussed herein, block 325 with respect to the example method 300 shown in FIG. 1 and discussed herein 100 squares 125 etc.). Various example aspects of block 530 are presented in example 600C shown in Figure 6C.

方塊530可以例如包括利用晶粒附接黏合劑(例如,膠帶、液體、糊劑等)將連接晶粒616b的背面附接到RD結構646a。儘管在圖6C中示出連接晶粒616b耦合到RD結構646a的介電質層,但是在其它實例實施方案中,可以將連接晶粒616b的背面耦合到導電層(例如,為了增強散熱,提供額外的結構支撐等)。Block 530 may include, for example, attaching the backside of connection die 616b to RD structure 646a using a die attach adhesive (eg, tape, liquid, paste, etc.). Although connection die 616b is shown coupled to the dielectric layer of RD structure 646a in FIG. 6C, in other example implementations, the backside of connection die 616b may be coupled to a conductive layer (eg, to enhance heat dissipation, providing additional structural supports, etc.).

另外,如本文所討論,本文討論的任何連接晶粒可以是雙面的。在此類實例實施方案中,背面互連結構可以電連接到RD結構646a的對應互連結構(例如,襯墊、連接盤、凸塊等)。Additionally, as discussed herein, any connection die discussed herein may be bi-sided. In such example embodiments, the backside interconnect structures may be electrically connected to corresponding interconnect structures (eg, pads, lands, bumps, etc.) of RD structure 646a.

通常,方塊530可以包括將連接晶粒安裝到RD結構(例如,如在方塊520處所提供的)。因此,本揭示的範圍不應受安裝連接晶粒的任何特定方式的特徵的限制。Generally, block 530 may include mounting the connection die to the RD structure (eg, as provided at block 520). Accordingly, the scope of the present disclosure should not be limited by the features of any particular manner of mounting the connection die.

實例方法500可以在方塊535處包括囊封。方塊535可以包括以各種方式中的任何一種執行此類囊封,本文提供了其非限制性實例。方塊535可以例如與本文討論的其它囊封方塊(或步驟)(例如,與圖1的實例方法100的方塊130、與圖3的實例方法300的方塊330等)共享任何或所有特徵。在圖6D處呈現了方塊535的各種實例態樣。Example method 500 may include encapsulation at block 535 . Block 535 may include performing such encapsulation in any of a variety of ways, non-limiting examples of which are provided herein. Block 535 may, for example, share any or all features with other encapsulated blocks (or steps) discussed herein (eg, with block 130 of example method 100 of FIG. 1 , with block 330 of example method 300 of FIG. 3 , etc.). Various example aspects of block 535 are presented at Figure 6D.

方塊535可以例如包括執行晶圓(或面板)級模製製程。如本文所討論,在單粒化切割各個模塊之前,本文討論的任何或所有製程步驟可以在面板或晶圓級執行。參考圖6D所示的實例實施方案600D,囊封材料651'可以覆蓋RD結構646a的頂面、高的柱614、連接晶粒互連結構617、連接晶粒616b的頂面(或作用面或前面),以及連接晶粒616b的側面表面的至少部分(或全部)。Block 535 may include, for example, performing a wafer (or panel) level molding process. As discussed herein, any or all of the process steps discussed herein can be performed at the panel or wafer level before individual modules are singulated. Referring to the example embodiment 600D shown in Figure 6D, the encapsulation material 651' may cover the top surface of the RD structure 646a, the tall pillars 614, the connecting die interconnect structure 617, the top surface (or active surface or front), and at least part (or all) of the side surface of the connecting die 616b.

儘管囊封材料651'(如圖6D所示)被示為覆蓋高互連結構614的頂端和連接晶粒互連結構617的頂端,但是這些端中的任何一個或全部可以從囊封材料651'暴露(如圖6E所示)。方塊535可以例如包括最初形成囊封材料651’,其中各種互連件的頂端暴露或突出(例如,利用膜輔助模製技術、晶粒密封模製技術等)。替代地,方塊535可以包括形成囊封材料651',隨後進行減薄(或平坦化或研磨)製程(例如,在方塊540處執行),以使囊封材料651'減薄至足以暴露高互連結構614和連接晶粒互連結構617等中的任一個或全部的頂面。Although encapsulation material 651 ′ (shown in FIG. 6D ) is shown as covering the top ends of high interconnect structure 614 and the top end of connecting die interconnect structure 617 , any or all of these ends may be removed from encapsulation material 651 'exposed (as shown in Figure 6E). Block 535 may, for example, include initially forming the encapsulation material 651' with the top ends of the various interconnects exposed or protruding (e.g., using film-assisted molding techniques, die seal molding techniques, etc.). Alternatively, block 535 may include forming the encapsulation material 651', followed by a thinning (or planarization or grinding) process (eg, performed at block 540) to thin the encapsulation material 651' sufficiently to expose the high-interference The top surface of any or all of the connection structure 614 and the connection die interconnect structure 617, etc.

通常,方塊535可以包括囊封。因此,本揭示內容的範圍不應受執行此類囊封的任何特定方式的特徵或任何特定類型的囊封材料或其配置的特徵的限制。Generally, block 535 may include encapsulation. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of performing such encapsulation or by the characteristics of any particular type of encapsulation material or configuration thereof.

實例方法500可以在方塊540處包括研磨囊封材料和/或各種互連結構。方塊540可以包括以各種方式中的任何一種執行此類研磨(或任何減薄或平坦化),本文提供了其非限制性實例。在圖6E所示的實例600E中呈現了方塊540的各種實例態樣。方塊540可以例如與本文討論的其它研磨(或減薄或平坦化)方塊(或步驟)共享任何或所有特徵。The example method 500 may include grinding the encapsulation material and/or various interconnect structures at block 540 . Block 540 may include performing such grinding (or any thinning or planarizing) in any of a variety of ways, non-limiting examples of which are provided herein. Various example aspects of block 540 are presented in example 600E shown in Figure 6E. Block 540 may, for example, share any or all features with other grinding (or thinning or planarizing) blocks (or steps) discussed herein.

如本文所討論,在各種實例實施方案中,囊封材料651'可以最初形成為大於最終所需的厚度,和/或高互連結構614和連接晶粒互連結構617可以最初形成為大於最終所需的厚度。在此類實例實施方案中,可以執行方塊540以研磨(或以其它方式減薄或平坦化)囊封材料651'、高互連結構614和/或連接晶粒互連結構617。在圖6E所示的實例600E中,囊封材料651、高互連結構614和/或連接晶粒互連結構617已經被研磨以產生囊封材料651以及互連結構613和617(如圖6E所示)。研磨的囊封材料651的頂表面、高互連結構614的頂表面和/或連接晶粒互連結構617的頂表面可以例如是共平面的。As discussed herein, in various example embodiments, the encapsulation material 651 ′ may be initially formed to be thicker than the final desired thickness, and/or the high interconnect structure 614 and the connecting die interconnect structure 617 may be initially formed to be thicker than the final desired thickness. required thickness. In such example embodiments, block 540 may be performed to grind (or otherwise thin or planarize) encapsulation material 651 ′, high interconnect structure 614 , and/or connect die interconnect structure 617 . In example 600E shown in Figure 6E, encapsulation material 651, high interconnect structure 614 and/or connection die interconnect structure 617 have been milled to produce encapsulation material 651 and interconnect structures 613 and 617 (Figure 6E shown). The top surface of the ground encapsulation material 651, the top surface of the high interconnect structure 614, and/or the top surface of the connecting die interconnect structure 617 may be coplanar, for example.

應注意的是,在各種實例實施方案中,例如利用使囊封材料651比互連結構614和/或617減薄更多的化學或機械製程,在方塊535處利用膜輔助和/或密封模製製程等,高互連結構614的頂表面和/或連接晶粒互連結構617的頂表面可以從囊封材料651的頂表面突出。It should be noted that in various example embodiments, film assist and/or sealing molding is utilized at block 535, such as using chemical or mechanical processes that thin the encapsulation material 651 more than the interconnect structures 614 and/or 617. Due to manufacturing processes, etc., the top surface of the high interconnect structure 614 and/or the top surface of the connecting die interconnect structure 617 may protrude from the top surface of the encapsulation material 651 .

通常,方塊540可以包括研磨(或減薄或平坦化)囊封材料和/或各種互連結構。因此,本揭示內容的範圍不應受執行此類研磨(或減薄或平坦化)的任何特定方式的特徵的限制。Generally, block 540 may include grinding (or thinning or planarizing) the encapsulation material and/or various interconnect structures. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of performing such grinding (or thinning or planarizing).

實例方法500可以在方塊545處包括將功能晶粒附接(或耦合或安裝)到高互連結構以及連接晶粒互連結構。方塊545可以包括以各種方式中的任何一種執行此類附接,本文提供了其非限制性實例。方塊545可以例如與本文討論的任何晶粒附接製程共享任何或所有特徵。在圖6F所示的實例600F中呈現了方塊545的各種實例態樣。The example method 500 may include attaching (or coupling or mounting) the functional die to the high interconnect structure and connecting the die interconnect structure at block 545 . Block 545 may include performing such attachment in any of a variety of ways, non-limiting examples of which are provided herein. Block 545 may, for example, share any or all features with any of the die attach processes discussed herein. Various example aspects of block 545 are presented in example 600F shown in Figure 6F.

例如,第一功能晶粒611a的晶粒互連結構(例如,襯墊、凸塊等)可以機械地且電連接到相應的高互連結構614並連接到相應的連接晶粒互連結構617。類似地,第二功能晶粒612a的晶粒互連結構(例如,襯墊、凸塊等)可以機械地且電連接到相應的高互連結構614並連接到相應的連接晶粒互連結構617。For example, die interconnect structures (eg, pads, bumps, etc.) of first functional die 611a may be mechanically and electrically connected to corresponding high interconnect structures 614 and to corresponding connected die interconnect structures 617 . Similarly, the die interconnect structures (eg, pads, bumps, etc.) of the second functional die 612a may be mechanically and electrically connected to the corresponding high interconnect structures 614 and to the corresponding connecting die interconnect structures 617.

此類互連結構可以以各種方式中的任何一種來連接。例如,可以通過焊接來執行連接。在實例實施方案中,高晶粒互連結構614、連接晶粒互連結構617和/或第一功能晶粒611a和第二功能晶粒612a的相應互連結構可以包括可被回焊以執行連接的焊料蓋(或其它焊料結構)。此類焊料蓋可以例如通過質量回焊、熱壓接合(TCB)等來回焊。在另一實例實施方案中,可以通過直接的金屬對金屬(例如,銅對銅等)接合而不利用焊料來執行連接。此類連接的實例在2015年12月8日提交的標題為“金屬接合的瞬態界面梯度接合(Transient Interface Gradient Bonding for Metal Bonds)”的第14/963,037號美國專利申請案和在2016年1月6日提交的標題為“具有互鎖金屬對金屬接合的半導體產品和其製造方法(Semiconductor Product with Interlocking Metal-to-Metal Bonds and Method for Manufacturing Thereof)”的第14/989,455號美國專利申請案中提供,所述美國專利申請案中的每一個的全部內容由此以引用的方式併入本文中。可以利用各種技術中的任何一種來將功能晶粒互連結構附接到高互連結構614和連接晶粒互連結構617(例如,質量回焊、熱壓接合(TCB)、直接的金屬對金屬的金屬間接合、導電黏合劑,等)。Such interconnect structures may be connected in any of a variety of ways. For example, the connection can be performed by soldering. In example embodiments, the high die interconnect structure 614, the connecting die interconnect structure 617, and/or the corresponding interconnect structures of the first functional die 611a and the second functional die 612a may include components that may be reflowed to perform Attached solder cap (or other solder structure). Such solder caps can be resoldered, for example, by mass reflow, thermocompression bonding (TCB), etc. In another example embodiment, the connection may be performed by direct metal-to-metal (eg, copper-to-copper, etc.) bonding without utilizing solder. Examples of such connections are provided in U.S. Patent Application No. 14/963,037 titled "Transient Interface Gradient Bonding for Metal Bonds" filed on December 8, 2015 and in January 2016. U.S. Patent Application No. 14/989,455 titled "Semiconductor Product with Interlocking Metal-to-Metal Bonds and Method for Manufacturing Thereof" filed on March 6 are provided in , the entire contents of each of said U.S. patent applications is hereby incorporated by reference. Any of a variety of techniques may be utilized to attach the functional die interconnect structure to the high interconnect structure 614 and connect the die interconnect structure 617 (eg, mass reflow, thermocompression bonding (TCB), direct metal pair Metal-to-metal joining of metals, conductive adhesives, etc.).

如實例實施方案600F所示,連接晶粒616b的第一連接晶粒互連結構617連接到第一功能晶粒611a的相應互連結構,並且連接晶粒616b的第二連接晶粒互連結構617連接到第二功能晶粒612a的相應互連結構。在連接時,連接晶粒616b經由連接晶粒616b的RD結構298在第一功能晶粒611a和第二功能晶粒612a的各種晶粒互連結構之間提供電連接(例如,如圖2B-1的實例200B-4等所示)。As shown in example embodiment 600F, a first connection die interconnect structure 617 of connection die 616b is connected to a corresponding interconnect structure of first functional die 611a, and a second connection die interconnect structure of connection die 616b 617 is connected to the corresponding interconnect structure of the second functional die 612a. When connected, connection die 616b provides electrical connections between the various die interconnect structures of the first functional die 611a and the second functional die 612a via the RD structure 298 of the connection die 616b (eg, as shown in FIG. 2B - Examples of 1 are shown in 200B-4, etc.).

在圖6F所示的實例600F中,高互連結構614的高度可以例如等於(或大於)連接晶粒互連結構217和連接晶粒616b的支撐層290b以及用於將連接晶粒616b附接到RD結構646a的黏合劑或其它構件的組合高度。In the example 600F shown in FIG. 6F , the height of the tall interconnect structure 614 may be, for example, equal to (or greater than) the connection die interconnect structure 217 and the support layer 290 b for attaching the connection die 616 b. The combined height of adhesive or other components to RD structure 646a.

通常,方塊545可以包括將功能晶粒附接(或耦合或安裝)到高互連結構以及連接晶粒互連結構。因此,本揭示內容的範圍不應受執行此類附接的任何特定方式的特徵或任何特定類型的附接結構的特徵的限制。Generally, block 545 may include attaching (or coupling or mounting) the functional die to the high interconnect structure and connecting the die to the interconnect structure. Accordingly, the scope of the present disclosure should not be limited by the features of any particular manner of performing such attachment or by the features of any particular type of attachment structure.

實例方法500可以在方塊550處包括對功能晶粒進行底部填充。方塊550可以包括以各種方式中的任何一種執行此類底部填充,本文提供了其非限制性實例。方塊550可以例如與本文討論的任何底部填充(例如,與圖1的實例方法100的方塊155和/或方塊175、與圖3的實例方法300的方塊355和/或方塊375等)共享任何或所有特徵。在圖6G所示的實例600G中呈現了方塊550的各種實例態樣。The example method 500 may include underfilling the functional die at block 550 . Block 550 may include performing such underfill in any of a variety of ways, non-limiting examples of which are provided herein. Block 550 may, for example, share any or All features. Various example aspects of block 550 are presented in example 600G shown in Figure 6G.

應注意的是,可以在功能晶粒611a和612a與囊封材料651之間施加底部填充物。在利用預施加底部填充物(PUF)的情境中,在耦合功能晶粒之前,可以將此類PUF施加到功能晶粒611a和612a,和/或施加到囊封材料651和/或互連結構614和617的頂部暴露端。It should be noted that underfill may be applied between functional dies 611a and 612a and encapsulation material 651. In scenarios utilizing pre-applied underfill (PUF), such PUF may be applied to the functional dies 611a and 612a, and/or to the encapsulation material 651 and/or the interconnect structure prior to coupling the functional dies. The top exposed ends of 614 and 617.

在方塊545處執行的附接之後,方塊550可以包括形成底部填充物(例如,毛細管底部填充物、注入的底部填充物等)。如在圖6G的實例實施方案600G所示,底部填充材料661(例如,本文討論的任何底部填充材料等)可以完全或部分覆蓋功能晶粒611a和612a的底面(例如,如圖6G所示的定向),和/或功能晶粒611a和612a的側面的至少一部分(如果不是全部的話)。底部填充材料661還可以例如覆蓋囊封材料651的頂面的大部分(或全部)。底部填充材料661還可以例如圍繞高互連結構614和連接晶粒互連結構617所附接到的功能晶粒611a和612a的相應互連結構。在其中高互連結構614和/或連接晶粒互連結構617的端部從囊封材料651突出的實例實施方案中,底部填充材料661也可以圍繞此類突出部分。Following the attachment performed at block 545, block 550 may include forming an underfill (eg, capillary underfill, injected underfill, etc.). As shown in example embodiment 600G of Figure 6G, underfill material 661 (e.g., any of the underfill materials discussed herein, etc.) may fully or partially cover the bottom surfaces of functional dies 611a and 612a (e.g., as shown in Figure 6G orientation), and/or at least a portion, if not all, of the sides of functional dies 611a and 612a. Underfill material 661 may also, for example, cover most (or all) of the top surface of encapsulation material 651 . Underfill material 661 may also surround, for example, high interconnect structures 614 and corresponding interconnect structures of functional dies 611a and 612a to which connecting die interconnect structures 617 are attached. In example embodiments where the ends of high interconnect structures 614 and/or connecting die interconnect structures 617 protrude from encapsulation material 651 , underfill material 661 may also surround such protrusions.

應注意的是,在實例方法500的各種實例實施方案中,可以跳過在方塊550處執行的底部填充。例如,可以在另一方塊處(例如,在方塊555等處)執行對功能晶粒進行底部填充。又例如,可以完全省略此類底部填充。It should be noted that in various example implementations of example method 500, the underfill performed at block 550 may be skipped. For example, underfilling the functional die may be performed at another block (eg, at block 555, etc.). As another example, such bottom padding can be omitted entirely.

通常,方塊550可以包括對功能晶粒進行底部填充。因此,本揭示的範圍不應受執行此類底部填充的任何特定方式的特徵或任何特定類型的底部填充材料的特徵的限制。Generally, block 550 may include underfilling the functional die. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of performing such underfill or by the characteristics of any particular type of underfill material.

實例方法500可以在方塊555處包括囊封。方塊555可以包括以各種方式中的任何一種執行此類囊封,本文提供了其非限制性實例。例如,方塊555可以例如與本文討論的其它囊封方塊(或步驟)(例如,與方塊535、與圖1的實例方法100的方塊130、與圖3的實例方法300的方塊330等)共享任何或所有特徵。Example method 500 may include encapsulation at block 555 . Block 555 may include performing such encapsulation in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 555 may, for example, share any information with other encapsulated blocks (or steps) discussed herein (eg, with block 535, with block 130 of example method 100 of FIG. 1, with block 330 of example method 300 of FIG. 3, etc.) or all features.

在圖6H所示的實例600H中呈現了方塊555的各種實例態樣。例如,囊封材料652'(和/或其形成)可以與圖2E的囊封材料226'(和/或其形成)、與圖4K的囊封材料426(和/或其形成)、與圖6D的囊封材料651(和/或其形成)等共享任何或所有特徵。Various example aspects of block 555 are presented in example 600H shown in Figure 6H. For example, encapsulation material 652' (and/or its formation) may be the same as encapsulation material 226' (and/or its formation) of FIG. 2E, with encapsulation material 426 (and/or its formation) of FIG. 4K, with FIG. 6D's encapsulation material 651 (and/or its formation) etc. share any or all characteristics.

囊封材料652'覆蓋囊封材料651的頂面,覆蓋底部填充物661的側面表面,覆蓋功能晶粒611a和612b的側面表面中的至少一些(如果不是全部的話),覆蓋功能晶粒611a和612b的頂面等。Encapsulation material 652' covers the top surface of encapsulation material 651, covers the side surfaces of underfill 661, covers at least some, if not all, of the side surfaces of functional die 611a and 612b, covers functional die 611a and The top surface of 612b etc.

如本文關於其它囊封材料(例如,圖2E的囊封材料226'等)所討論的,囊封材料652'最初不必形成為覆蓋功能晶粒611a和612a的頂面。例如,方塊555可以包括利用膜輔助模製、密封模製等來形成囊封材料652'。As discussed herein with respect to other encapsulation materials (eg, encapsulation material 226' of Figure 2E, etc.), encapsulation material 652' does not necessarily need to be initially formed to cover the top surfaces of functional dies 611a and 612a. For example, block 555 may include forming encapsulation material 652' using film-assisted molding, seal molding, or the like.

通常,方塊555可以包括囊封。因此,本揭示的範圍不應受執行此類囊封的任何特定方式的特徵、任何特定類型的囊封材料的特徵等的限制。Generally, block 555 may include encapsulation. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of performing such encapsulation, the characteristics of any particular type of encapsulation material, or the like.

實例方法500可以在方塊560處包括研磨(或以其它方式減薄或平坦化)囊封材料。方塊560可以包括以各種方式中的任何一種執行此類研磨(或任何減薄或平坦化製程),本文提供了其非限制性實例。例如,方塊560可以例如與本文討論的其它研磨(或減薄)方塊(或步驟)(例如,與圖1的實例方法100的方塊135、與圖3的實例方法300的方塊335、與方塊540等)共享任何或所有特徵。Example method 500 may include grinding (or otherwise thinning or planarizing) the encapsulation material at block 560 . Block 560 may include performing such grinding (or any thinning or planarization process) in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 560 may be used, for example, with other grinding (or thinning) blocks (or steps) discussed herein (eg, with block 135 of the example method 100 of FIG. 1 , with block 335 of the example method 300 of FIG. 3 , with block 540 etc.) share any or all characteristics.

在圖6I所示的實例600I中呈現了方塊560的各種實例態樣。實例研磨的(或減薄或平坦化的等)囊封材料652(和/或其形成)可以與圖2F的囊封材料226(和/或其形成)、與圖4F的囊封材料426(和/或其形成)、與圖6E的囊封材料651(和/或其形成)等共享任何或所有特徵。Various example aspects of block 560 are presented in example 600I shown in Figure 6I. Example ground (or thinned or planarized, etc.) encapsulation material 652 (and/or its formation) may be the same as encapsulation material 226 (and/or its formation) of FIG. and/or its formation), shares any or all features with the encapsulation material 651 (and/or its formation) of FIG. 6E, and the like.

方塊560可以例如包括研磨囊封材料652和/或功能晶粒611a和612a,使得囊封材料652的頂表面與功能晶粒611a的頂表面和/或與功能晶粒612a的頂表面共平面。Block 560 may include, for example, grinding encapsulation material 652 and/or functional die 611a and 612a such that a top surface of encapsulation material 652 is coplanar with a top surface of functional die 611a and/or with a top surface of functional die 612a.

通常,方塊560可以包括研磨(或以其它方式減薄或平坦化)囊封材料。因此,本揭示的範圍不應受執行此類研磨(或減薄或平坦化)的任何特定方式的特徵的限制。Generally, block 560 may include grinding (or otherwise thinning or planarizing) the encapsulation material. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of performing such grinding (or thinning or planarizing).

實例方法500可以在方塊565處包括去除載體。方塊565可以包括以各種方式中的任何一種去除載體,本文提供了其非限制性實例。例如,方塊565可以與本文討論的任何載體去除製程(例如,與圖1的實例方法100的方塊145和/或方塊160、與圖3的實例方法300的方塊345和/或方塊360等)共享任何或所有特徵。在圖6J的實例600J中示出了方塊565的各種實例態樣。Example method 500 may include removing the carrier at block 565 . Block 565 may include removing the carrier in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 565 may be shared with any carrier removal process discussed herein (eg, with block 145 and/or block 160 of example method 100 of FIG. 1 , with block 345 and/or block 360 of example method 300 of FIG. 3 , etc.) Any or all characteristics. Various example aspects of block 565 are shown in example 600J of Figure 6J.

例如,圖6J的實例600J示出去除了第一載體621a(例如,與圖6I的實例600I相比)。方塊565可以包括以各種方式中的任何一種(例如,研磨、蝕刻、化學機械平坦化、剝離、剪切、熱釋放或雷射釋放等)執行此類載體去除。又例如,如果例如在方塊520處在RD結構646a的形成期間利用了黏合劑層,則方塊565可以包括去除黏合劑層。For example, example 600J of Figure 6J shows first carrier 621a removed (eg, compared to example 600I of Figure 6I). Block 565 may include performing such carrier removal in any of a variety of ways (eg, grinding, etching, chemical mechanical planarization, lift-off, shearing, thermal release or laser release, etc.). As another example, if an adhesive layer was utilized during the formation of RD structure 646a, such as at block 520, block 565 may include removing the adhesive layer.

應注意,在各種實例實施方案中,如本文關於圖1和3的實例方法100和300所示和所討論的,可以利用第二載體(例如,耦合到囊封材料652和/或耦合到功能晶粒611a和612a)。在其它實例實施方案中,可以利用各種工具結構代替載體。It should be noted that in various example embodiments, as shown and discussed herein with respect to the example methods 100 and 300 of FIGS. 1 and 3 , a second carrier (eg, coupled to the encapsulating material 652 and/or coupled to the functional Grains 611a and 612a). In other example embodiments, various tool structures may be utilized in place of the carrier.

通常,方塊565可以包括去除載體。因此,本揭示內容的範圍不應受去除載體的任何特定方式的特徵或任何特定類型的載體的特徵的限制。Generally, block 565 may include removing the carrier. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of removal of carriers or by the characteristics of any particular type of carrier.

實例方法500可以在方塊570處包括完成信號重分佈(RD)結構。 方塊570可以包括以各種方式中的任何一種完成RD結構,本文提供了其非限制性實例。方塊570可以例如與方塊520(例如,關於方塊520的RD結構形成態樣)共享任何或所有特徵。在圖6K所示的實例600K中示出了方塊570的各種態樣。The example method 500 may include completing a signal redistribution (RD) structure at block 570 . Block 570 may include completing the RD structure in any of a variety of ways, non-limiting examples of which are provided herein. Block 570 may, for example, share any or all features with block 520 (eg, with respect to the RD structure forming aspect of block 520). Various aspects of block 570 are shown in example 600K shown in Figure 6K.

如本文所討論,例如,關於方塊520,可以在僅形成所需RD結構的一部分的情況下已經(但不必已經)接收(或製造或準備)載體。在此類實例情境中,方塊570可以包括完成RD結構的形成。As discussed herein, for example, with respect to block 520, the carrier may have been (but need not have been) received (or manufactured or prepared) forming only a portion of the desired RD structure. In such example scenarios, block 570 may include completing formation of the RD structure.

參考圖6K,方塊570可以包括在RD結構的第一部分646a(例如,在方塊520處已經接收或製造或準備RD結構的第一部分646a)上形成RD結構的第二部分646b。方塊570可以例如包括以與形成RD結構的第一部分646a相同的方式形成RD結構的第二部分646b。Referring to Figure 6K, block 570 may include forming a second portion 646b of the RD structure on the first portion 646a of the RD structure (eg, the first portion 646a of the RD structure that has been received or fabricated or prepared at block 520). Block 570 may, for example, include forming the second portion 646b of the RD structure in the same manner as forming the first portion 646a of the RD structure.

應注意,在各種實施方案中,RD結構的第一部分646a和RD結構的第二部分646b可以利用不同的材料和/或不同的製程形成。例如,RD結構的第一部分646a可以利用無機介電質層形成,而RD結構的第二部分646b可以利用有機介電質層形成。又例如,RD結構的第一部分646a可以形成為具有較細的間距(或較細的跡線等),而RD結構的第二部分646b可以形成為具有較粗的間距(或較粗的跡線等)。又例如,RD結構的第一部分646a可以利用後段製程(BEOL)半導體晶圓製造(fab)製程形成,而RD結構的第二部分646b可以利用後fab電子裝置封裝製程形成。另外,RD結構的第一部分646a和RD結構的第二部分646b可以形成在不同的地理位置處。It should be noted that in various embodiments, the first portion 646a of the RD structure and the second portion 646b of the RD structure may be formed using different materials and/or different processes. For example, the first portion 646a of the RD structure may be formed using an inorganic dielectric layer, while the second portion 646b of the RD structure may be formed using an organic dielectric layer. As another example, the first portion 646a of the RD structure may be formed with finer pitches (or thinner traces, etc.), while the second portion 646b of the RD structure may be formed with thicker pitches (or thicker traces, etc.) wait). As another example, the first portion 646a of the RD structure may be formed using a back-end-of-line (BEOL) semiconductor wafer fabrication (fab) process, and the second portion 646b of the RD structure may be formed using a post-fab electronic device packaging process. Additionally, the first portion 646a of the RD structure and the second portion 646b of the RD structure may be formed at different geographical locations.

與RD結構的第一部分646a一樣,RD結構的第二部分646b可以具有任意數量的介電質層和/或導電層。Like the first portion 646a of the RD structure, the second portion 646b of the RD structure may have any number of dielectric and/or conductive layers.

如本文所討論,可以在RD結構646b上形成互連結構。在此類實例實施方案中,方塊565可以包括在暴露的襯墊上形成凸塊下金屬化物(UBM),以增強此類互連結構的形成(或附接)。As discussed herein, interconnect structures may be formed on RD structure 646b. In such example embodiments, block 565 may include forming under-bump metallization (UBM) on the exposed pads to enhance the formation (or attachment) of such interconnect structures.

通常,方塊570可以包括完成信號重分佈(RD)結構。因此,本揭示內容的範圍不應受形成信號重分佈結構的任何特定方式的特徵或任何特定類型的信號分佈結構的特徵的限制。Generally, block 570 may include completing a signal redistribution (RD) structure. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of forming signal redistribution structures or by the characteristics of any particular type of signal distribution structure.

實例方法500可以在方塊575處包括在重分佈結構上形成互連結構。方塊575可以包括以各種方式中的任何一種形成互連結構,本文提供了其非限制性實例。例如,方塊575可以與本文討論的任何互連結構形成共享任何或所有特徵。The example method 500 may include forming an interconnect structure on the redistribution structure at block 575 . Block 575 may include forming an interconnect structure in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 575 may share any or all features with any interconnect structure discussed herein.

在圖6L所示的實例600L中呈現了方塊575的各種實例態樣。實例互連結構652(例如,封裝互連結構等)可以包括各種互連結構中的任何一種的特徵。例如,封裝互連結構652可以包括導電球(例如,焊球等)、導電凸塊、導電柱、引線等。Various example aspects of block 575 are presented in example 600L shown in Figure 6L. Example interconnect structures 652 (eg, package interconnect structures, etc.) may include features of any of a variety of interconnect structures. For example, package interconnect structure 652 may include conductive balls (eg, solder balls, etc.), conductive bumps, conductive pillars, leads, etc.

方塊575可以包括以各種方式中的任何一種形成互連結構652。例如,可以將互連結構652黏貼和/或印刷在RD結構646b上(例如,黏貼和/或印刷到其相應的襯墊651和/或UBM),然後進行回焊。又例如,互連結構652(例如,導電球、導電凸塊、柱、引線等)可以在附接之前預先形成,然後例如經過回焊、電鍍、用環氧樹脂膠合、引線接合等附接到RD結構646b(例如,附接到其相應的襯墊651)。Block 575 may include forming interconnect structure 652 in any of a variety of ways. For example, interconnect structure 652 may be adhered and/or printed on RD structure 646b (eg, adhered and/or printed to its corresponding pad 651 and/or UBM) and then reflowed. As another example, interconnect structures 652 (e.g., conductive balls, conductive bumps, pillars, leads, etc.) may be preformed prior to attachment and then attached, e.g., via reflow, plating, epoxy gluing, wire bonding, etc. RD structure 646b (eg, attached to its corresponding pad 651).

應注意的是,如上所述,RD結構646b的襯墊651可以由凸塊下金屬(UBM)或任何金屬化物形成以輔助互連結構652的形成(例如,構建、附接、耦合、沉積等)。例如,可以在方塊570和/或方塊575處執行此類UBM形成。It should be noted that, as discussed above, the pads 651 of the RD structure 646b may be formed from under-bump metallization (UBM) or any metallization to assist in the formation (e.g., build, attach, couple, deposit, etc.) of the interconnect structure 652 ). Such UBM formation may be performed at block 570 and/or block 575, for example.

通常,方塊575可以包括在重分佈結構上形成互連結構。因此,本揭示的範圍不應受形成此類互連結構的任何特定方式的特徵或互連結構的任何特定特徵的限制。Generally, block 575 may include forming interconnect structures on the redistribution structure. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of forming such interconnect structures or by any particular characteristics of the interconnect structures.

實例方法500可以在方塊580處包括單粒化切割。方塊580可以包括以各種方式中的任何一種執行此類單粒化切割,本文討論了其非限制性實例。方塊580可以例如與本文討論的任何單粒化切割(例如,如關於圖1的實例方法100的方塊165所討論、如關於圖3的實例方法300的方塊365所討論等)共享任何或所有特徵。Example method 500 may include singulation cutting at block 580 . Block 580 may include performing such singulation in any of a variety of ways, non-limiting examples of which are discussed herein. Block 580 may, for example, share any or all features with any of the singulated cuts discussed herein (eg, as discussed with respect to block 165 of the example method 100 of FIG. 1 , as discussed with respect to the block 365 of the example method 300 of FIG. 3 , etc.) .

在圖6M所示的實例600M中呈現了方塊580的各種實例態樣。單粒化切割的結構(例如,對應於囊封材料部分652a)可以例如與圖2L的單粒化切割的結構(例如,對應於兩個囊封材料部分226a和226b)、與圖4L的單粒化切割的結構(例如,對應於兩個囊封材料部分426a和426b)等共享任何或所有特徵。Various example aspects of block 580 are presented in example 600M shown in Figure 6M. The singulated cut structure (eg, corresponding to the encapsulating material portion 652a) may be the same as the singulated cut structure of FIG. 2L (eg, corresponding to the two encapsulating material portions 226a and 226b), or the same as the singulated cut structure of FIG. 4L. Granulated cut structures (eg, corresponding to the two encapsulating material portions 426a and 426b) and the like share any or all characteristics.

通常,方塊580可以包括單粒化切割。因此,本揭示的範圍不應受單粒化切割的任何特定方式的特徵的限制。Generally, block 580 may include singulation cutting. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of singulation.

實例方法500可以在方塊590處包括執行繼續處理。此類繼續處理可以包括各種特徵中的任何一種,本文提供了其非限制性實例。例如,方塊590可以與圖1的實例方法100的方塊190、與圖3的實例方法300的方塊390等共享任何或所有特徵。The example method 500 may include performing a continuation process at block 590 . Such continued processing may include any of a variety of features, non-limiting examples of which are provided herein. For example, block 590 may share any or all features with block 190 of the example method 100 of FIG. 1, with block 390 of the example method 300 of FIG. 3, and so on.

例如,方塊590可以包括將實例方法500的執行流程返回到其任何方塊。又例如,方塊590可以包括將實例方法500的執行流程引導到本文討論的任何其它方法方塊(或步驟)(例如,關於圖1的實例方法100、圖3的實例方法300、圖7的實例方法700等)。For example, block 590 may include returning execution flow of example method 500 to any block thereof. As another example, block 590 may include directing execution flow of the example method 500 to any other method block (or step) discussed herein (e.g., with respect to the example method 100 of FIG. 1 , the example method 300 of FIG. 3 , the example method of FIG. 7 700 etc.).

又例如,如圖2O的實例200O、圖2P的實例200P和圖2Q的實例200Q所示,方塊590可以包括形成囊封材料和/或底部填充物(或跳過形成囊封材料和/或底部填充物)。As another example, as shown in example 200O of FIG. 2O, example 200P of FIG. 2P, and example 200Q of FIG. 2Q, block 590 may include forming the encapsulation material and/or underfill (or skip forming the encapsulation material and/or underfill). filling).

如本文所討論,功能晶粒和連接晶粒可以例如以多晶片模塊配置安裝到基板。在圖9和10中示出此類配置的非限制性實例。As discussed herein, functional dies and connection dies may be mounted to a substrate, for example, in a multi-die module configuration. Non-limiting examples of such configurations are shown in Figures 9 and 10.

圖7示出根據本揭示的各種態樣的製造電子裝置的實例方法700的流程圖。實例方法700可以例如與本文討論的任何其它實例方法(例如,圖1的實例方法100、圖3的實例方法300、圖5的實例方法500等)共享任何或所有特徵。圖8A-8N示出的橫截面圖示出根據本揭示的各種態樣的實例電子裝置(例如,半導體封裝等)和製造實例電子裝置的實例方法。圖8A-8N可以例如以圖7的方法700的各個方塊(或步驟)示出實例電子裝置。現將一起討論圖7和8A-8N。應注意的是,在不脫離本揭示的範圍的情況下,方法700的實例方塊的順序可以變化。在實例實施方案中,可以認為圖7的方法700與圖5的方法相似,但是增加了用於形成第二重分佈結構的方塊742。7 illustrates a flowchart of an example method 700 of manufacturing an electronic device in accordance with various aspects of the present disclosure. Example method 700 may, for example, share any or all features with any other example method discussed herein (eg, example method 100 of FIG. 1 , example method 300 of FIG. 3 , example method 500 of FIG. 5 , etc.). 8A-8N illustrate cross-sectional views illustrating example electronic devices (eg, semiconductor packages, etc.) and example methods of fabricating the example electronic devices in accordance with various aspects of the present disclosure. 8A-8N may illustrate an example electronic device, such as in various blocks (or steps) of method 700 of FIG. 7 . Figures 7 and 8A-8N will now be discussed together. It should be noted that the order of the example blocks of method 700 may vary without departing from the scope of the present disclosure. In an example embodiment, the method 700 of Figure 7 can be considered similar to the method of Figure 5, but with the addition of block 742 for forming the second redistribution structure.

實例方法700可以在方塊705處開始執行。方法700可以響應於各種原因或條件中的任何一種而開始執行,本文提供了其非限制性實例。例如,方法700可以響應於從一個或多個上游和/或下游製造站接收的一個或多個信號、響應於來自中央製造線控制器的信號等而開始自動執行。又例如,方法700可以響應於操作員命令開始而開始執行。另外,例如,方法700可以響應於從本文討論的任何其它方法方塊(或步驟)接收到執行流程而開始執行。Example method 700 may begin execution at block 705. Method 700 may begin execution in response to any of a variety of causes or conditions, non-limiting examples of which are provided herein. For example, method 700 may begin automatically in response to one or more signals received from one or more upstream and/or downstream manufacturing stations, in response to signals from a central manufacturing line controller, or the like. As another example, method 700 may begin execution in response to an operator command to begin. Additionally, for example, method 700 may begin execution in response to receiving an execution flow from any other method block (or step) discussed herein.

實例方法700可以在方塊710處包括接收、製造和/或準備多個功能晶粒。方塊710可以包括以各種方式中的任何一種接收、製造和/或準備多個功能晶粒,本文提供了其非限制性實例。例如,方塊710可以與圖5所示且在本文討論的實例方法500的方塊510、與圖3所示且在本文討論的實例方法300的方塊310等共享任何或所有特徵。在圖4A所示的實例400A-1到400A-4中呈現了方塊710的各種態樣。應注意的是,方塊710還可以例如與圖1所示且在本文討論的實例方法100的方塊110共享任何或所有特徵。Example method 700 may include receiving, fabricating, and/or preparing a plurality of functional dies at block 710 . Block 710 may include receiving, fabricating, and/or preparing a plurality of functional dies in any of a variety of manners, non-limiting examples of which are provided herein. For example, block 710 may share any or all features with block 510 of example method 500 shown in FIG. 5 and discussed herein, with block 310 of example method 300 shown in FIG. 3 and discussed herein, and so on. Various aspects of block 710 are presented in examples 400A-1 through 400A-4 shown in Figure 4A. It should be noted that block 710 may also share any or all features, for example, with block 110 of the example method 100 shown in FIG. 1 and discussed herein.

如圖8A-8N中的許多圖所示的功能晶粒811a和812a(和/或其形成)可以例如與功能晶粒611a和612a(和/或其形成)、功能晶粒411和412(和/或其形成)、功能晶粒211和212(和/或其形成)等共享任何或所有特徵。例如但不限於,功能晶粒811a和812a可以包括各種電子部件(例如,被動電子部件、主動電子部件、裸晶粒或部件、封裝晶粒或部件等)中的任何一種的特徵。Functional die 811a and 812a (and/or their formation), as shown in many of the figures in Figures 8A-8N, may, for example, be combined with functional die 611a and 612a (and/or their formation), functional die 411 and 412 (and /or their formation), functional grains 211 and 212 (and/or their formation), etc. share any or all characteristics. For example, and without limitation, functional dies 811a and 812a may include features of any of a variety of electronic components (eg, passive electronic components, active electronic components, bare dies or components, packaged dies or components, etc.).

通常,方塊710可以包括接收、製造和/或準備多個功能晶粒。因此,本揭示內容的範圍不應受執行此類接收和/或製造的任何特定方式的特徵的限制,也不受此類功能晶粒的任何特定特徵的限制。Generally, block 710 may include receiving, fabricating, and/or preparing a plurality of functional dies. Accordingly, the scope of the present disclosure should not be limited by the features of any particular manner of performing such receiving and/or fabrication, nor by any specific features of such functional dies.

實例方法700可以在方塊715處包括接收、製造和/或準備連接晶粒。方塊715可以包括以各種方式中的任何一種接收、製造和/或準備多個連接晶粒,本文提供了其非限制性實例。例如,方塊715可以與圖1所示且在本文討論的實例方法100的方塊115共享任何或所有特徵。在圖2B-1到2B-2所示的實例200B-1和200B-7中呈現了方塊715的各種實例態樣。應注意,方塊715還可以例如與圖3所示且在本文討論的實例方法100的方塊315、與圖5中所示的實例方法500的方塊515等共享任何或所有特徵。Example method 700 may include receiving, fabricating, and/or preparing a connection die at block 715 . Block 715 may include receiving, fabricating, and/or preparing a plurality of connection dies in any of a variety of manners, non-limiting examples of which are provided herein. For example, block 715 may share any or all features with block 115 of the example method 100 shown in FIG. 1 and discussed herein. Various example aspects of block 715 are presented in examples 200B-1 and 200B-7 shown in Figures 2B-1 through 2B-2. It should be noted that block 715 may also share any or all features, for example, with block 315 of the example method 100 shown in FIG. 3 and discussed herein, with block 515 of the example method 500 shown in FIG. 5 , and the like.

如圖8A-8N中的許多圖所示的連接晶粒816b和連接晶粒互連結構817(和/或其形成)可以例如與圖2B-1到2B-2的連接晶粒216b和連接晶粒互連結構217(和/或其形成)共享任何或所有特徵。The connection die 816b and connection die interconnect structures 817 (and/or their formation) as shown in many of the figures in Figures 8A-8N may, for example, be related to the connection die 216b and connection die 216b of Figures 2B-1 through 2B-2. Granular interconnect structures 217 (and/or their formation) share any or all characteristics.

應注意,連接晶粒互連結構817(和/或其形成)可以例如與第一晶粒互連結構213(和/或其形成)共享任何或所有特徵。例如,在實例實施方案中,代替在功能晶粒211/212上形成如圖2A的第一晶粒互連結構213之類的第一晶粒互連結構,可以在連接晶粒816b上形成相同或相似的連接晶粒互連結構817。It should be noted that connecting die interconnect structure 817 (and/or its formation) may share any or all features with first die interconnect structure 213 (and/or its formation), for example. For example, in an example embodiment, instead of forming a first die interconnect structure such as first die interconnect structure 213 of FIG. 2A on functional die 211/212, the same may be formed on connection die 816b. or similar connecting die interconnect structure 817.

通常,方塊715可以包括接收、製造和/或準備連接晶粒。因此,本揭示的範圍不應受此類接收、製造和/或準備的任何特定方式的特徵或此類連接晶粒的任何特定特徵的限制。Generally, block 715 may include receiving, fabricating, and/or preparing the die for attachment. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner in which such is received, manufactured, and/or prepared or by any particular characteristics of such connected dies.

實例方法700可以在方塊720處包括接收、製造和/或準備其上具有信號重分佈(RD)結構(或分佈結構)的載體。方塊720可以包括以各種方式中的任何一種執行此類接收、製造和/或準備,本文提供了其非限制性實例。Example method 700 may include receiving, manufacturing, and/or preparing a carrier having a signal redistribution (RD) structure (or distribution structure) thereon at block 720 . Block 720 may include performing such receiving, manufacturing, and/or preparing in any of a variety of ways, non-limiting examples of which are provided herein.

方塊720可以例如與本文討論的任何或所有載體接收、製造和/或準備(例如,關於圖1的實例方法100的方塊120、關於圖3的實例方法300的方塊320、關於圖5的實例方法500的方塊520等)共享任何或所有特徵。在圖8A的實例800A中提供了方塊720的各種實例態樣。Block 720 may be received, manufactured, and/or prepared, for example, with any or all of the carriers discussed herein (e.g., block 120 with respect to the example method 100 of FIG. 1 , block 320 with respect to the example method 300 of FIG. 3 , block 320 with respect to the example method 300 of FIG. 5 500's box 520 etc.) share any or all characteristics. Various example aspects of block 720 are provided in example 800A of Figure 8A.

如本文所討論,本文討論的任何或所有載體可以例如僅包括塊狀材料(例如,塊狀矽、塊狀玻璃、塊狀金屬等)。任何或所有此類載體還可以在塊狀材料上(或代替塊狀材料)包括信號重分佈(RD)結構。方塊720提供了此類載體的接收、製造和/或準備的實例。As discussed herein, any or all of the supports discussed herein may, for example, include only bulk materials (eg, bulk silicon, bulk glass, bulk metal, etc.). Any or all such carriers may also include signal redistribution (RD) structures on (or in place of) the bulk material. Block 720 provides an example of the receipt, manufacture, and/or preparation of such carriers.

方塊720可以包括以各種方式中的任何一種在塊狀載體821a上形成RD結構846a,本文呈現了其非限制性實例。在實例實施方案中,一個或多個介電質層和一個或多個導電層可以形成為將電連接橫向地和/或垂直地分佈到垂直互連結構814(稍後形成),所述垂直互連結構將最終連接到第二重分佈結構896和/或功能晶粒811和812(稍後連接)。因此,RD結構846a可以是無芯的。然而,應注意的是,在各種替代實施方案中,RD結構846a可以是有芯結構。Block 720 may include forming RD structure 846a on bulk carrier 821a in any of a variety of ways, non-limiting examples of which are presented herein. In example embodiments, one or more dielectric layers and one or more conductive layers may be formed to distribute electrical connections laterally and/or vertically to vertical interconnect structure 814 (which is later formed). The interconnect structure will eventually connect to the second redistribution structure 896 and/or functional dies 811 and 812 (which will be connected later). Therefore, RD structure 846a may be coreless. However, it should be noted that in various alternative embodiments, RD structure 846a may be a cored structure.

圖8A示出其中RD結構846a包括三個介電質層847和三個導電層848的實例。此類層數僅僅是實例,並且本揭示的範圍不限於此。在另一實例實施方案中,RD結構846a可以僅包括單個介電質層847和單個導電層848,兩個介電質層和兩個導電層等。實例重分佈(RD)結構846a形成在塊狀載體821a材料上。FIG. 8A shows an example in which RD structure 846a includes three dielectric layers 847 and three conductive layers 848. Such numbers of layers are merely examples, and the scope of this disclosure is not limited thereto. In another example embodiment, RD structure 846a may include only a single dielectric layer 847 and a single conductive layer 848, two dielectric layers and two conductive layers, etc. Example redistribution (RD) structure 846a is formed on bulk carrier 821a material.

介電質層847可以由任何的各種材料(例如,Si 3N 4、SiO 2、SiON、PI、BCB、PBO、WPR、環氧樹脂或其它絕緣材料)形成。可以利用各種製程(例如,PVD、CVD、印刷、旋塗、噴塗、燒結、熱氧化等)中的任何一種來形成介電質層847。介電質層847可以例如被圖案化以暴露各種表面(例如,暴露導電層848的下部跡線或襯墊等)。 Dielectric layer 847 may be formed from any of a variety of materials (eg, Si 3 N 4 , SiO 2 , SiON, PI, BCB, PBO, WPR, epoxy, or other insulating materials). The dielectric layer 847 may be formed using any of various processes (eg, PVD, CVD, printing, spin coating, spray coating, sintering, thermal oxidation, etc.). Dielectric layer 847 may, for example, be patterned to expose various surfaces (eg, exposing lower traces or pads of conductive layer 848, etc.).

導電層848可以由各種材料(例如,銅、銀、金、鋁、鎳、其組合、其合金等)中的任何一種形成。可以利用各種製程(例如,電解電鍍、無電電鍍、CVD、PVD等)中的任何一種來形成導電層848。Conductive layer 848 may be formed from any of a variety of materials (eg, copper, silver, gold, aluminum, nickel, combinations thereof, alloys thereof, etc.). Conductive layer 848 may be formed using any of a variety of processes (eg, electrolytic plating, electroless plating, CVD, PVD, etc.).

重分佈結構846a可以例如包括在其外表面處暴露(例如,在實例800A的頂表面處暴露)的導體。此類暴露的導體可以例如用於晶粒互連結構的附接(或形成)(例如,在方塊725等處)。在此類實施方案中,暴露的導體可以包括襯墊,並且可以例如包括在其上形成的凸塊下金屬(UBM),以增強晶粒互連結構的附接(或形成)。此類凸塊下金屬可以例如包括一層或多層的Ti、Cr、Al、TiW、TiN或其它導電材料。Redistribution structure 846a may, for example, include conductors exposed at its outer surface (eg, exposed at the top surface of example 800A). Such exposed conductors may be used, for example, for attachment (or formation) of die interconnect structures (eg, at block 725 , etc.). In such embodiments, the exposed conductors may include pads and may include, for example, under-bump metallization (UBM) formed thereon to enhance attachment (or formation) of the die interconnect structure. Such under-bump metal may include, for example, one or more layers of Ti, Cr, Al, TiW, TiN, or other conductive materials.

在2015年8月11日提交的標題為“半導體封裝和其製造方法(SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF)”的第14/823,689號美國專利申請案;以及標題為“半導體裝置和其製造方法(SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF)”的第8,362,612號美國專利案中提供了實例重分佈結構和/或其形成;以上申請中的每一個由此以全文引用的方式併入本文中。U.S. Patent Application No. 14/823,689 titled "SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF" filed on August 11, 2015; and titled "SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF"; Example redistribution structures and/or formations thereof are provided in U.S. Patent No. 8,362,612 "DEVICE AND MANUFACTURING METHOD THEREOF"; each of the foregoing applications is hereby incorporated by reference in its entirety.

重分佈結構846a可以例如執行至少一些電連接的扇出重分佈,例如將到(將要形成的)垂直互連結構814的至少一部分的電連接橫向地移動到將經由此類垂直互連結構814附接的功能晶粒811和812的覆蓋區之外的位置。又例如,重分佈結構846a可以執行至少一些電連接的扇入重分佈,例如將到(將要形成的)垂直互連結構814的至少一部分的電連接橫向地移動到(待連接的)連接晶粒816b的覆蓋區內和/或到(待連接的)功能晶粒811和812的覆蓋區內部的位置。重分佈結構846a還可以例如提供功能晶粒811和812之間的各種信號的連通性(例如,除了由連接晶粒816b提供的連接之外)。The redistribution structure 846a may, for example, perform a fan-out redistribution of at least some electrical connections, such as laterally moving electrical connections to at least a portion of the vertical interconnect structures 814 (to be formed) to those that will be attached via such vertical interconnect structures 814 . The connected functional dies 811 and 812 are located outside the coverage area. As another example, redistribution structure 846a may perform fan-in redistribution of at least some electrical connections, such as laterally moving electrical connections to at least a portion of vertical interconnect structure 814 (to be formed) to connection dies (to be connected). 816b and/or to a location within the footprint of functional dies 811 and 812 (to be connected). Redistribution structure 846a may also, for example, provide connectivity for various signals between functional dies 811 and 812 (eg, in addition to the connections provided by connection die 816b).

在各種實例實施方案中,方塊720可以包括僅形成整個RD結構846的第一部分846a,其中可以在稍後(例如,在方塊770處)形成整個RD結構846的第二部分846b。In various example embodiments, block 720 may include forming only a first portion 846a of the entire RD structure 846, wherein a second portion 846b of the entire RD structure 846 may be formed later (eg, at block 770).

通常,方塊720可以包括接收、製造和/或準備其上具有信號重分佈(RD)結構的載體。因此,本揭示的範圍不應受製造此類載體和/或信號重分佈結構的任何特定方式的特徵或此類載體和/或信號重分佈結構的任何特定特徵的限制。Generally, block 720 may include receiving, manufacturing, and/or preparing a carrier having a signal redistribution (RD) structure thereon. Accordingly, the scope of the present disclosure should not be limited by the features of any particular manner of making such carriers and/or signal redistribution structures or by any specific features of such carriers and/or signal redistribution structures.

實例方法700可以在方塊725處包括在RD結構(例如,如在方塊720處所提供的)上形成垂直互連結構。方塊725可以包括以各種方式中的任何一種在RD結構上形成垂直互連結構,本文提供了其非限制性實例。應注意,垂直互連結構在本文中也可以被稱為高凸塊、高柱、高支柱、晶粒互連結構、功能晶粒互連結構等。The example method 700 may include, at block 725 , forming a vertical interconnect structure on an RD structure (eg, as provided at block 720 ). Block 725 may include forming a vertical interconnect structure on the RD structure in any of a variety of ways, non-limiting examples of which are provided herein. It should be noted that vertical interconnect structures may also be referred to herein as tall bumps, tall pillars, tall pillars, die interconnect structures, functional die interconnect structures, etc.

方塊725可以例如與本文討論的任何或所有功能晶粒接收、製造和/或準備(例如,關於圖1的實例方法100的方塊110和第二晶粒互連結構214的形成和/或第一晶粒互連結構213的形成、關於圖3的實例方法347的方塊347和第二晶粒互連結構414的形成、關於圖5的實例方法500的方塊525等)共享任何或所有特徵(例如,第二晶粒互連結構形成特徵等)。Block 725 may, for example, relate to the receipt, fabrication, and/or preparation of any or all functional die discussed herein (e.g., with respect to block 110 of the example method 100 of FIG. 1 and the formation of the second die interconnect structure 214 and/or the first Formation of die interconnect structure 213 , block 347 with respect to example method 347 of FIG. 3 and formation of second die interconnect structure 414 with respect to block 525 of example method 500 of FIG. 5 , etc.) share any or all features (e.g., , second grain interconnection structure formation characteristics, etc.).

在圖8B的實例800B中提供了方塊725的各種實例態樣。垂直互連結構814(和/或其形成)可以與圖2A的第二晶粒互連結構214(和/或其形成)和/或與圖4H-2的第二晶粒互連結構414(和/或其形成)共享任何或所有特徵。另外,垂直互連結構814(和/或其形成)可以與圖6B的互連結構614(和/或其形成)共享任何或所有特徵。Various example aspects of block 725 are provided in example 800B of Figure 8B. Vertical interconnect structure 814 (and/or its formation) may be associated with second die interconnect structure 214 (and/or its formation) of FIG. 2A and/or with second die interconnect structure 414 (of FIG. 4H-2 and/or its formation) share any or all characteristics. Additionally, vertical interconnect structure 814 (and/or its formation) may share any or all features with interconnect structure 614 (and/or its formation) of FIG. 6B .

通常,方塊725可以包括在RD結構(例如,如在方塊720處所提供的)上形成垂直互連結構。因此,本揭示的範圍不應受形成此類垂直互連結構的任何特定方式的特徵和/或任何特定類型的垂直互連結構的特徵的限制。Generally, block 725 may include forming a vertical interconnect structure on the RD structure (eg, as provided at block 720). Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of forming such vertical interconnect structures and/or by the characteristics of any particular type of vertical interconnect structures.

實例方法700可以在方塊730處包括將連接晶粒安裝到RD結構(例如,如在方塊720處所提供的)。方塊730可以包括以各種方式中的任何一種執行此類安裝(或附接或耦合),本文提供了其非限制性實例。方塊730可以例如與本文討論的任何晶粒附接共享任何或所有特徵(例如,關於圖5所示且在本文討論的實例方法500的方塊530、關於圖3所示且在本文討論的實例方法300的方塊325、關於圖1所示且在本文討論的實例方法100的方塊125等)。在圖8C所示的實例800C中呈現了方塊730的各種實例態樣。The example method 700 may include mounting the connection die to the RD structure at block 730 (eg, as provided at block 720). Block 730 may include performing such mounting (or attaching or coupling) in any of a variety of ways, non-limiting examples of which are provided herein. Block 730 may, for example, share any or all features with any die attachment discussed herein (e.g., block 530 with respect to example method 500 shown in FIG. 5 and discussed herein, block 530 with respect to the example method 500 shown in FIG. 3 and discussed herein 300, block 125 with respect to the example method 100 shown in FIG. 1 and discussed herein, etc.). Various example aspects of block 730 are presented in example 800C shown in Figure 8C.

方塊730可以例如包括利用晶粒附接黏合劑(例如,膠帶、液體、糊劑等)將連接晶粒816b的背面附接到RD結構846a。儘管在圖8C中示出連接晶粒816b耦合到RD結構846a的介電質層,但是在其它實例實施方案中,可以將連接晶粒816b的背面耦合到導電層(例如,為了增強散熱、提供額外的結構支撐等)。Block 730 may include, for example, attaching the backside of connection die 816b to RD structure 846a using a die attach adhesive (eg, tape, liquid, paste, etc.). Although connection die 816b is shown coupled to the dielectric layer of RD structure 846a in FIG. 8C, in other example embodiments, the backside of connection die 816b may be coupled to a conductive layer (e.g., to enhance heat dissipation, provide additional structural supports, etc.).

另外,如本文所討論,本文討論的任何連接晶粒可以是雙面的。在此類實例實施方案中,背面互連結構可以電連接到RD結構846a的對應互連結構(例如,襯墊、連接盤、凸塊等)。Additionally, as discussed herein, any connection die discussed herein may be bi-sided. In such example embodiments, the backside interconnect structures may be electrically connected to corresponding interconnect structures (eg, pads, lands, bumps, etc.) of RD structure 846a.

通常,方塊730可以包括將連接晶粒安裝到RD結構(例如,如在方塊720處所提供的)。因此,本揭示的範圍不應受安裝連接晶粒的任何特定方式的特徵的限制。Generally, block 730 may include mounting the connection die to the RD structure (eg, as provided at block 720). Accordingly, the scope of the present disclosure should not be limited by the features of any particular manner of mounting the connection die.

實例方法700可以在方塊735處包括囊封。方塊735可以包括以各種方式中的任何一種執行此類囊封,本文提供了其非限制性實例。例如,方塊735可以例如與本文討論的其它囊封方塊(或步驟)(例如,與圖1的實例方法100的方塊130、與圖3的實例方法300的方塊330、與圖5的實例方法500的方塊530等)共享任何或所有特徵。在圖8D處呈現了方塊735的各種實例態樣。Example method 700 may include encapsulation at block 735 . Block 735 may include performing such encapsulation in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 735 may be used, for example, with other encapsulated blocks (or steps) discussed herein (eg, with block 130 of the example method 100 of FIG. 1 , with block 330 of the example method 300 of FIG. 3 , with the example method 500 of FIG. 5 of block 530, etc.) share any or all characteristics. Various example aspects of block 735 are presented at Figure 8D.

方塊735可以例如包括執行晶圓(或面板)級模製製程。如本文所討論,在單粒化切割各個模塊之前,本文討論的任何或所有製程步驟可以在面板或晶圓級執行。參考圖8D所示的實例實施方案800D,囊封材料851'可以覆蓋RD結構846a的頂面、垂直互連結構814、連接晶粒互連結構817、連接晶粒816b的頂面(或主動面或正面),以及連接晶粒816b的側面表面的至少部分(或全部)。Block 735 may include, for example, performing a wafer (or panel) level molding process. As discussed herein, any or all of the process steps discussed herein can be performed at the panel or wafer level before individual modules are singulated. Referring to the example embodiment 800D shown in Figure 8D, the encapsulation material 851' may cover the top surface of the RD structure 846a, the vertical interconnect structure 814, the connecting die interconnect structure 817, the top surface (or active surface) of the connecting die 816b or front), and at least part (or all) of the side surface of connecting die 816b.

儘管囊封材料851’(如圖8D所示)被示為覆蓋垂直互連結構814的頂端和連接晶粒互連結構817的頂端,但是這些端中的任何一個或全部可以從囊封材料851’暴露(如圖8E所示)。方塊735可以例如包括最初形成囊封材料851’,其中各種互連件的頂端暴露或突出(例如,利用膜輔助模製技術、晶粒密封模製技術等)。替代地,方塊735可以包括形成囊封材料851’,隨後進行減薄(或平坦化或研磨)製程(例如,在方塊740處執行),以使囊封材料851’減薄至足以暴露垂直互連結構814和連接晶粒互連結構817等中的任一個或全部的頂面。Although encapsulation material 851 ′ (shown in FIG. 8D ) is shown covering the top ends of vertical interconnect structures 814 and the top ends of connecting die interconnect structures 817 , any or all of these ends may be removed from the encapsulation material 851 'exposed (as shown in Figure 8E). Block 735 may, for example, include initially forming the encapsulation material 851&apos; with the top ends of the various interconnects exposed or protruding (e.g., using film-assisted molding techniques, die seal molding techniques, etc.). Alternatively, block 735 may include forming the encapsulation material 851', followed by a thinning (or planarization or grinding) process (eg, performed at block 740) to thin the encapsulation material 851' sufficiently to expose the vertical interconnects. The top surface of any or all of the connection structure 814 and the connection die interconnect structure 817, etc.

通常,方塊735可以包括囊封。因此,本揭示內容的範圍不應受執行此類囊封的任何特定方式的特徵或任何特定類型的囊封材料或其配置的特徵的限制。Generally, block 735 may include encapsulation. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of performing such encapsulation or by the characteristics of any particular type of encapsulation material or configuration thereof.

實例方法700可以在方塊740處包括研磨囊封材料和/或各種互連結構。方塊740可以包括以各種方式中的任何一種執行此類研磨(或任何減薄或平坦化),本文提供了其非限制性實例。在圖8E所示的實例800E中呈現了方塊740的各種實例態樣。方塊740可以例如與本文討論的其它研磨(或減薄或平坦化)方塊(或步驟)共享任何或所有特徵。The example method 700 may include grinding the encapsulation material and/or various interconnect structures at block 740 . Block 740 may include performing such grinding (or any thinning or planarizing) in any of a variety of ways, non-limiting examples of which are provided herein. Various example aspects of block 740 are presented in example 800E shown in Figure 8E. Block 740 may, for example, share any or all features with other grinding (or thinning or planarizing) blocks (or steps) discussed herein.

如本文所討論,在各種實例實施方案中,囊封材料851’可以最初形成為大於最終所需的厚度,和/或垂直互連結構814和連接晶粒互連結構817可以最初形成為大於最終所需的厚度。在此類實例實施方案中,可以執行方塊740以研磨(或以其它方式減薄或平坦化)囊封材料851’、垂直互連結構814和/或連接晶粒互連結構817。在圖8E所示的實例800E中,囊封材料851、垂直互連結構814和/或連接晶粒互連結構817已經被研磨以產生囊封材料851和垂直互連結構814以及連接晶粒互連結構817(如圖8E所示)。研磨的囊封材料851的頂表面、垂直互連結構814的頂表面和/或連接晶粒互連結構817的頂表面可以例如是共平面的。As discussed herein, in various example embodiments, the encapsulation material 851' may be initially formed to be thicker than the final desired thickness, and/or the vertical interconnect structures 814 and the connecting die interconnect structures 817 may be initially formed to be thicker than the final desired thickness. required thickness. In such example embodiments, block 740 may be performed to grind (or otherwise thin or planarize) the encapsulation material 851&apos;, the vertical interconnect structure 814, and/or the connection die interconnect structure 817. In example 800E shown in FIG. 8E , encapsulation material 851 , vertical interconnect structures 814 and/or connection die interconnect structures 817 have been milled to produce encapsulation material 851 and vertical interconnect structures 814 and connection die interconnect structures. Connect structure 817 (shown in Figure 8E). The top surface of the ground encapsulation material 851, the top surface of the vertical interconnect structures 814, and/or the top surface of the connecting die interconnect structures 817 may be coplanar, for example.

應注意的是,在各種實例實施方案中,例如利用使囊封材料851比垂直互連結構814和/或連接晶粒互連結構817減薄更多的化學或機械製程,在方塊735處利用膜輔助和/或密封模製製程等,垂直互連結構814的頂表面和/或連接晶粒互連結構817的頂表面可以從囊封材料851的頂表面突出。It should be noted that in various example embodiments, such as using a chemical or mechanical process that thins the encapsulation material 851 more than the vertical interconnect structures 814 and/or the connecting die interconnect structures 817 , at block 735 . The top surface of the vertical interconnect structure 814 and/or the top surface of the connecting die interconnect structure 817 may protrude from the top surface of the encapsulation material 851 due to film-assisted and/or sealing molding processes.

通常,方塊740可以包括研磨(或減薄或平坦化)囊封材料和/或各種互連結構。因此,本揭示內容的範圍不應受執行此類研磨(或減薄或平坦化)的任何特定方式的特徵的限制。Generally, block 740 may include grinding (or thinning or planarizing) the encapsulation material and/or various interconnect structures. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of performing such grinding (or thinning or planarizing).

實例方法700可以在方塊742處包括形成第二信號重分佈(RD)結構(或分佈結構)。方塊742可以包括以各種方式中的任何一種執行此類形成,本文提供了其非限制性實例。Example method 700 may include forming a second signal redistribution (RD) structure (or distribution structure) at block 742 . Block 742 may include performing such formation in any of a variety of ways, non-limiting examples of which are provided herein.

方塊742可以例如與本文討論的任何或所有信號分佈結構形成(例如,關於圖1的實例方法100的方塊120、關於圖3的實例方法300的方塊320、關於圖5的實例方法500的方塊520、關於方塊720等)共享任何或所有特徵。在圖8F的實例800F中提供了方塊742的各種實例態樣。Block 742 may be formed, for example, with any or all of the signal distribution structures discussed herein (eg, block 120 with respect to the example method 100 of FIG. 1 , block 320 with respect to the example method 300 of FIG. 3 , block 520 with respect to the example method 500 of FIG. 5 , regarding block 720, etc.) share any or all characteristics. Various example aspects of block 742 are provided in example 800F of Figure 8F.

如本文所討論,由方塊740產生的實例結構800E可以包括頂表面,所述頂表面包括囊封材料851的頂表面、垂直互連結構814和/或連接晶粒互連結構817的暴露的頂端表面、垂直互連結構814和/或連接晶粒互連結構817的暴露的頂部側表面等。方塊742可以例如包括在任何或所有此類表面上形成第二信號重分佈結構。As discussed herein, example structure 800E produced by block 740 may include a top surface that includes a top surface of encapsulation material 851 , vertical interconnect structures 814 , and/or exposed tips of connecting die interconnect structures 817 surface, the vertical interconnect structure 814 and/or the exposed top side surface of the connecting die interconnect structure 817, etc. Block 742 may include, for example, forming a second signal redistribution structure on any or all such surfaces.

方塊742可以包括以各種方式中的任何一種例如在結構800E的頂部上形成第二RD結構,本文呈現了其非限制性實例。在實例實施方案中,一個或多個介電質層和一個或多個導電層可以形成為將垂直互連結構814和/或連接晶粒互連結構817之間的電連接橫向地和/或垂直地分佈到安裝在其中的電部件(例如,分佈到例如晶粒811和812的半導體晶粒、被動電部件、屏蔽部件等)。圖8F示出其中第二RD結構896包括三個介電質層897和三個導電層898的實例。此類層數僅僅是實例,並且本揭示的範圍不限於此。在另一實例實施方案中,第二RD結構896可以僅包括單個介電質層897和單個導電層898,兩個介電質層和兩個導電層等。因此,第二RD結構896可以是無芯的。然而,應注意的是,在各種替代實施方案中,第二RD結構896可以是有芯結構。在另一實例實施方案中,第二重分佈(或分佈)結構896可以僅包括單個垂直金屬結構(例如,一層或多層),例如凸塊下金屬化結構。Block 742 may include forming a second RD structure in any of a variety of ways, such as forming a second RD structure on top of structure 800E, non-limiting examples of which are presented herein. In example embodiments, one or more dielectric layers and one or more conductive layers may be formed to connect electrical connections between vertical interconnect structures 814 and/or connecting die interconnect structures 817 laterally and/or Vertically distributed to electrical components mounted therein (eg, distributed to semiconductor dies such as dies 811 and 812, passive electrical components, shielding components, etc.). 8F shows an example in which the second RD structure 896 includes three dielectric layers 897 and three conductive layers 898. Such numbers of layers are merely examples, and the scope of this disclosure is not limited thereto. In another example embodiment, the second RD structure 896 may include only a single dielectric layer 897 and a single conductive layer 898, two dielectric layers and two conductive layers, etc. Therefore, the second RD structure 896 may be coreless. However, it should be noted that in various alternative embodiments, the second RD structure 896 may be a cored structure. In another example embodiment, the second redistribution (or distribution) structure 896 may include only a single vertical metal structure (eg, one or more layers), such as an under-bump metallization structure.

介電質層897可以由任何的各種材料(例如,Si3N4、SiO2、SiON、PI、BCB、PBO、WPR、環氧樹脂或其它絕緣材料)形成。可以利用各種製程(例如,PVD、CVD、印刷、旋塗、噴塗、燒結、熱氧化等)中的任何一種來形成介電質層897。介電質層897可以例如被圖案化以暴露各種表面(例如,暴露導電層898的下部跡線或襯墊等)。Dielectric layer 897 may be formed from any of a variety of materials (eg, Si3N4, SiO2, SiON, PI, BCB, PBO, WPR, epoxy, or other insulating materials). The dielectric layer 897 may be formed using any of a variety of processes (eg, PVD, CVD, printing, spin coating, spray coating, sintering, thermal oxidation, etc.). Dielectric layer 897 may, for example, be patterned to expose various surfaces (eg, exposing lower traces or pads of conductive layer 898, etc.).

導電層898可以由各種材料(例如,銅、銀、金、鋁、鎳、其組合、其合金等)中的任何一種形成。可以利用各種製程(例如,電解電鍍、無電電鍍、CVD、PVD等)中的任何一種來形成導電層898。Conductive layer 898 may be formed from any of a variety of materials (eg, copper, silver, gold, aluminum, nickel, combinations thereof, alloys thereof, etc.). Conductive layer 898 may be formed using any of a variety of processes (eg, electrolytic plating, electroless plating, CVD, PVD, etc.).

第二RD結構896可以例如包括在其外表面處暴露(例如,在實例800F的頂表面處暴露)的導體。此類暴露的導體可以例如用於電部件和/或其附接結構的附接(或形成)(例如,在方塊745等處)。此類暴露的導體可以例如包括襯墊結構、凸塊下金屬化結構等。在此類實施方案中,暴露的導體可以包括襯墊,並且可以例如包括在其上形成的凸塊下金屬(UBM),以增強部件和/或其互連結構的附接(或形成)。此類凸塊下金屬可以例如包括一層或多層的Ti、Cr、Al、TiW、TiN或其它導電材料。The second RD structure 896 may, for example, include a conductor exposed at its outer surface (eg, exposed at the top surface of example 800F). Such exposed conductors may be used, for example, for attachment (or formation) of electrical components and/or attachment structures thereof (eg, at block 745 , etc.). Such exposed conductors may include, for example, pad structures, under-bump metallization structures, and the like. In such embodiments, the exposed conductors may include pads, and may include, for example, under-bump metallization (UBM) formed thereon to enhance attachment (or formation) of the component and/or its interconnect structure. Such under-bump metal may include, for example, one or more layers of Ti, Cr, Al, TiW, TiN, or other conductive materials.

在2015年8月11日提交的標題為“半導體封裝和其製造方法(SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF)”的第14/823,689號美國專利申請案;以及標題為“半導體裝置和其製造方法(SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF)”的第8,362,612號美國專利案中提供了實例重分佈結構和/或其形成;以上申請中的每一個由此以全文引用的方式併入本文中。U.S. Patent Application No. 14/823,689 titled "SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF" filed on August 11, 2015; and titled "SEMICONDUCTOR PACKAGE AND FABRICATING METHOD THEREOF"; Example redistribution structures and/or formations thereof are provided in U.S. Patent No. 8,362,612 "DEVICE AND MANUFACTURING METHOD THEREOF"; each of the foregoing applications is hereby incorporated by reference in its entirety.

第二RD結構896可以例如執行至少一些電連接或信號的扇出重分佈,將電連接或信號從連接晶粒互連結構817和/或垂直互連結構814(附接到第二RD結構896的底面)的至少一部分橫向地移動到連接晶粒互連結構817(或連接晶粒816b)和/或垂直互連結構814的覆蓋區之外的位置。又例如,第二RD結構896可以執行至少一些電連接或信號的扇入重分佈,將電連接或信號從連接晶粒互連結構817和/或垂直互連結構814的至少一部分橫向地移動到連接晶粒互連結構817(或連接晶粒816b)和/或垂直互連結構814的覆蓋區內部的位置。第二RD結構896還可以例如提供功能晶粒811與812之間的各種信號的連通性(例如,除了由連接晶粒816b提供的連接之外、除了由RD結構846a提供的連接之外等)。The second RD structure 896 may, for example, perform fan-out redistribution of at least some electrical connections or signals from the connecting die interconnect structure 817 and/or the vertical interconnect structure 814 (attached to the second RD structure 896 At least a portion of the bottom surface of the connecting die interconnect structure 817 (or the connecting die 816 b ) and/or the vertical interconnect structure 814 is laterally moved to a position outside the footprint of the connecting die interconnect structure 817 (or the connecting die 816 b ). As another example, the second RD structure 896 may perform fan-in redistribution of at least some electrical connections or signals, moving the electrical connections or signals laterally from at least a portion of the connecting die interconnect structure 817 and/or the vertical interconnect structure 814 to Locations within the footprint of connection die interconnect structure 817 (or connection die 816b) and/or vertical interconnect structure 814. Second RD structure 896 may also, for example, provide connectivity for various signals between functional dies 811 and 812 (eg, in addition to the connections provided by connection die 816b, in addition to the connections provided by RD structure 846a, etc.) .

儘管實例方塊742已描述為逐層形成第二RD結構,但是應注意,可以以預形成的格式接收第二RD結構且接著在方塊742處附接(例如,焊接、用環氧樹脂膠合等)第二RD結構。Although example block 742 has been described as forming the second RD structure layer by layer, it should be noted that the second RD structure may be received in a preformed format and then attached at block 742 (eg, welded, epoxy glued, etc.) Second RD structure.

通常,方塊742可以包括形成第二重分佈(RD)結構。因此,本揭示的範圍不應受製造此類載體和/或信號重分佈結構的任何特定方式的特徵或此類載體和/或信號重分佈結構的任何特定特徵的限制。Generally, block 742 may include forming a second redistribution (RD) structure. Accordingly, the scope of the present disclosure should not be limited by the features of any particular manner of making such carriers and/or signal redistribution structures or by any specific features of such carriers and/or signal redistribution structures.

實例方法700可以在方塊745處包括將功能晶粒附接(或耦合或安裝)到第二重分佈(RD)結構(例如,如在方塊742處形成的)。方塊745可以包括以各種方式中的任何一種執行此類附接,本文提供了其非限制性實例。方塊745可以例如與本文討論的任何晶粒附接製程共享任何或所有特徵。在圖8G所示的實例800G中呈現了方塊745的各種實例態樣。Example method 700 may include attaching (or coupling or mounting) the functional die to a second redistribution (RD) structure (eg, as formed at block 742 ) at block 745 . Block 745 may include performing such attachment in any of a variety of ways, non-limiting examples of which are provided herein. Block 745 may, for example, share any or all features with any of the die attach processes discussed herein. Various example aspects of block 745 are presented in example 800G shown in Figure 8G.

例如,第一功能晶粒811a的晶粒互連結構(例如,襯墊、凸塊等)可以機械地且電連接到第二RD結構896的相應導體(例如,襯墊、凸塊下金屬、暴露的跡線等)。例如,第一功能晶粒811a的晶粒互連結構可以通過第二RD結構896的導體電連接到相應的垂直互連結構814和/或電連接到相應的連接晶粒互連結構817。類似地,第二功能晶粒812a的晶粒互連結構(例如,襯墊、凸塊等)可以機械地且電連接到第二RD結構896的相應導體(例如,襯墊、凸塊下金屬、暴露的跡線等)。例如,第二功能晶粒812a的晶粒互連結構可以通過第二RD結構896的導體電連接到相應的垂直互連結構814和/或電連接到相應的連接晶粒互連結構817。For example, the die interconnect structures (eg, pads, bumps, etc.) of the first functional die 811a may be mechanically and electrically connected to corresponding conductors (eg, pads, under-bump metal, etc.) of the second RD structure 896 exposed traces, etc.). For example, the die interconnect structure of the first functional die 811a may be electrically connected to the corresponding vertical interconnect structure 814 and/or to the corresponding connecting die interconnect structure 817 through the conductors of the second RD structure 896. Similarly, the die interconnect structures (eg, pads, bumps, etc.) of the second functional die 812a may be mechanically and electrically connected to corresponding conductors (eg, pads, under-bump metallization) of the second RD structure 896 , exposed traces, etc.). For example, the die interconnect structure of the second functional die 812a may be electrically connected to the corresponding vertical interconnect structure 814 and/or to the corresponding connecting die interconnect structure 817 through the conductors of the second RD structure 896.

功能晶粒的此類互連結構可以以各種方式中的任何一種來連接。例如,可以通過焊接來執行連接。在實例實施方案中,功能晶粒811a和812a的互連結構可以包括可以通過質量回焊、熱壓接合(TCB)等進行回焊的焊料蓋(或其它焊料結構)。類似地,第二RD結構896的襯墊或凸塊下金屬可以已經形成有(例如,在方塊742處)可以通過質量回焊、熱壓接合(TCB)等進行回焊的焊料蓋(或其它焊料結構)。在另一實例實施方案中,可以通過直接的金屬對金屬(例如,銅對銅等)接合而不利用焊料和/或通過利用一個或多個中間的非焊料金屬層來執行連接。此類連接的實例在2015年12月8日提交的標題為“金屬接合的瞬態界面梯度接合(Transient Interface Gradient Bonding for Metal Bonds)”的第14/963,037號美國專利申請案和在2016年1月6日提交的標題為“具有互鎖金屬對金屬接合的半導體產品和其製造方法(Semiconductor Product with Interlocking Metal-to-Metal Bonds and Method for Manufacturing Thereof)”的第14/989,455號美國專利申請案中提供,所述美國專利申請案中的每一個的全部內容由此以引用的方式併入本文中。可以利用各種技術中的任何一種來將功能晶粒互連結構附接到第二RD結構896(例如,質量回焊、熱壓接合(TCB)、直接的金屬對金屬的金屬間接合、導電黏合劑,等)。Such interconnect structures of functional dies can be connected in any of a variety of ways. For example, the connection can be performed by soldering. In example embodiments, the interconnect structures of functional dies 811a and 812a may include solder caps (or other solder structures) that may be resoldered by mass reflow, thermocompression bonding (TCB), or the like. Similarly, the pad or under-bump metal of the second RD structure 896 may have been formed (eg, at block 742 ) with a solder cap (or other solder structure). In another example embodiment, the connection may be performed by direct metal-to-metal (eg, copper-to-copper, etc.) bonding without utilizing solder and/or by utilizing one or more intermediate non-solder metal layers. Examples of such connections are provided in U.S. Patent Application No. 14/963,037 titled "Transient Interface Gradient Bonding for Metal Bonds" filed on December 8, 2015 and in January 2016. U.S. Patent Application No. 14/989,455 titled "Semiconductor Product with Interlocking Metal-to-Metal Bonds and Method for Manufacturing Thereof" filed on March 6 are provided in , the entire contents of each of said U.S. patent applications is hereby incorporated by reference. The functional die interconnect structure may be attached to the second RD structure 896 using any of a variety of techniques (e.g., mass reflow, thermocompression bonding (TCB), direct metal-to-metal metal-to-metal bonding, conductive bonding agents, etc.).

如實例實施方案800G所示,連接晶粒816b的第一連接晶粒互連結構817通過第二RD結構896連接到第一功能晶粒811a的相應互連結構,並且連接晶粒816b的第二連接晶粒互連結構817通過第二RD結構896連接到第二功能晶粒812a的相應互連結構。在連接時,連接晶粒816b(例如,與第二RD結構896結合)經由連接晶粒816b的RD結構298在第一功能晶粒811a和第二功能晶粒812a的各種晶粒互連結構之間提供電連接(例如,如圖2B-1的實例200B-4等所示)。As shown in example embodiment 800G, first connecting die interconnect structures 817 of connecting die 816b are connected to corresponding interconnect structures of first functional die 811a through second RD structures 896, and second connecting die 816b The connecting die interconnect structure 817 is connected to the corresponding interconnect structure of the second functional die 812a through the second RD structure 896. When connected, connection die 816b (eg, combined with second RD structure 896) is among the various die interconnect structures of first functional die 811a and second functional die 812a via RD structure 298 of connection die 816b. Provide electrical connections between them (for example, as shown in example 200B-4 of Figure 2B-1, etc.).

在圖8F所示的實例800G中,垂直互連結構814的高度可以例如等於(或大於)連接晶粒互連結構217和連接晶粒816b的支撐層290b以及用於將連接晶粒816b附接到RD結構846a的黏合劑或其它構件的組合高度。因此,第二RD結構896可以例如包括大致平面的下面、大致均勻的厚度和大致平面的上面。In the example 800G shown in FIG. 8F , the height of the vertical interconnect structure 814 may be, for example, equal to (or greater than) the connection die interconnect structure 217 and the support layer 290 b for attaching the connection die 816 b. The combined height of adhesive or other components to RD structure 846a. Thus, the second RD structure 896 may, for example, include a generally planar lower surface, a generally uniform thickness, and a generally planar upper surface.

通常,方塊745可以包括將功能晶粒附接(或耦合或安裝)到第二RD結構。因此,本揭示的範圍不應受執行此類附接的任何特定方式的特徵或任何特定類型的附接結構的特徵的限制。Generally, block 745 may include attaching (or coupling or mounting) the functional die to the second RD structure. Accordingly, the scope of the present disclosure should not be limited by the features of any particular manner of performing such attachment or by the features of any particular type of attachment structure.

實例方法700可以在方塊750處包括對功能晶粒進行底部填充。方塊750可以包括以各種方式中的任何一種執行此類底部填充,本文提供了其非限制性實例。方塊750可以例如與本文討論的任何底部填充(例如,與圖1的實例方法100的方塊155和/或方塊175、與圖3的實例方法300的方塊355和/或方塊375、與圖5的實例方法500的方塊550等)共享任何或所有特徵。在圖8H所示的實例800H中呈現了方塊750的各種實例態樣。Example method 700 may include underfilling the functional die at block 750 . Block 750 may include performing such underfill in any of a variety of ways, non-limiting examples of which are provided herein. Block 750 may, for example, be consistent with any of the underfills discussed herein (eg, with block 155 and/or block 175 of example method 100 of FIG. 1 , with block 355 and/or block 375 of example method 300 of FIG. 3 , with block 375 of example method 300 of FIG. 5 Instance method 500, block 550, etc.) share any or all characteristics. Various example aspects of block 750 are presented in example 800H shown in Figure 8H.

應注意,可以在功能晶粒811a和812a與第二RD結構896之間施加底部填充物。在利用預施加底部填充物(PUF)的情境中,在耦合功能晶粒811a和812a之前,可以將此類PUF施加到功能晶粒811a和812a,和/或施加到第二RD結構896和/或第二RD結構896的頂部暴露導體(例如,襯墊、凸塊下金屬化物、暴露的跡線等)。It should be noted that underfill may be applied between functional dies 811a and 812a and second RD structure 896. In scenarios utilizing pre-applied underfill (PUF), such PUF may be applied to functional dies 811a and 812a, and/or to second RD structures 896 and/or prior to coupling functional dies 811a and 812a. or the top exposed conductor of the second RD structure 896 (eg, pad, under-bump metallization, exposed trace, etc.).

在方塊745處執行的附接之後,方塊750可以包括形成底部填充物(例如,毛細管底部填充物、注入的底部填充物等)。如在圖8H的實例實施方案800H所示,底部填充材料861(例如,本文討論的任何底部填充材料等)可以完全或部分覆蓋功能晶粒811a和812a的底面(例如,如圖8H所示的定向),和/或功能晶粒811a和812a的側面的至少一部分(如果不是全部的話)。底部填充材料861還可以例如覆蓋第二RD結構896的頂面的大部分(或全部)。底部填充材料861還可以例如圍繞第二RD結構896的相應互連結構(例如,襯墊、連接盤、跡線、凸塊下金屬化物等)所附接到的功能晶粒811a和812a的相應互連結構(例如,襯墊、凸塊等)。在其中第二RD結構896的互連結構的端部從第二RD結構896的頂表面(例如,頂部介電質層表面)突出的實例實施方案中,底部填充材料861也可以圍繞此類突出部分。Following the attachment performed at block 745, block 750 may include forming an underfill (eg, capillary underfill, injected underfill, etc.). As shown in example embodiment 800H of Figure 8H, underfill material 861 (eg, any of the underfill materials discussed herein, etc.) may fully or partially cover the bottom surfaces of functional dies 811a and 812a (eg, as shown in Figure 8H orientation), and/or at least a portion, if not all, of the sides of functional dies 811a and 812a. The underfill material 861 may also cover most (or all) of the top surface of the second RD structure 896 , for example. Underfill material 861 may also surround, for example, corresponding portions of functional dies 811a and 812a to which respective interconnect structures (eg, pads, lands, traces, under-bump metallization, etc.) of second RD structure 896 are attached. Interconnect structures (e.g., pads, bumps, etc.). In example embodiments in which the ends of the interconnect structures of second RD structure 896 protrude from the top surface (eg, the top dielectric layer surface) of second RD structure 896 , underfill material 861 may also surround such protrusions. part.

應注意的是,在實例方法700的各種實例實施方案中,可以跳過在方塊750處執行的底部填充。例如,可以在另一方塊處(例如,在方塊755等處)執行對功能晶粒進行底部填充。又例如,可以完全省略此類底部填充。It should be noted that in various example implementations of example method 700, the underfill performed at block 750 may be skipped. For example, underfilling the functional die may be performed at another block (eg, at block 755, etc.). As another example, such bottom padding can be omitted entirely.

通常,方塊750可以包括對功能晶粒進行底部填充。因此,本揭示的範圍不應受執行此類底部填充的任何特定方式的特徵或任何特定類型的底部填充材料的特徵的限制。Generally, block 750 may include underfilling the functional die. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of performing such underfill or by the characteristics of any particular type of underfill material.

實例方法700可以在方塊755處包括囊封。方塊755可以包括以各種方式中的任何一種執行此類囊封,本文提供了其非限制性實例。例如,方塊755可以例如與本文討論的其它囊封方塊(或步驟)(例如,與方塊735、與圖1的實例方法100的方塊130、與圖3的實例方法300的方塊330、與圖5的實例方法500的方塊535和555等)共享任何或所有特徵。Example method 700 may include encapsulation at block 755 . Block 755 may include performing such encapsulation in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 755 may be used, for example, with other encapsulated blocks (or steps) discussed herein (eg, with block 735 , with block 130 of example method 100 of FIG. 1 , with block 330 of example method 300 of FIG. 3 , with block 330 of example method 300 of FIG. 5 The instance methods 500 of blocks 535 and 555 etc.) share any or all characteristics.

在圖8I所示的實例800I中呈現了方塊755的各種實例態樣。例如,囊封材料852’(和/或其形成)可以與圖2E的囊封材料226'(和/或其形成)、與圖4K的囊封材料426(和/或其形成)、與圖6D和6H的囊封材料651和652’(和/或其形成)、與圖8E的囊封材料851等共享任何或所有特徵。Various example aspects of block 755 are presented in example 800I shown in Figure 8I. For example, encapsulation material 852' (and/or its formation) may be the same as encapsulation material 226' (and/or its formation) of FIG. 2E, with encapsulation material 426 (and/or its formation) of FIG. 4K, with FIG. The encapsulation materials 651 and 652' of 6D and 6H (and/or their formation) share any or all characteristics with the encapsulation material 851 of Figure 8E and the like.

囊封材料852'覆蓋第二RD結構896的頂面,覆蓋底部填充物861的側面表面,覆蓋底部填充物861的頂表面(例如,在晶粒811a與812a之間),覆蓋功能晶粒811a和812a的側面表面的至少一些(如果不是全部的話),覆蓋功能晶粒811a和812a的頂面等。在其它實例中,囊封材料852'可以代替底部填充物861,因此在功能晶粒811a和/或812a與第二RD結構896之間提供底部填充物。Encapsulation material 852' covers the top surface of second RD structure 896, covers the side surfaces of underfill 861, covers the top surface of underfill 861 (eg, between die 811a and 812a), covers functional die 811a and 812a, cover the top surfaces of functional dies 811a and 812a, etc. In other examples, encapsulation material 852' may replace underfill 861, thus providing underfill between functional die 811a and/or 812a and second RD structure 896.

如本文關於其它囊封材料(例如,圖2E的囊封材料226'等)所討論的,囊封材料852’最初不必形成為覆蓋功能晶粒811a和812a的頂面。例如,方塊755可以包括利用膜輔助模製、密封模製等來形成囊封材料852’。As discussed herein with respect to other encapsulation materials (eg, encapsulation material 226' of Figure 2E, etc.), encapsulation material 852' does not necessarily need to be initially formed to cover the top surfaces of functional dies 811a and 812a. For example, block 755 may include forming encapsulation material 852' using film-assisted molding, seal molding, or the like.

通常,方塊755可以包括囊封。因此,本揭示內容的範圍不應受執行此類囊封的任何特定方式的特徵、任何特定類型的囊封材料的特徵等的限制。Generally, block 755 may include encapsulation. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of performing such encapsulation, the characteristics of any particular type of encapsulating material, or the like.

實例方法700可以在方塊760處包括研磨(或以其它方式減薄或平坦化)囊封材料。方塊760可以包括以各種方式中的任何一種執行此類研磨(或任何減薄或平坦化製程),本文提供了其非限制性實例。例如,方塊760可以例如與本文討論的其它研磨(或減薄)方塊(或步驟)(例如,與圖1的實例方法100的方塊135、與圖3的實例方法300的方塊335、與圖5的實例方法500的方塊540和555、與方塊735等)共享任何或所有特徵。Example method 700 may include grinding (or otherwise thinning or planarizing) the encapsulation material at block 760 . Block 760 may include performing such grinding (or any thinning or planarization process) in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 760 may be used, for example, with other grinding (or thinning) blocks (or steps) discussed herein (eg, with block 135 of example method 100 of FIG. 1 , with block 335 of example method 300 of FIG. 3 , with block 335 of example method 300 of FIG. 5 The example method 500 shares any or all features of blocks 540 and 555, with block 735, etc.).

在圖8J所示的實例800J中呈現了方塊760的各種實例態樣。實例研磨的(或減薄或平坦化的等)囊封材料852(和/或其形成)可以與圖2F的囊封材料226(和/或其形成)、與圖4F的囊封材料426(和/或其形成)、與圖6E和6I的囊封材料651和652(和/或其形成)、與囊封材料851等共享任何或所有特徵。Various example aspects of block 760 are presented in example 800J shown in Figure 8J. Example ground (or thinned or planarized, etc.) encapsulation material 852 (and/or its formation) may be the same as encapsulation material 226 (and/or its formation) of FIG. 2F , same as encapsulation material 426 ( and/or their formation), share any or all characteristics with encapsulation materials 651 and 652 (and/or their formation) of Figures 6E and 6I, with encapsulation material 851, etc.

方塊760可以例如包括研磨囊封材料852和/或功能晶粒811a和812a,使得囊封材料852的頂表面與功能晶粒811a的頂表面和/或與功能晶粒812a的頂表面共平面。Block 760 may include, for example, grinding encapsulation material 852 and/or functional die 811a and 812a such that a top surface of encapsulation material 852 is coplanar with a top surface of functional die 811a and/or with a top surface of functional die 812a.

通常,方塊760可以包括研磨(或以其它方式減薄或平坦化)囊封材料。因此,本揭示的範圍不應受執行此類研磨(或減薄或平坦化)的任何特定方式的特徵的限制。Generally, block 760 may include grinding (or otherwise thinning or planarizing) the encapsulation material. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of performing such grinding (or thinning or planarizing).

實例方法700可以在方塊765處包括去除載體。方塊765可以包括以各種方式中的任何一種去除載體,本文提供了其非限制性實例。例如,方塊765可以與本文討論的任何載體去除製程(例如,與圖1的實例方法100的方塊145和/或方塊160、與圖3的實例方法300的方塊345和/或方塊360、與圖5的實例方法500的方塊565等)共享任何或所有特徵。在圖8K的實例800K中示出了方塊765的各種實例態樣。Example method 700 may include removing the carrier at block 765 . Block 765 may include removing the carrier in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 765 may be associated with any of the carrier removal processes discussed herein (eg, with block 145 and/or block 160 of the example method 100 of FIG. 1 , with block 345 and/or block 360 of the example method 300 of FIG. 3 , with FIG. Instances of 5, methods 500, blocks 565, etc.) share any or all characteristics. Various example aspects of block 765 are shown in example 800K of Figure 8K.

例如,圖8K的實例800K示出去除了第一載體821a(例如,與圖8J的實例800J相比)。方塊765可以包括以各種方式中的任何一種(例如,研磨、蝕刻、化學機械平坦化、剝離、剪切、熱釋放或雷射釋放等)執行此類載體去除。又例如,如果例如在方塊720處在RD結構846a的形成期間利用了黏合劑層,則方塊765可以包括去除黏合劑層。For example, example 800K of Figure 8K shows first carrier 821a removed (eg, compared to example 800J of Figure 8J). Block 765 may include performing such carrier removal in any of a variety of ways (eg, grinding, etching, chemical mechanical planarization, lift-off, shearing, thermal release or laser release, etc.). As another example, if an adhesive layer was utilized during the formation of RD structure 846a, such as at block 720, block 765 may include removing the adhesive layer.

應注意,在各種實例實施方案中,如本文關於圖1和3的實例方法100和300所示和所討論的,可以利用第二載體(例如,耦合到囊封材料852和/或耦合到功能晶粒811a和812a)。在其它實例實施方案中,可以利用各種工具結構代替載體。It should be noted that in various example embodiments, as shown and discussed herein with respect to the example methods 100 and 300 of FIGS. 1 and 3 , a second carrier (eg, coupled to the encapsulating material 852 and/or coupled to the functional Grains 811a and 812a). In other example embodiments, various tool structures may be utilized in place of the carrier.

通常,方塊765可以包括去除載體。因此,本揭示的範圍不應受去除載體的任何特定方式的特徵或任何特定類型的載體的特徵的限制。Generally, block 765 may include removing the carrier. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of removing the carrier or by the characteristics of any particular type of carrier.

實例方法700可以在方塊770處包括完成信號重分佈(RD)結構(例如,如果在方塊820處沒有完全形成RD結構846a)。方塊770可以包括以各種方式中的任何一種完成RD結構,本文提供了其非限制性實例。方塊770可以例如與方塊720(例如,關於方塊720的RD結構形成態樣)共享任何或所有特徵。在圖8L所示的實例800L中呈現了方塊770的各種態樣。The example method 700 may include completing the signal redistribution (RD) structure at block 770 (eg, if the RD structure 846a is not fully formed at block 820). Block 770 may include completing the RD structure in any of a variety of ways, non-limiting examples of which are provided herein. Block 770 may, for example, share any or all features with block 720 (eg, with respect to the RD structure forming aspect of block 720). Various aspects of block 770 are presented in example 800L shown in Figure 8L.

如本文所討論,例如,關於方塊720,可以在僅形成所需RD結構的一部分的情況下已經(但不必已經)接收(或製造或準備)載體。在此類實例情境中,方塊770可以包括完成RD結構的形成。As discussed herein, for example, with respect to block 720, the carrier may have been (but need not have been) received (or manufactured or prepared) forming only a portion of the desired RD structure. In such example scenarios, block 770 may include completing formation of the RD structure.

參考圖8L,方塊770可以包括在RD結構的第一部分846a(例如,在方塊720處已經接收或製造或準備RD結構的第一部分846a)上形成RD結構的第二部分846b。方塊770可以例如包括以與形成RD結構的第一部分846a相同的方式形成RD結構的第二部分846b。Referring to Figure 8L, block 770 may include forming a second portion 846b of the RD structure on the first portion 846a of the RD structure (eg, the first portion 846a of the RD structure that has been received or fabricated or prepared at block 720). Block 770 may, for example, include forming the second portion 846b of the RD structure in the same manner as forming the first portion 846a of the RD structure.

應注意,在各種實施方案中,RD結構的第一部分846a和RD結構的第二部分846b可以利用不同的材料和/或不同的製程形成。例如,RD結構的第一部分846a可以利用無機介電質層形成,而RD結構的第二部分846b可以利用有機介電質層形成。又例如,RD結構的第一部分846a可以形成為具有較細的間距(或較細的跡線等),而RD結構的第二部分846b可以形成為具有較粗的間距(或較粗的跡線等)。又例如,RD結構的第一部分846a可以利用後段製程(BEOL)半導體晶圓製造(fab)製程形成,而RD結構的第二部分846b可以利用後fab電子裝置封裝製程形成。另外,RD結構的第一部分846a和RD結構的第二部分846b可以形成在不同的地理位置處。It should be noted that in various embodiments, the first portion 846a of the RD structure and the second portion 846b of the RD structure may be formed using different materials and/or different processes. For example, the first portion 846a of the RD structure may be formed using an inorganic dielectric layer, while the second portion 846b of the RD structure may be formed using an organic dielectric layer. As another example, the first portion 846a of the RD structure may be formed with finer pitches (or thinner traces, etc.), while the second portion 846b of the RD structure may be formed with thicker pitches (or thicker traces, etc.) wait). As another example, the first portion 846a of the RD structure may be formed using a back-end-of-line (BEOL) semiconductor wafer fabrication (fab) process, and the second portion 846b of the RD structure may be formed using a post-fab electronic device packaging process. Additionally, the first portion 846a of the RD structure and the second portion 846b of the RD structure may be formed at different geographic locations.

與RD結構的第一部分846a一樣,RD結構的第二部分846b可以具有任意數量的介電質層和/或導電層。Like the first portion 846a of the RD structure, the second portion 846b of the RD structure may have any number of dielectric and/or conductive layers.

如本文所討論,可以在RD結構846b上形成互連結構。在此類實例實施方案中,方塊765可以包括在暴露的襯墊上形成凸塊下金屬化物(UBM),以增強此類互連結構的形成(或附接)。As discussed herein, interconnect structures may be formed on RD structure 846b. In such example embodiments, block 765 may include forming under-bump metallization (UBM) on the exposed pads to enhance the formation (or attachment) of such interconnect structures.

通常,方塊770可以包括完成信號重分佈(RD)結構。因此,本揭示的範圍不應受形成信號重分佈結構的任何特定方式的特徵或任何特定類型的信號分佈結構的特徵的限制。Generally, block 770 may include completing a signal redistribution (RD) structure. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of forming signal redistribution structures or by the characteristics of any particular type of signal distribution structure.

實例方法700可以在方塊775處包括在重分佈結構上形成互連結構。方塊775可以包括以各種方式中的任何一種形成互連結構,本文提供了其非限制性實例。例如,方塊775可以與本文討論的任何互連結構形成共享任何或所有特徵。Example method 700 may include forming an interconnect structure on the redistribution structure at block 775 . Block 775 may include forming an interconnect structure in any of a variety of ways, non-limiting examples of which are provided herein. For example, block 775 may share any or all features with any interconnect structure discussed herein.

在圖8M所示的實例800M中呈現了方塊775的各種實例態樣。實例互連結構852(例如,封裝互連結構等)可以包括各種互連結構中的任何一種的特徵。例如,封裝互連結構852可以包括導電球(例如,焊球等)、導電凸塊、導電柱、引線等。Various example aspects of block 775 are presented in example 800M shown in Figure 8M. Example interconnect structures 852 (eg, package interconnect structures, etc.) may include features of any of a variety of interconnect structures. For example, package interconnect structure 852 may include conductive balls (eg, solder balls, etc.), conductive bumps, conductive pillars, leads, etc.

方塊775可以包括以各種方式中的任何一種形成互連結構852。例如,可以將互連結構852黏貼和/或印刷在RD結構846b上(例如,黏貼和/或印刷到其相應的襯墊851和/或UBM),然後進行回焊。又例如,互連結構852(例如,導電球、導電凸塊、柱、引線等)可以在附接之前預先形成,然後例如經過回焊、電鍍、環氧、引線接合等附接到RD結構846b(例如,附接到其相應的襯墊851)。Block 775 may include forming interconnect structure 852 in any of a variety of ways. For example, interconnect structure 852 may be adhered and/or printed on RD structure 846b (eg, adhered and/or printed to its corresponding pad 851 and/or UBM) and then reflowed. As another example, interconnect structure 852 (e.g., conductive balls, conductive bumps, pillars, leads, etc.) may be pre-formed prior to attachment and then attached to RD structure 846b, such as via reflow, plating, epoxy, wire bonding, etc. (e.g., attached to its corresponding pad 851).

應注意的是,如上所述,RD結構846b的襯墊851可以由凸塊下金屬(UBM)或任何金屬化物形成以輔助互連結構852的形成(例如,構建、附接、耦合、沉積等)。例如,可以在方塊770和/或方塊775處執行此類UBM形成。It should be noted that, as discussed above, the pads 851 of the RD structure 846b may be formed from under-bump metallization (UBM) or any metallization to assist in the formation (e.g., build, attach, couple, deposit, etc.) of the interconnect structure 852 ). For example, such UBM formation may be performed at block 770 and/or block 775.

通常,方塊775可以包括在重分佈結構上形成互連結構。因此,本揭示的範圍不應受形成此類互連結構的任何特定方式的特徵或互連結構的任何特定特徵的限制。Generally, block 775 may include forming interconnect structures on the redistribution structure. Accordingly, the scope of the present disclosure should not be limited by the characteristics of any particular manner of forming such interconnect structures or by any particular characteristics of the interconnect structures.

實例方法700可以在方塊780處包括單粒化切割。方塊780可以包括以各種方式中的任何一種執行此類單粒化切割,本文討論了其非限制性實例。方塊780可以例如與本文討論的任何單粒化切割(例如,如關於圖1的實例方法100的方塊165所討論、如關於圖3的實例方法300的方塊365所討論、如關於圖5的實例方法500的方塊580所討論等)共享任何或所有特徵。Example method 700 may include singulation cutting at block 780 . Block 780 may include performing such singulation in any of a variety of ways, non-limiting examples of which are discussed herein. Block 780 may, for example, be associated with any of the singulation cuts discussed herein (eg, as discussed with respect to block 165 of the example method 100 of FIG. 1 , as discussed with respect to block 365 of the example method 300 of FIG. 3 , as with respect to the example of FIG. 5 (discussed in block 580 of method 500, etc.) share any or all characteristics.

在圖8N所示的實例800N中呈現了方塊780的各種實例態樣。單粒化切割的結構(例如,對應於囊封材料部分852a)可以例如與圖2L的單粒化切割的結構(例如,對應於兩個囊封材料部分226a和226b)、與圖4L的單粒化切割的結構(例如,對應於兩個囊封材料部分426a和426b)、與圖6M的單粒化切割的結構600M等共享任何或所有特徵。Various example aspects of block 780 are presented in example 800N shown in Figure 8N. The singulated cut structure (eg, corresponding to the encapsulating material portion 852a) may be the same as the singulated cut structure of FIG. 2L (eg, corresponding to the two encapsulating material portions 226a and 226b), or the same as the singulated cut structure of FIG. 4L. The granulated cut structure (eg, corresponding to the two encapsulating material portions 426a and 426b) shares any or all features with the single granulated cut structure 600M of FIG. 6M, and the like.

通常,方塊780可以包括切割。因此,本揭示的範圍不應受切割的任何特定方式的特徵的限制。Generally, block 780 may include cutting. Accordingly, the scope of the present disclosure should not be limited by the characterization of any particular manner of cutting.

實例方法700可以在方塊790處包括執行繼續處理。此類繼續處理可以包括各種特徵中的任何一種,本文提供了其非限制性實例。例如,方塊790可以與圖1的實例方法100的方塊190、與圖3的實例方法300的方塊390、與圖5的實例方法500的方塊590等共享任何或所有特徵。Example method 700 may include performing continuation processing at block 790 . Such continued processing may include any of a variety of features, non-limiting examples of which are provided herein. For example, block 790 may share any or all features with block 190 of the example method 100 of FIG. 1 , with block 390 of the example method 300 of FIG. 3 , with block 590 of the example method 500 of FIG. 5 , etc.

例如,方塊790可以包括將實例方法700的執行流程返回到其任何方塊。又例如,方塊790可以包括將實例方法700的執行流程引導到本文討論的任何其它方法方塊(或步驟)(例如,關於圖1的實例方法100、圖3的實例方法300、圖5的實例方法500等)。For example, block 790 may include returning execution flow of example method 700 to any block thereof. As another example, block 790 may include directing execution flow of the example method 700 to any other method block (or step) discussed herein (e.g., with respect to the example method 100 of FIG. 1 , the example method 300 of FIG. 3 , the example method of FIG. 5 500 etc.).

又例如,如圖2O的實例200O、圖2P的實例200P和圖2Q的實例200Q所示,方塊790可以包括形成囊封材料和/或底部填充物(或跳過形成囊封材料和/或底部填充物)。As another example, as shown in example 200O of FIG. 2O , example 200P of FIG. 2P , and example 200Q of FIG. 2Q , block 790 may include forming the encapsulation material and/or underfill (or skip forming the encapsulation material and/or underfill). filling).

如本文所討論,功能晶粒和連接晶粒可以例如以多晶片模塊配置安裝到基板。在圖9和10中示出此類配置的非限制性實例。As discussed herein, functional dies and connection dies may be mounted to a substrate, for example, in a multi-die module configuration. Non-limiting examples of such configurations are shown in Figures 9 and 10.

圖9示出根據本揭示內容的各種態樣的實例電子裝置900的俯視圖。實例電子裝置900可以例如與本文討論的任何或所有電子裝置共享任何或所有特徵。例如,功能晶粒911和912可以與本文討論的任何或所有功能晶粒(211、212、201-204、411、412、401-404、611a、612a、811a、812a等)共享任何或所有特徵。又例如,連接晶粒916可以與本文討論的任何或所有連接晶粒(216a、216b、216c、290a、290b、416a、416b、616b、816b等)共享任何或所有特徵。另外,例如,基板930可以與本文討論的任何或所有基板和/或RD結構(288、488、646、846、896等)共享任何或所有特徵。9 illustrates a top view of an example electronic device 900 in accordance with various aspects of the present disclosure. Example electronic device 900 may, for example, share any or all features with any or all electronic devices discussed herein. For example, functional dies 911 and 912 may share any or all characteristics with any or all of the functional dies discussed herein (211, 212, 201-204, 411, 412, 401-404, 611a, 612a, 811a, 812a, etc.) . As another example, connection die 916 may share any or all characteristics with any or all connection dies discussed herein (216a, 216b, 216c, 290a, 290b, 416a, 416b, 616b, 816b, etc.). Additionally, for example, substrate 930 may share any or all features with any or all substrates and/or RD structures discussed herein (288, 488, 646, 846, 896, etc.).

圖10示出根據本揭示內容的各種態樣的實例電子裝置的俯視圖。實例電子裝置1000可以例如與本文討論的任何或所有電子裝置共享任何或所有特徵。例如,功能晶粒(功能晶粒1到功能晶粒10)可以與本文討論的任何或所有功能晶粒(211、212、201-204、411、412、401-404、611a、612a、811a、812a、911、912等)共享任何或所有特徵。又例如,連接晶粒(連接晶粒1到連接晶粒10)可以與本文討論的任何或所有連接晶粒(216a、216b、216c、290a、290b、416a、416b、616b、816b、916等)共享任何或所有特徵。另外,例如,基板1030可以與本文討論的任何或所有基板和/或RD結構(288、488、646、846、896、930等)共享任何或所有特徵。10 illustrates a top view of an example electronic device in accordance with various aspects of the present disclosure. Example electronic device 1000 may, for example, share any or all features with any or all electronic devices discussed herein. For example, functional die (functional die 1 through functional die 10) may be associated with any or all of the functional die discussed herein (211, 212, 201-204, 411, 412, 401-404, 611a, 612a, 811a, 812a, 911, 912, etc.) share any or all characteristics. As another example, the connection dies (connection die 1 through connection die 10) may be associated with any or all of the connection dies discussed herein (216a, 216b, 216c, 290a, 290b, 416a, 416b, 616b, 816b, 916, etc.) Share any or all characteristics. Additionally, for example, substrate 1030 may share any or all features with any or all substrates and/or RD structures discussed herein (288, 488, 646, 846, 896, 930, etc.).

儘管本文討論的圖示通常包括兩個功能晶粒之間的連接晶粒,但是本揭示內容的範圍不限於此。例如,如圖10所示,連接晶粒9連接到三個功能晶粒(例如,功能晶粒2、功能晶粒9和功能晶粒10),例如將每個此類功能晶粒彼此電連接。因此,單個連接晶粒可以耦合多個功能晶粒(例如,兩個功能晶粒、三個功能晶粒、四個功能晶粒等)。Although the illustrations discussed herein generally include connecting dies between two functional dies, the scope of the present disclosure is not limited thereto. For example, as shown in Figure 10, connection die 9 is connected to three functional die (eg, functional die 2, functional die 9, and functional die 10), such as each such functional die being electrically connected to each other . Therefore, a single connection die can couple multiple functional die (eg, two functional die, three functional die, four functional die, etc.).

另外,儘管本文討論的圖示通常包括僅連接到一個連接晶粒的功能晶粒,但是本揭示的範圍不限於此。例如,單個功能晶粒可以連接到兩個或更多個連接晶粒。例如,如圖10所示,功能晶粒1經由許多相應的連接晶粒連接到許多其它功能晶粒。Additionally, although the illustrations discussed herein generally include functional dies connected to only one connection die, the scope of the present disclosure is not limited in this regard. For example, a single functional die can be connected to two or more connecting dies. For example, as shown in Figure 10, functional die 1 is connected to many other functional die via many corresponding connection die.

本文的討論包含許多說明性附圖,其示出了半導體裝置組件(或封裝)和/或其製造方法的各個部分。為了清楚地說明,這些附圖未示出每個實例組件的所有態樣。本文呈現的任何實例組件可以與本文呈現的任何或所有其它組件共享任何或所有特徵。The discussion herein contains a number of illustrative figures illustrating various portions of a semiconductor device assembly (or package) and/or a method of fabricating the same. For purposes of clarity of illustration, the drawings do not show all aspects of each example component. Any example component presented herein may share any or all characteristics with any or all other components presented herein.

總之,本揭示內容的各種態樣提供了一種半導體封裝結構和用於製造半導體封裝的方法。作為非限制性實例,本揭示內容的各種態樣提供各種半導體封裝結構和其製造方法,所述半導體封裝結構包括在多個其它半導體晶粒之間按特定路線發送電信號的連接晶粒。儘管已經參考某些態樣和實例描述了前述內容,但是所屬領域的技術人員將理解,在不脫離本揭示內容的範圍的情況下,可以進行各種改變並且可以替換等同物。另外,在不脫離本揭示內容的範圍的情況下,可以做出許多修改以使特定情況或材料適應本發明的教示。因此,希望本揭示內容不限於所揭示內容的特定實例,而是本揭示內容將包含落入所附請求項的範圍內的所有實例。In summary, aspects of the present disclosure provide a semiconductor package structure and methods for fabricating semiconductor packages. As non-limiting examples, aspects of the present disclosure provide various semiconductor package structures including connection dies that route electrical signals between multiple other semiconductor dies and methods of fabricating the same. Although the foregoing has been described with reference to certain aspects and examples, those skilled in the art will understand that various changes may be made and equivalents may be substituted without departing from the scope of the disclosure. In addition, many modifications may be made to adapt a particular situation or material to the teachings of the invention without departing from the scope of the disclosure. Therefore, it is intended that this disclosure not be limited to the particular examples disclosed, but that this disclosure will include all examples falling within the scope of the appended claims.

100:實例方法/方法 105:方塊 110:方塊 115:方塊 120:方塊 125:方塊 130:方塊 135:方塊 140:方塊 145:方塊 150:方塊 155:方塊 160:方塊 165:方塊 170:方塊 175:方塊 190:方塊 200A-1:實例 200A-2:實例 200A-3:實例/實例晶圓 200A-4:實例 200B-1:實例/晶圓 200B-2:實例 200B-3:實例 200B-4:實例 200B-5:實例 200B-6:實例 200B-7:實例 200C:實例 200D:實例 200E:實例 200F:實例 200G:實例 200H:實例 200I:實例 200J:實例 200K:實例 200L:實例 200M:實例 200N:實例 200O:實例/實例實施方案 200P:實例/實例實施方案 200Q:實例/實例實施方案 201:功能晶粒 202:功能晶粒 203:功能晶粒 204:功能晶粒 211:實例晶粒/功能晶粒/第一功能晶粒/第一晶粒 212:實例晶粒/功能晶粒/第二功能晶粒/第二晶粒 213:晶粒互連結構/第一晶粒互連結構 214:晶粒互連結構/第二晶粒互連結構 216a:實例連接晶粒/連接晶粒 216b:薄連接晶粒/連接晶粒 216c:連接晶粒 217:連接晶粒互連結構 217b:連接晶粒互連結構 221:載體 223:黏合劑材料/黏合劑層/底部填充物 224:底部填充物 225:囊封材料 226:囊封材料 226’:囊封材料 226a:囊封材料部分 226b:囊封材料部分 231:第二載體 288:基板 290:支撐層 290a:支撐層 290b:支撐層 291:基礎介電質層 291b:基礎介電質層 292:第一導電跡線 292b:第一導電跡線 293:第一介電質層 293b:第一介電質層 294:導電通孔 294b:導電通孔 295:第二導電跡線 295b:第二導電跡線 296:第二介電質層 296b:第二介電質層 298:重分佈(RD)結構 298b:重分佈(RD)結構 299:第二組連接晶粒互連結構/第二連接晶粒互連結構 300:實例方法/方法 305:方塊 310:方塊 315:方塊 320:方塊 325:方塊 330:方塊 335:方塊 340:方塊 345:方塊 347:方塊 350:方塊 355:方塊 360:方塊 365:方塊 370:方塊 375:方塊 390:方塊 400A-1:實例 400A-2:實例 400A-3:實例 400A-4:實例 400B-1:實例 400B-2:實例 400C:實例 400D:實例 400E:實例 400F:實例 400G:實例 400H:實例 400H-2:實例/實例實施方案 400I:實例 400J:實例 400K:實例 400L:實例 400M:實例 400N:實例 401:功能晶粒 402:功能晶粒 403:功能晶粒 404:功能晶粒 411:功能晶粒 412:功能晶粒 413:第一晶粒互連結構 414:第二晶粒互連結構 416a:連接晶粒 416b:連接晶粒 417:連接晶粒互連結構/鈍化層 421:載體 423:黏合劑/底部填充劑 424:底部填充物 426:囊封材料 426’:囊封材料 426a:囊封材料部分 426b:囊封材料部分 431:第二載體 488:基板 500:實例方法/方法 505:方塊 510:方塊 515:方塊 520:方塊 525:方塊 530:方塊 535:方塊 540:方塊 545:方塊 550:方塊 555:方塊 560:方塊 565:方塊 570:方塊 575:方塊 580:方塊 590:方塊 600A:實例 600B:實例 600C:實例 600D:實例 600E:實例 600F:實例 600G:實例 600H:實例 600I:實例 600J:實例 600K:實例 600L:實例 600M:實例 611a:第一功能晶粒 612a:第二功能晶粒 614:柱/互連結構 616b:連接晶粒 617:連接晶粒互連結構/互連結構 621a:塊狀載體 646a:重分佈(RD)結構 646b:RD結構 647:介電質層 648:導電層 651:囊封材料 651’:囊封材料 652:輔助互連結構 652’:囊封材料 652a:囊封材料部分 661:底部填充材料 700:實例方法/方法 705:方塊 710:方塊 715:方塊 720:方塊 725:方塊 730:方塊 735:方塊 740:方塊 742:方塊 745:方塊 750:方塊 755:方塊 760:方塊 765:方塊 770:方塊 775:方塊 780:方塊 790:方塊 800A:實例 800B:實例 800C:實例 800D:實例 800E:實例 800F:實例 800G:實例 800H:實例 800I:實例 800J:實例 800K:實例 800L:實例 800M:實例 800N:實例 811a:功能晶粒 812a:功能晶粒 814:垂直互連結構 816b:連接晶粒 817:連接晶粒互連結構 821a:塊狀載體 846a:重分佈(RD)結構/第一部分 846b:重分佈(RD)結構/第二部分 847:介電質層 848:導電層 851:囊封材料 851’:囊封材料 852:囊封材料 852’:囊封材料 852a:囊封材料部分 861:底部填充材料 896:第二重分佈(RD)結構 897:介電質層 898:導電層 900:實例電子裝置 911:功能晶粒 912:功能晶粒 916:連接晶粒 930:RD結構 1000:實例電子裝置 1030:基板 100:Instance method/method 105:square 110: Square 115:square 120:square 125:square 130:block 135:square 140:block 145:square 150:block 155:block 160:block 165:square 170:block 175:block 190:block 200A-1:Examples 200A-2:Examples 200A-3: Example/Example Wafer 200A-4:Examples 200B-1: Example/Wafer 200B-2:Examples 200B-3:Examples 200B-4:Examples 200B-5:Examples 200B-6:Examples 200B-7:Examples 200C:Example 200D:Example 200E:Example 200F:Example 200G:Instance 200H:Example 200I:Example 200J:Example 200K:Instances 200L:Example 200M:Instance 200N:Example 200O: Example/Example Implementation Plan 200P: Example/Example Implementation Plan 200Q: Example/Example Implementation Plan 201: Functional grain 202: Functional grain 203: Functional grain 204: Functional grain 211: Instance die/functional die/first functional die/first die 212: Instance die/Function die/Second function die/Second die 213: Die interconnection structure/first die interconnection structure 214: Die interconnection structure/second die interconnection structure 216a: Instance connection die/connection die 216b: Thin connecting die/connecting die 216c: connecting die 217: Connecting die interconnect structure 217b: Connecting die interconnect structure 221: Carrier 223: Adhesive material/adhesive layer/underfill 224: Bottom filling 225: Encapsulation material 226: Encapsulation material 226’: encapsulation material 226a: Encapsulation material part 226b: Encapsulation material part 231:Second carrier 288:Substrate 290:Support layer 290a: Support layer 290b: Support layer 291:Basic dielectric layer 291b: Basic dielectric layer 292: First conductive trace 292b: First conductive trace 293: First dielectric layer 293b: first dielectric layer 294:Conductive via 294b: Conductive via 295: Second conductive trace 295b: Second conductive trace 296: Second dielectric layer 296b: Second dielectric layer 298:Redistribution (RD) structure 298b: Redistribution (RD) structure 299: The second group of connected die interconnect structures/second connected die interconnect structures 300:Instance method/method 305: Square 310:block 315:square 320:block 325:square 330:block 335:block 340:block 345:block 347:Block 350:block 355:block 360:block 365:block 370:block 375:block 390:block 400A-1: Example 400A-2: Example 400A-3: Example 400A-4: Example 400B-1: Example 400B-2:Example 400C: Example 400D:Example 400E:Example 400F:Example 400G:Instance 400H: Example 400H-2: Example/Example Implementation Plan 400I:Instance 400J:Example 400K:Instances 400L:Example 400M:Instance 400N:Example 401: Functional grain 402: Functional grain 403: Functional grain 404: Functional grain 411: Functional grain 412: Functional grain 413: First die interconnect structure 414: Second die interconnection structure 416a: connecting die 416b: connecting die 417: Connecting die interconnect structure/passivation layer 421: Carrier 423: Adhesive/underfill 424: Bottom filling 426: Encapsulation material 426’: Encapsulation material 426a: Encapsulation material part 426b: Encapsulation material part 431:Second carrier 488:Substrate 500:Instance method/method 505:block 510:block 515:square 520:block 525:square 530:block 535:block 540:block 545:block 550:block 555:block 560:block 565:block 570:block 575:block 580:block 590:block 600A:Example 600B:Example 600C: Example 600D:Example 600E:Example 600F:Example 600G:Instance 600H: Example 600I:Instance 600J:Example 600K:Instances 600L:Example 600M:Instance 611a: First functional grain 612a: Second functional grain 614: Column/Interconnect Structure 616b: connecting die 617: Connection die interconnection structure/interconnection structure 621a: Block carrier 646a: Redistribution (RD) structure 646b:RD structure 647: Dielectric layer 648: Conductive layer 651: Encapsulation material 651’: Encapsulation material 652: Auxiliary interconnection structure 652’: Encapsulation material 652a: Encapsulation material part 661: Bottom filling material 700:Instance method/method 705:block 710:block 715:block 720:block 725:block 730:block 735:block 740:block 742:block 745:block 750:block 755:block 760:block 765:block 770:block 775:block 780:block 790:block 800A: Example 800B:Example 800C: Example 800D:Example 800E:Example 800F:Example 800G:Instance 800H: Example 800I:Instance 800J:Example 800K:Instance 800L:Example 800M:Instance 800N:Example 811a: Functional grain 812a: Functional grain 814:Vertical interconnect structure 816b: connecting die 817: Connecting die interconnect structure 821a: Block carrier 846a: Redistribution (RD) Structure/Part 1 846b: Redistribution (RD) Structure/Part 2 847:Dielectric layer 848: Conductive layer 851: Encapsulation material 851’: Encapsulation material 852: Encapsulation material 852’: Encapsulation material 852a: Encapsulation material part 861: Bottom filling material 896: Second redistribution (RD) structure 897:Dielectric layer 898: Conductive layer 900:Example electronic device 911: Functional grain 912: Functional grain 916: Connect the die 930:RD structure 1000:Example electronic device 1030:Substrate

[圖1]示出根據本揭示內容的各種態樣的製造電子裝置的實例方法的流程圖。[FIG. 1] A flowchart illustrating an example method of manufacturing an electronic device according to various aspects of the present disclosure.

[圖2A至圖2Q]示出的橫截面圖示出根據本揭示內容的各種態樣的實例電子裝置和製造實例電子裝置的實例方法。[FIGS. 2A-2Q] illustrate cross-sectional views illustrating example electronic devices and example methods of manufacturing the example electronic devices in accordance with various aspects of the present disclosure.

[圖3]示出根據本揭示內容的各種態樣的製造電子裝置的實例方法的流程圖。[FIG. 3] A flowchart illustrating an example method of manufacturing an electronic device according to various aspects of the present disclosure.

[圖4A至圖4N]示出的橫截面圖示出根據本揭示內容的各種態樣的實例電子裝置和製造實例電子裝置的實例方法。[FIGS. 4A-4N] illustrate cross-sectional views illustrating example electronic devices and example methods of manufacturing the example electronic devices in accordance with various aspects of the present disclosure.

[圖5]示出根據本揭示內容的各種態樣的製造電子裝置的實例方法的流程圖。[Fig. 5] A flowchart illustrating an example method of manufacturing an electronic device according to various aspects of the present disclosure.

[圖6A至圖6M]示出的橫截面圖示出根據本揭示內容的各種態樣的實例電子裝置和製造實例電子裝置的實例方法。[FIGS. 6A-6M] illustrate cross-sectional views illustrating example electronic devices and example methods of fabricating the example electronic devices in accordance with various aspects of the present disclosure.

[圖7]示出根據本揭示內容的各種態樣的製造電子裝置的實例方法的流程圖。[Fig. 7] A flowchart illustrating an example method of manufacturing an electronic device according to various aspects of the present disclosure.

[圖8A圖8N]示出的橫截面圖示出根據本揭示內容的各種態樣的實例電子裝置和製造實例電子裝置的實例方法。[FIG. 8A-FIG. 8N] illustrate cross-sectional views illustrating example electronic devices and example methods of manufacturing the example electronic devices in accordance with various aspects of the present disclosure.

[圖9]示出根據本揭示內容的各種態樣的實例電子裝置的俯視圖。[Fig. 9] A top view showing an example electronic device according to various aspects of the present disclosure.

[圖10]示出根據本揭示內容的各種態樣的實例電子裝置的俯視圖。[Fig. 10] A top view showing an example electronic device according to various aspects of the present disclosure.

200Q:實例/實例實施方案 200Q: Example/Example Implementation Plan

201:功能晶粒 201: Functional grain

202:功能晶粒 202: Functional grain

213:晶粒互連結構/第一晶粒互連結構 213: Die interconnection structure/first die interconnection structure

214:晶粒互連結構/第二晶粒互連結構 214: Die interconnection structure/second die interconnection structure

216b:薄連接晶粒/連接晶粒 216b: Thin connecting die/connecting die

225:囊封材料 225: Encapsulation material

288:基板 288:Substrate

299:第二組連接晶粒互連結構/第二連接晶粒互連結構 299: The second group of connected die interconnect structures/second connected die interconnect structures

Claims (20)

一種電子裝置,其包括:第一信號重分佈結構;多個第一垂直互連結構,其在所述第一信號重分佈結構的第一面上;連接晶粒,其包括背面和正面,其中所述背面面向且耦合到所述第一信號重分佈結構的所述第一面;多個第一連接晶粒互連結構,其耦合到所述連接晶粒的所述正面;第二信號重分佈結構,其包括第一側和與所述第一側相對的第二側,其中所述第二信號重分佈結構的所述第二側在所述第一垂直互連結構上且在所述第一連接晶粒互連結構上;以及多個第一導電襯墊和多個第二導電襯墊,其在所述第二信號重分佈結構的所述第一側上,其中所述第二導電襯墊以比所述第一導電襯墊還精細的間距排列。 An electronic device comprising: a first signal redistribution structure; a plurality of first vertical interconnect structures on a first side of the first signal redistribution structure; a connection die including a backside and a frontside, wherein The backside faces and is coupled to the first side of the first signal redistribution structure; a plurality of first connection die interconnect structures coupled to the front side of the connection die; a second signal redistribution structure A distribution structure including a first side and a second side opposite the first side, wherein the second side of the second signal redistribution structure is on the first vertical interconnect structure and on the on a first connection die interconnect structure; and a plurality of first conductive pads and a plurality of second conductive pads on the first side of the second signal redistribution structure, wherein the second The conductive pads are arranged at a finer pitch than the first conductive pads. 根據請求項1所述的電子裝置,其包括第一囊封材料,所述第一囊封材料覆蓋所述第一信號重分佈結構的所述第一面並且橫向地圍繞所述多個第一垂直互連結構和所述多個第一連接晶粒互連結構。 The electronic device according to claim 1, comprising a first encapsulation material covering the first side of the first signal redistribution structure and laterally surrounding the plurality of first Vertical interconnect structures and the plurality of first connection die interconnect structures. 根據請求項2所述的電子裝置,其中所述第一囊封材料橫向地圍繞所述連接晶粒。 The electronic device of claim 2, wherein the first encapsulation material laterally surrounds the connection die. 根據請求項2所述的電子裝置,其中所述第一囊封材料的第一面與所述多個第一垂直互連結構的多個端面和所述多個第一連接晶粒互連結構的多個端面共平面。 The electronic device according to claim 2, wherein the first side of the first encapsulation material and the plurality of end surfaces of the plurality of first vertical interconnect structures and the plurality of first connection die interconnect structures The multiple end faces are coplanar. 根據請求項4所述的電子裝置,其中所述第一信號重分佈結構的第一側面、所述第二信號重分佈結構的第一側面以及所述第一囊封材料的第一側面共平面。 The electronic device according to claim 4, wherein the first side of the first signal redistribution structure, the first side of the second signal redistribution structure and the first side of the first encapsulation material are coplanar. . 根據請求項4所述的電子裝置,其包括第二囊封材料,所述第二囊封材料覆蓋所述第二信號重分佈結構。 The electronic device according to claim 4, comprising a second encapsulation material covering the second signal redistribution structure. 根據請求項6所述的電子裝置,其中所述第一信號重分佈結構的第一側面、所述第二信號重分佈結構的第一側面、所述第一囊封材料的第一側面以及所述第二囊封材料的第一側面共平面。 The electronic device according to claim 6, wherein the first side of the first signal redistribution structure, the first side of the second signal redistribution structure, the first side of the first encapsulation material and the The first sides of the second encapsulating material are coplanar. 根據請求項1所述的電子裝置,其中所述連接晶粒的所述背面不含電連接並且耦合到所述第一信號重分佈結構的導電層。 The electronic device of claim 1, wherein the backside of the connection die contains no electrical connections and is coupled to the conductive layer of the first signal redistribution structure. 根據請求項1所述的電子裝置,其中所述連接晶粒的至少一部分在所述第一信號重分佈結構的最頂表面上方。 The electronic device of claim 1, wherein at least a portion of the connection die is above a topmost surface of the first signal redistribution structure. 根據請求項1所述的電子裝置,其中直接垂直地處於所述第一信號重分佈結構與所述第二信號重分佈結構之間的體積不含主動電子部件。 The electronic device of claim 1, wherein the volume directly vertically between the first signal redistribution structure and the second signal redistribution structure does not contain active electronic components. 根據請求項1所述的電子裝置,其中所述第一信號重分佈結構和所述第二信號重分佈結構是無芯的。 The electronic device of claim 1, wherein the first signal redistribution structure and the second signal redistribution structure are coreless. 根據請求項1所述的電子裝置,其中所述連接晶粒是晶圓或連接晶粒面板的一部分。 The electronic device according to claim 1, wherein the connection die is a part of a wafer or a connection die panel. 根據請求項1所述的電子裝置,其進一步包括第二連接晶粒,所述第二連接晶粒耦合到所述第一信號重分佈結構的所述第一面。 The electronic device of claim 1, further comprising a second connection die coupled to the first side of the first signal redistribution structure. 根據請求項1所述的電子裝置,其中所述連接晶粒包括沒有主動電子部件且沒有被動電子部件的電性路由電路。 The electronic device of claim 1, wherein the connection die includes an electrical routing circuit without active electronic components and without passive electronic components. 根據請求項1所述的電子裝置,其中所述連接晶粒包括被動電子部件。 The electronic device of claim 1, wherein the connection die includes passive electronic components. 根據請求項15所述的電子裝置,其中所述被動電子部件是電阻器。 The electronic device of claim 15, wherein the passive electronic component is a resistor. 根據請求項15所述的電子裝置,其中所述被動電子部件是電容 器或電感器。 The electronic device of claim 15, wherein the passive electronic component is a capacitor device or inductor. 根據請求項15所述的電子裝置,其中所述被動電子部件是整合式被動裝置。 The electronic device of claim 15, wherein the passive electronic component is an integrated passive device. 根據請求項1所述的電子裝置,其中所述連接晶粒不包含主動電子部件。 The electronic device of claim 1, wherein the connection die does not contain active electronic components. 根據請求項1所述的電子裝置,其中所述第一信號重分佈結構包括:第一結構,其包括一個或多個第一介電層和一個或多個第一導電層;以及第二結構,其包括一個或多個第二介電層和一個或多個第二導電層,所述一個或多個第二導電層具有比所述第一結構的所述一個或多個第一導電層更粗的間距。 The electronic device according to claim 1, wherein the first signal redistribution structure includes: a first structure including one or more first dielectric layers and one or more first conductive layers; and a second structure , which includes one or more second dielectric layers and one or more second conductive layers, the one or more second conductive layers having a higher density than the one or more first conductive layers of the first structure. Thicker spacing.
TW111123848A 2018-12-07 2019-11-25 Semiconductor package and fabricating method thereof TWI815521B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/213,769 2018-12-07
US16/213,769 US10497674B2 (en) 2016-01-27 2018-12-07 Semiconductor package and fabricating method thereof

Publications (2)

Publication Number Publication Date
TW202240720A TW202240720A (en) 2022-10-16
TWI815521B true TWI815521B (en) 2023-09-11

Family

ID=71023329

Family Applications (3)

Application Number Title Priority Date Filing Date
TW112131724A TW202401593A (en) 2018-12-07 2019-11-25 Semiconductor package and fabricating method thereof
TW111123848A TWI815521B (en) 2018-12-07 2019-11-25 Semiconductor package and fabricating method thereof
TW108142689A TWI770440B (en) 2018-12-07 2019-11-25 Semiconductor package and fabricating method thereof

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW112131724A TW202401593A (en) 2018-12-07 2019-11-25 Semiconductor package and fabricating method thereof

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108142689A TWI770440B (en) 2018-12-07 2019-11-25 Semiconductor package and fabricating method thereof

Country Status (3)

Country Link
KR (1) KR102373804B1 (en)
CN (1) CN111293112B (en)
TW (3) TW202401593A (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11424191B2 (en) * 2020-06-30 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
WO2022252087A1 (en) * 2021-05-31 2022-12-08 Huawei Technologies Co., Ltd. Method of manufacturing active reconstructed wafers
WO2023019516A1 (en) * 2021-08-19 2023-02-23 华为技术有限公司 Chip package structure and electronic apparatus

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110175235A1 (en) * 2010-01-21 2011-07-21 Shinko Electric Industries Co., Ltd. Wiring substrate and semiconductor apparatus including the wiring substrate
US20140210109A1 (en) * 2013-01-31 2014-07-31 Shinko Electric Industries Co., Ltd. Built-in electronic component substrate and method for manufacturing the substrate
US20170271307A1 (en) * 2016-01-27 2017-09-21 Amkor Technology, Inc. Semiconductor package and fabricating method thereof
TW201814843A (en) * 2016-10-06 2018-04-16 美光科技公司 Semiconductor package utilizing embedded bridge through-silicon-via interconnect component

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7868440B2 (en) * 2006-08-25 2011-01-11 Micron Technology, Inc. Packaged microdevices and methods for manufacturing packaged microdevices
US8064224B2 (en) 2008-03-31 2011-11-22 Intel Corporation Microelectronic package containing silicon patches for high density interconnects, and method of manufacturing same
US9236366B2 (en) 2012-12-20 2016-01-12 Intel Corporation High density organic bridge device and method
US9349703B2 (en) * 2013-09-25 2016-05-24 Intel Corporation Method for making high density substrate interconnect using inkjet printing
US9275955B2 (en) * 2013-12-18 2016-03-01 Intel Corporation Integrated circuit package with embedded bridge
US20150364422A1 (en) * 2014-06-13 2015-12-17 Apple Inc. Fan out wafer level package using silicon bridge
US20160141234A1 (en) * 2014-11-17 2016-05-19 Qualcomm Incorporated Integrated device package comprising silicon bridge in photo imageable layer
US9633939B2 (en) * 2015-02-23 2017-04-25 Amkor Technology, Inc. Semiconductor package and manufacturing method thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110175235A1 (en) * 2010-01-21 2011-07-21 Shinko Electric Industries Co., Ltd. Wiring substrate and semiconductor apparatus including the wiring substrate
US20140210109A1 (en) * 2013-01-31 2014-07-31 Shinko Electric Industries Co., Ltd. Built-in electronic component substrate and method for manufacturing the substrate
US20170271307A1 (en) * 2016-01-27 2017-09-21 Amkor Technology, Inc. Semiconductor package and fabricating method thereof
TW201814843A (en) * 2016-10-06 2018-04-16 美光科技公司 Semiconductor package utilizing embedded bridge through-silicon-via interconnect component

Also Published As

Publication number Publication date
KR102373804B1 (en) 2022-03-14
KR20200071014A (en) 2020-06-18
CN111293112A (en) 2020-06-16
TW202401593A (en) 2024-01-01
TW202240720A (en) 2022-10-16
TW202105534A (en) 2021-02-01
CN111293112B (en) 2024-05-03
TWI770440B (en) 2022-07-11

Similar Documents

Publication Publication Date Title
US10784232B2 (en) Semiconductor package and fabricating method thereof
US10312220B2 (en) Semiconductor package and fabricating method thereof
TWI652778B (en) Semiconductor package and method of manufacturing same
TWI765520B (en) Semiconductor package and manufacturing method thereof
TWI832448B (en) Semiconductor device and manufacturing method thereof
CN107808870B (en) Redistribution layer in semiconductor packages and methods of forming the same
TWI819767B (en) Semiconductor package and fabricating method thereof
US11728249B2 (en) Semiconductor package and method
TWI749088B (en) Manufacturing method of semiconductor device
TWI815521B (en) Semiconductor package and fabricating method thereof
TWI789726B (en) Package structure and manufacturing method thereof
US11676941B2 (en) Semiconductor package and fabricating method thereof
KR101982905B1 (en) Semiconductor package and fabricating method thereof
US20230154893A1 (en) Semiconductor package and fabricating method thereof
TW202234606A (en) Semiconductor package and manufacturing method thereof
TW202234598A (en) Semiconductor package and fabricating method thereof
CN220934063U (en) Integrated circuit package
TW202320262A (en) Semiconductor device and method of manufacturing thereof
TW202407917A (en) Semiconductor package and fabricating method thereof