TWI809027B - Radio frequency device and antenna module - Google Patents

Radio frequency device and antenna module Download PDF

Info

Publication number
TWI809027B
TWI809027B TW108100854A TW108100854A TWI809027B TW I809027 B TWI809027 B TW I809027B TW 108100854 A TW108100854 A TW 108100854A TW 108100854 A TW108100854 A TW 108100854A TW I809027 B TWI809027 B TW I809027B
Authority
TW
Taiwan
Prior art keywords
patch
feed
radio frequency
antenna module
horizontal direction
Prior art date
Application number
TW108100854A
Other languages
Chinese (zh)
Other versions
TW201931667A (en
Inventor
崔斗碩
丙學 趙
許丞璨
Original Assignee
南韓商三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星電子股份有限公司 filed Critical 南韓商三星電子股份有限公司
Publication of TW201931667A publication Critical patent/TW201931667A/en
Application granted granted Critical
Publication of TWI809027B publication Critical patent/TWI809027B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q21/00Antenna arrays or systems
    • H01Q21/06Arrays of individually energised antenna units similarly polarised and spaced apart
    • H01Q21/061Two dimensional planar arrays
    • H01Q21/065Patch antenna array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/2208Supports; Mounting means by structural association with other equipment or articles associated with components used in interrogation type services, i.e. in systems for information exchange between an interrogator/reader and a tag/transponder, e.g. in Radio Frequency Identification [RFID] systems
    • H01Q1/2225Supports; Mounting means by structural association with other equipment or articles associated with components used in interrogation type services, i.e. in systems for information exchange between an interrogator/reader and a tag/transponder, e.g. in Radio Frequency Identification [RFID] systems used in active tags, i.e. provided with its own power source or in passive tags, i.e. deriving power from RF signal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/24Supports; Mounting means by structural association with other equipment or articles with receiving set
    • H01Q1/241Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM
    • H01Q1/242Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM specially adapted for hand-held use
    • H01Q1/243Supports; Mounting means by structural association with other equipment or articles with receiving set used in mobile communications, e.g. GSM specially adapted for hand-held use with built-in antennas
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks
    • Y02D30/70Reducing energy consumption in communication networks in wireless communication networks

Abstract

A radio frequency (RF) device may include a radio frequency integrated circuit (RFIC) chip and an antenna module on an upper surface of the RFIC chip. The antenna module may include a first patch parallel to the RFIC chip and having an upper surface configured to emit radiation in a vertical direction opposite the first patch from the RFIC chip, a ground plate parallel to the first patch, and between the first patch and the RFIC chip, and a first plurality of feed lines connected to a lower surface of the first patch and configured to supply at least one first differential signal to the first patch from the RFIC chip.

Description

無線電頻率裝置以及天線模組Radio frequency device and antenna module [相關申請案的交叉參考] [CROSS-REFERENCE TO RELATED APPLICATIONS]

本申請案主張2018年1月11日在韓國智慧財產局中申請的韓國專利申請案第10-2018-0003888號以及2018年3月20日在韓國智慧財產局中申請的韓國專利申請案第10-2018-0032345號的權益,所述申請案的揭露內容以全文引用的方式併入本文中。 This application claims Korean Patent Application No. 10-2018-0003888 filed with the Korean Intellectual Property Office on January 11, 2018 and Korean Patent Application No. 10 filed with the Korean Intellectual Property Office on March 20, 2018 - The benefit of No. 2018-0032345, the disclosure of which is incorporated herein by reference in its entirety.

本發明概念是有關於塊狀天線,且更具體而言,是有關於多饋送塊狀天線(multi-fed patch antenna)以及包括所述多饋送塊狀天線的裝置。 The present inventive concept relates to patch antennas, and more particularly to multi-fed patch antennas and devices comprising said multi-fed patch antennas.

用於無線通訊的天線是一種可逆裝置且可包括導體。可藉由自導體發出電磁波而傳輸訊號,且所述訊號可由到達所述導體的電磁波誘發。天線中所包括的導體可具有各種形狀,且根據應用可使用包括具有適當形狀的導體的天線。舉例而言,作為平面型天線的塊狀天線可包括接地板、位於所述接地板上的低損耗介電材料、以及由所述低損耗介電材料形成的補塊(patch),且可用於行動應用中。 An antenna used for wireless communication is a reversible device and may include conductors. Signals can be transmitted by emitting electromagnetic waves from a conductor, and the signals can be induced by electromagnetic waves reaching the conductor. A conductor included in the antenna may have various shapes, and an antenna including a conductor having an appropriate shape may be used according to an application. For example, a patch antenna as a planar type antenna may include a ground plate, a low-loss dielectric material on the ground plate, and a patch formed of the low-loss dielectric material, and may be used for mobile application.

在涉及有限空間及功率的應用(如行動電話)的情形中,可能期望具有減小尺寸的天線。此外,在無線通訊應用中,可採用高傳輸功率,從而導致高功耗及產熱。因此,可期望具有高功率效率及有限大小的天線。 In the case of applications involving limited space and power, such as mobile phones, it may be desirable to have antennas of reduced size. Furthermore, in wireless communication applications, high transmission power may be used, resulting in high power consumption and heat generation. Therefore, antennas with high power efficiency and limited size may be desired.

本發明概念提供塊狀天線以及包括塊狀天線的裝置,所述裝置基於塊狀天線的多饋送結構而具有高功率效率及減小的大小。 The inventive concept provides a patch antenna and a device including the patch antenna with high power efficiency and reduced size based on the multi-feed structure of the patch antenna.

根據本發明概念的態樣,提供一種無線電頻率(radio frequency,RF)裝置,所述無線電頻率裝置包括無線電頻率積體電路(radio frequency integrated circuit,RFIC)晶片以及位於所述RFIC晶片的上表面上的天線模組。所述天線模組包括:第一補塊,與所述RFIC晶片平行且具有上表面,所述上表面被配置成在與所述第一補塊相對的垂直方向上自所述RFIC晶片發出輻射;接地板,與所述第一補塊平行,且位於所述第一補塊與所述RFIC晶片之間;以及第一多個饋送線,連接至所述第一補塊的下表面且被配置成自所述RFIC晶片向所述第一補塊供應至少一個第一差分訊號。 According to an aspect of the inventive concept, there is provided a radio frequency (radio frequency, RF) device, the radio frequency device comprising a radio frequency integrated circuit (radio frequency integrated circuit, RFIC) chip and an upper surface of the RFIC chip antenna module. The antenna module includes: a first patch parallel to the RFIC chip and having an upper surface configured to emit radiation from the RFIC chip in a vertical direction opposite to the first patch a ground plate parallel to the first patch and located between the first patch and the RFIC die; and a first plurality of feed lines connected to the lower surface of the first patch and configured to supply at least one first differential signal from the RFIC die to the first patch.

根據本發明概念的態樣,提供一種天線模組,所述天線模組包括:接地板;第一補塊,與所述接地板平行且具有上表面,所述上表面被配置成在與所述第一補塊相對的垂直方向上自所述接地板發出輻射;以及第一多個饋送線,分別連接至所述第一補 塊的下表面上的第一多個饋送點,所述第一多個饋送點包括在第一水平方向上彼此分隔開的第一饋送點及第二饋送點、以及在與所述第一水平方向垂直的第二水平方向上彼此分隔開的第三饋送點及第四饋送點。 According to an aspect of the concept of the present invention, there is provided an antenna module, the antenna module includes: a ground plate; a first patch parallel to the ground plate and having an upper surface configured to be in contact with the ground plate radiating from the ground plane in a vertical direction opposite to the first patch; and a first plurality of feed lines connected to the first patch respectively a first plurality of feed points on the lower surface of the block, the first plurality of feed points comprising a first feed point and a second feed point spaced apart from each other in a first horizontal direction, and The third feeding point and the fourth feeding point are separated from each other in the second horizontal direction perpendicular to the horizontal direction.

根據本發明概念的態樣,提供一種無線電頻率(RF)裝置,所述無線電頻率裝置包括:無線電頻率積體電路(RFIC)晶片,被配置成輸出第一差分訊號及第二差分訊號;以及天線模組,位於所述RFIC晶片的上表面上。所述天線模組包括:第一補塊,與所述RFIC晶片平行且被配置成在與所述第一補塊相對的垂直方向上自所述RFIC晶片發出輻射;接地板,與所述第一補塊平行,且位於所述第一補塊與所述RFIC晶片之間;以及第一差分饋送線及第二差分饋送線,連接至所述第一補塊的下表面且被配置成向所述第一補塊供應所述第一差分訊號及所述第二差分訊號。 According to an aspect of the inventive concept, there is provided a radio frequency (RF) device including: a radio frequency integrated circuit (RFIC) chip configured to output a first differential signal and a second differential signal; and an antenna A module is located on the upper surface of the RFIC chip. The antenna module includes: a first patch parallel to the RFIC chip and configured to radiate from the RFIC chip in a vertical direction opposite to the first patch; a ground plate connected to the first patch a patch parallel to and located between the first patch and the RFIC chip; and a first differential feed line and a second differential feed line connected to the lower surface of the first patch and configured to The first patch supplies the first differential signal and the second differential signal.

10、10a、10b、10c:通訊設備 10, 10a, 10b, 10c: communication equipment

13a、13b、13c:數位積體電路 13a, 13b, 13c: digital integrated circuits

15、15’:饋送線 15, 15': Feed line

17:跳線器 17: Jumper

20a、20b、20c:無線電頻率系統 20a, 20b, 20c: Radio frequency systems

30:天線模組 30: Antenna module

31:頂部補塊 31: Top patch

32:底部補塊 32: Bottom patch

33:接地板 33: Grounding plate

35:饋送線 35: Feed line

36:埋置通孔 36:Buried vias

37:金屬化接墊 37: Metallized pad

38:焊球 38: solder ball

42:補塊 42: patch

43:接地板 43: Grounding plate

51、52、53、54:天線模組 51, 52, 53, 54: antenna module

60:天線模組 60: Antenna module

61:頂部補塊 61: Top patch

62:底部補塊 62: Bottom patch

71、72、81:天線模組 71, 72, 81: Antenna module

81_1:第一補塊 81_1: The first patch

81_2:第二補塊 81_2: Second patch

81_3:第三補塊 81_3: The third patch

81_4:第四補塊 81_4: The fourth patch

82:天線模組 82: Antenna module

82_1、82_2:補塊 82_1, 82_2: patch block

83:天線模組 83: Antenna module

83_1、83_2、83_3、83_4:補塊 83_1, 83_2, 83_3, 83_4: patch block

90a、90b、90c:天線模組 90a, 90b, 90c: antenna module

91a、91b、91c:第一補塊 91a, 91b, 91c: first patch

92a、92b:第二補塊 92a, 92b: second patch

100、100’:天線 100, 100': Antenna

100”、100a、100b、100c:天線模組 100", 100a, 100b, 100c: antenna module

101:第一補塊 101: First Patch

102:第二補塊 102: Second patch

110a、110b、110c:導體 110a, 110b, 110c: conductors

111、112、113、114:塊狀天線 111, 112, 113, 114: block antenna

120a:基板 120a: Substrate

120c:天線板 120c: Antenna board

121、122、123、124:偶極天線 121, 122, 123, 124: dipole antenna

200、200’、200”、200a、200b、200c、200d:無線電頻率積體電路(RFIC) 200, 200', 200", 200a, 200b, 200c, 200d: radio frequency integrated circuit (RFIC)

220、220’:開關/雙工器 220, 220': switch/duplexer

221、221’:第一收發器 221, 221': the first transceiver

221_1:功率放大器 221_1: Power Amplifier

221_2:移相器 221_2: phase shifter

221_3:低雜訊放大器 221_3: Low noise amplifier

221_4:移相器 221_4: phase shifter

222:第二收發器 222: second transceiver

223:第三收發器 223: the third transceiver

223’:第三收發器 223': the third transceiver

223_1:功率放大器 223_1: Power amplifier

223_2:移相器 223_2: phase shifter

223_3:低雜訊放大器 223_3: Low noise amplifier

223_4:移相器 223_4: phase shifter

224:第四收發器 224: The fourth transceiver

225:第五收發器 225: fifth transceiver

226:第六收發器 226: The sixth transceiver

227:第七收發器 227: seventh transceiver

228:第八收發器 228: eighth transceiver

300:訊號處理器 300: signal processor

400、500a、500b:載板 400, 500a, 500b: carrier board

600:無線通訊系統 600: Wireless communication system

610:基站 610: base station

620:使用者設備 620: User equipment

630:多輸入多輸出通道 630: Multiple input and multiple output channels

710:存取點(AP) 710: Access Point (AP)

721:家用小工具 721:Household Gadgets

722:家用電器 722: Household appliances

723:娛樂裝置 723: Entertainment device

L、L1、L2:長度 L, L1, L2: Length

LX:第二中心線 LX: second centerline

LY:第一中心線 LY: first center line

P1:第一饋送點 P1: first feed point

P2:第二饋送點 P2: Second feed point

P3:第三饋送點 P3: Third feed point

P4:第四饋送點 P4: The fourth feed point

PA:功率放大器 PA: power amplifier

PORT1:第一埠 PORT1: the first port

PORT2:第二埠 PORT2: the second port

PORT3:第三埠 PORT3: the third port

PORT4:第四埠 PORT4: the fourth port

Pout:功率輸出 Pout: power output

Pout_total:總功率輸入 Pout_total: total power input

RX:接收訊號 RX: receive signal

RX1:第一接收訊號 RX1: the first receiving signal

RX3:第三接收訊號 RX3: The third receiving signal

TX:傳輸訊號 TX: transmit signal

TX1:第一傳輸訊號 TX1: the first transmission signal

TX3:第三傳輸訊號 TX3: The third transmission signal

W:長度 W: Length

X、Y、Z:方向軸 X, Y, Z: direction axis

為便於理解,在本說明書所附的圖式中,可誇大或減小構成元件的大小。 In the drawings appended to this specification, the size of constituent elements may be exaggerated or reduced for easy understanding.

藉由結合附圖閱讀以下詳細描述,將更清楚地理解一些示例性實施例,在附圖中:圖1為根據一些示例性實施例的通訊裝置的方塊圖。 Some exemplary embodiments will be more clearly understood by reading the following detailed description in conjunction with the accompanying drawings: FIG. 1 is a block diagram of a communication device according to some exemplary embodiments.

圖2A至圖2C示出根據一些示例性實施例圖1所示的通訊裝置的構成元件的佈局。 2A to 2C illustrate layouts of constituent elements of the communication device shown in FIG. 1 according to some exemplary embodiments.

圖3A為根據一些示例性實施例的2-埠(2-port)天線模組的 立體圖,且圖3B為根據一些示例性實施例在自y軸方向觀察包括圖3A所示的天線模組的無線電頻率(RF)系統時所述無線電頻率系統的側視圖。 FIG. 3A is a diagram of a 2-port antenna module according to some exemplary embodiments. 3B is a side view of the radio frequency (RF) system including the antenna module shown in FIG. 3A when viewed from the y-axis direction according to some exemplary embodiments.

圖4為示出根據一些示例性實施例的補塊以及由所述補塊形成的電場的圖式。 FIG. 4 is a diagram illustrating patches and electric fields formed by the patches, according to some example embodiments.

圖5A及圖5B為概括2-埠天線模組的模擬結果的圖式。 5A and 5B are graphs summarizing simulation results for a 2-port antenna module.

圖6A為根據一些示例性實施例的4-埠(4-port)天線模組的立體圖,且圖6B示出圖6A所示的下部補塊的下表面。 Figure 6A is a perspective view of a 4-port antenna module according to some example embodiments, and Figure 6B shows the lower surface of the lower patch shown in Figure 6A.

圖7為概括4-埠天線模組的模擬結果的圖式。 FIG. 7 is a graph summarizing simulation results for a 4-port antenna module.

圖8為根據一些示例性實施例的天線模組的圖式。 Figure 8 is a diagram of an antenna module according to some example embodiments.

圖9A至圖9C為根據一些示例性實施例的天線。 9A-9C are antennas according to some example embodiments.

圖10為根據一些示例性實施例的天線及無線電頻率積體電路(RFIC)的方塊圖。 10 is a block diagram of an antenna and radio frequency integrated circuit (RFIC), according to some example embodiments.

圖11為根據一些示例性實施例的RFIC的方塊圖。 Figure 11 is a block diagram of an RFIC according to some example embodiments.

圖12為根據一些示例性實施例包括偶極(dipole)及塊狀天線的天線模組的圖式。 12 is a diagram of an antenna module including dipole and patch antennas, according to some example embodiments.

圖13為根據一些示例性實施例的無線通訊系統的方塊圖。 Figure 13 is a block diagram of a wireless communication system according to some example embodiments.

圖14為示出根據一些示例性實施例包括無線局域網路(Wireless Local Area Network,WLAN)的無線通訊系統的圖式。 FIG. 14 is a diagram illustrating a wireless communication system including a Wireless Local Area Network (WLAN) according to some example embodiments.

圖1為根據一些示例性實施例的通訊設備10的方塊圖。如在圖1中所繪示,通訊設備10可包括天線100,可藉由經由天 線100傳輸或接收訊號而與無線通訊系統中的另一通訊裝置通訊,且因此可被稱為無線通訊裝置。根據一些示例性實施例,所述無線通訊系統與以下結合圖13至圖14所論述的無線通訊系統類似或相同。 FIG. 1 is a block diagram of a communication device 10 according to some exemplary embodiments. As shown in FIG. 1, the communication device 10 may include an antenna 100, which may be The line 100 transmits or receives signals to communicate with another communication device in the wireless communication system, and thus may be referred to as a wireless communication device. According to some exemplary embodiments, the wireless communication system is similar or identical to the wireless communication system discussed below in connection with FIGS. 13-14 .

供通訊設備10與另一通訊裝置通訊的無線通訊系統作為非限制性實例可為使用蜂巢式網路的無線通訊系統(例如,第五代(5th Generation,5G)無線系統、長期演進(Long Term Evolution,LTE)系統、進階長期演進系統、分碼多重存取(Code Division Multiple Access,CDMA)系統、或全球行動通訊系統(Global System for Mobile communications,GSM)系統)、使用無線局域網路(WLAN)系統的無線通訊系統或另一任意無線通訊系統。以下,將主要闡述使用蜂巢式網路的無線通訊系統,但一些示例性實施例並非僅限於此。 A non-limiting example of a wireless communication system for the communication device 10 to communicate with another communication device may be a wireless communication system using a cellular network (for example, a fifth generation ( 5th Generation, 5G) wireless system, Long Term Evolution (Long Term Evolution) Term Evolution (LTE) system, Advanced Long Term Evolution system, Code Division Multiple Access (CDMA) system, or Global System for Mobile communications (Global System for Mobile communications, GSM) system), using wireless local area network ( WLAN) system wireless communication system or another arbitrary wireless communication system. Hereinafter, the wireless communication system using the cellular network will be mainly described, but some exemplary embodiments are not limited thereto.

如在圖1中所繪示,通訊設備10可包括天線100、無線電頻率積體電路(RFIC)200以及訊號處理器300。天線100與RFIC 200可經由饋送線15彼此連接。在本說明書中,天線100可被稱為天線模組,且天線100與饋送線15一起可被稱為天線模組。此外,天線100、饋送線15以及RFIC 200一起可被稱為無線電頻率系統或無線電頻率裝置。 As shown in FIG. 1 , the communication device 10 may include an antenna 100 , a radio frequency integrated circuit (RFIC) 200 and a signal processor 300 . The antenna 100 and the RFIC 200 may be connected to each other via the feeding line 15 . In this specification, the antenna 100 may be referred to as an antenna module, and the antenna 100 together with the feeding line 15 may be referred to as an antenna module. Furthermore, the antenna 100, the feeder line 15, and the RFIC 200 together may be referred to as a radio frequency system or a radio frequency device.

在傳輸模式中,RFIC 200可提供藉由對傳輸訊號TX進行處理而產生的訊號,其中傳輸訊號TX經由饋送線15被自訊號處理器300提供至天線100。此外,在接收模式中,RFIC 200可 藉由對自天線100接收的訊號進行處理而將接收訊號RX提供至訊號處理器300。舉例而言,RFIC 200可包括發射器,且所述發射器可包括濾波器、混合器以及功率放大器(power amplifier,PA)。此外,RFIC 200可包括接收器,且所述接收器可包括濾波器、混合器以及低雜訊放大器(low noise amplifier,LNA)。在一些示例性實施例中,RFIC可包括多個發射器及接收器,且可包括其中將發射器與接收器彼此組合的收發器。 In the transmission mode, the RFIC 200 can provide a signal generated by processing the transmission signal TX provided from the signal processor 300 to the antenna 100 through the feed line 15 . Additionally, in receive mode, the RFIC 200 can The received signal RX is provided to the signal processor 300 by processing the signal received from the antenna 100 . For example, RFIC 200 may include a transmitter, and the transmitter may include a filter, a mixer, and a power amplifier (PA). In addition, the RFIC 200 may include a receiver, and the receiver may include a filter, a mixer, and a low noise amplifier (LNA). In some exemplary embodiments, an RFIC may include a plurality of transmitters and receivers, and may include a transceiver in which the transmitters and receivers are combined with each other.

訊號處理器300可藉由對包括待被傳輸的資訊的訊號進行處理而產生傳輸訊號TX,且可藉由對接收訊號RX進行處理而產生包括資訊的訊號。舉例而言,為產生傳輸訊號TX,訊號處理器300可包括編碼器、調變器以及數位-至-類比轉換器(digital-to-analog converter,DAC)。此外,為處理接收訊號RX,訊號處理器300可包括類比-至-數位轉換器(analog-to-digital,ADC)、解調器以及解碼器。訊號處理器300可產生控制訊號以控制RFIC 200,可經由所述控制訊號設定傳輸模式或接收模式,且可控制RFIC 200中所包括的構成元件的功率及增益。在一些示例性實施例中,訊號處理器300可包括至少一個核心、以及用於儲存由所述至少一個核心執行的命令的記憶體。此外,訊號處理器300的至少一部分可包括儲存於記憶體中的軟體區塊,且在本文中被闡述為由訊號處理器300執行的操作可由執行儲存於記憶體中的命令及/或軟體區塊的至少一個核心執行。在一些示例性實施例中,訊號處理器300可包括藉由邏輯合成設計的邏輯電路,且訊 號處理器300的至少一部分可包括由邏輯電路達成的硬體區塊。 The signal processor 300 can generate a transmission signal TX by processing a signal including information to be transmitted, and can generate a signal including information by processing a reception signal RX. For example, to generate the transmission signal TX, the signal processor 300 may include an encoder, a modulator, and a digital-to-analog converter (DAC). In addition, to process the received signal RX, the signal processor 300 may include an analog-to-digital converter (analog-to-digital, ADC), a demodulator, and a decoder. The signal processor 300 may generate a control signal to control the RFIC 200 , through which a transmission mode or a reception mode may be set, and may control power and gain of constituent elements included in the RFIC 200 . In some exemplary embodiments, the signal processor 300 may include at least one core, and a memory for storing commands executed by the at least one core. Additionally, at least a portion of signal processor 300 may include software blocks stored in memory, and it is described herein that operations performed by signal processor 300 may be performed by executing commands and/or software blocks stored in memory. At least one core of the block executes. In some exemplary embodiments, the signal processor 300 may include a logic circuit designed by logic synthesis, and the signal At least a portion of the processor 300 may include hardware blocks implemented by logic circuits.

無線通訊系統可界定用於傳輸大量資料的高譜帶(high spectrum band)。舉例而言,由國際電信聯盟(International Telecommunication Union,ITU)官方指定為IMT-2020的5G蜂巢式系統(或5G無線系統)界定大於24GHz的毫米波(mmWave)。毫米波使得能夠達成寬帶傳輸,且使得能夠達成無線電頻率系統(亦即,天線100以及RFIC 200)的微型化。毫米波可提供增大的方向性但亦增大了衰減,且因此可能期望減小衰減。 Wireless communication systems can define a high spectrum band for transmitting large amounts of data. For example, the 5G cellular system (or 5G wireless system) officially designated as IMT-2020 by the International Telecommunication Union (ITU) defines millimeter wave (mmWave) greater than 24 GHz. Millimeter waves enable broadband transmission and enable miniaturization of radio frequency systems (ie, antenna 100 and RFIC 200 ). Millimeter waves may provide increased directivity but also increased attenuation, and thus reduced attenuation may be desired.

為了減輕由高頻帶導致的訊號衰減,可使用高傳輸功率。根據弗林斯傳輸公式(Friis transmission formula),可藉由將功率放大器的輸出功率與天線100的增益相乘而計算傳輸功率。功率放大器的功率的增大可因RFIC 200中所包括的功率放大器的低效率而導致過量產熱或功耗。因此,可期望增大天線增益以增大傳輸功率。天線增益可與天線100的有效開放區域的大小成比例。然而,在其中空間有限的行動電話應用中,有效開放區域亦可受到限制,且隨著天線增益增大,自天線100輸出的束寬(beam width)變窄,且因此天線100的通訊範圍可減小。 In order to mitigate signal attenuation caused by high frequency bands, high transmit power can be used. According to Friis transmission formula, the transmission power can be calculated by multiplying the output power of the power amplifier and the gain of the antenna 100 . The increase in the power of the power amplifier may result in excessive heat generation or power consumption due to the inefficiency of the power amplifier included in the RFIC 200 . Therefore, it may be desirable to increase antenna gain to increase transmission power. Antenna gain may be proportional to the size of the effective open area of antenna 100 . However, in mobile phone applications where space is limited, the effective open area may also be limited, and as the antenna gain increases, the beam width output from the antenna 100 becomes narrower, and thus the communication range of the antenna 100 may be limited. decrease.

根據一些示例性實施例,天線100可經由至少兩個饋送線15自RFIC 200接收差分訊號。因此,如以下參照圖4所述,藉由向在天線100上分隔開的饋送點供應相位彼此直接相反的兩個訊號,可在不降低天線100的效能的情況下達成高的傳輸功率。RFIC 200可利用半導體製程進行製造,且因此對將電路進行整合 以產生差分訊號的約束可相對較弱。 According to some exemplary embodiments, the antenna 100 can receive differential signals from the RFIC 200 via at least two feeding lines 15 . Therefore, as described below with reference to FIG. 4 , by supplying two signals whose phases are directly opposite to each other to feeding points spaced apart on the antenna 100 , high transmission power can be achieved without degrading the performance of the antenna 100 . The RFIC 200 can be manufactured using a semiconductor process, and thus has the potential to integrate circuits The constraints to generate differential signals can be relatively weak.

圖2A至圖2C示出根據一些示例性實施例圖1所示的通訊設備10的構成元件的佈局。以下,將參照圖1闡述圖2A至圖2C所示的通訊設備10的構成元件的佈局,且在闡述圖2A至圖2C所示的通訊設備10的構成元件的佈局的過程中,對重覆的說明可不再予以贅述。在本說明書中,可將彼此垂直的X軸方向及Y軸方向分別稱為第一水平方向及第二水平方向,且由X軸及Y軸形成的平面可被稱為水平平面。此外,區域可指與水平平面平行的平面上的區域,且垂直於水平平面的方向(亦即,Z軸方向)可被稱為垂直方向。相對於其他構成元件進一步設置於+Z軸方向上的構成元件可被稱為設置於所述其他構成元件上方的構成元件,且相對於其他構成元件進一步設置於-Z軸方向上的構成元件可被稱為設置於所述其他構成元件下方的構成元件。此外,在構成元件的表面中,在+Z軸方向上最遠的構成元件的表面可被稱為構成元件的上表面,且在-Z軸方向上最遠的構成元件的表面可被稱為構成元件的下表面。 2A to 2C illustrate layouts of constituent elements of the communication device 10 shown in FIG. 1 according to some exemplary embodiments. Hereinafter, the layout of the constituent elements of the communication device 10 shown in FIGS. 2A to 2C will be explained with reference to FIG. The description of , will not be repeated here. In this specification, the X-axis direction and the Y-axis direction perpendicular to each other may be referred to as a first horizontal direction and a second horizontal direction, respectively, and a plane formed by the X-axis and the Y-axis may be referred to as a horizontal plane. Also, an area may refer to an area on a plane parallel to a horizontal plane, and a direction perpendicular to the horizontal plane (ie, a Z-axis direction) may be referred to as a vertical direction. A constituent element further disposed in the +Z-axis direction with respect to other constituent elements may be referred to as a constituent element disposed above the other constituent elements, and a constituent element further disposed in the -Z-axis direction with respect to the other constituent elements may be referred to as a constituent element disposed above the other constituent elements. It is referred to as a constituent element provided below the other constituent elements. Furthermore, among the surfaces of the constituent elements, the surface of the constituent element farthest in the +Z axis direction may be referred to as an upper surface of the constituent element, and the surface of the constituent element farthest in the -Z axis direction may be referred to as an upper surface of the constituent element. constitutes the lower surface of the element.

在如毫米波頻帶等高頻帶中,損耗參數可變差,且因此可能難以採用在低頻帶中(例如,在低於6GHz的頻帶中)使用的天線100及RFIC 200的佈局。舉例而言,在低頻帶中使用的天線饋送線結構可降低在毫米波頻帶中訊號的衰減特性,且可使有效等向輻射功率(Effective Isotropic Radiated Power,EIRP)及雜訊度(noise figure)降級。因此,為了藉由圖1所示饋送線15減 小訊號衰減,天線100與RFIC 200可彼此接近。具體而言,在如行動電話等行動應用中,可期望高空間效率,且因此如在圖2A至圖2C中所繪示,可採用其中將天線100設置於RFIC 200上的系統級封裝(system-in-package,SIP)結構。 In high frequency bands, such as millimeter wave bands, loss parameters may be worse, and thus it may be difficult to adopt the layout of antenna 100 and RFIC 200 used in low frequency bands (eg, in frequency bands below 6 GHz). For example, the antenna feed line structure used in the low frequency band can reduce the attenuation characteristics of the signal in the millimeter wave frequency band, and can make the Effective Isotropic Radiated Power (EIRP) and noise figure (noise figure) downgrade. Therefore, in order to reduce the Small signal attenuation, the antenna 100 and the RFIC 200 can be close to each other. In particular, in mobile applications such as mobile phones, high space efficiency may be desired, and thus, as shown in FIGS. -in-package, SIP) structure.

參照圖2A,通訊設備10a可包括無線電頻率系統20a、數位積體電路13a以及載板500a。無線電頻率系統20a以及數位積體電路13a可安裝於載板500a的上表面上。無線電頻率系統20a以及數位積體電路13a可彼此連接以能夠經由形成於載板500a中的導電圖案而彼此通訊。在一些示例性實施例中,載板500a可為印刷電路板(Printed Circuit Board,PCB)。數位積體電路13a可包括圖1所示的訊號處理器300,且因此可將傳輸訊號TX傳輸至RFIC 200a或可自RFIC 200a接收接收訊號RX,且亦可將控制訊號提供至RFIC 200a以控制RFIC 200a。在一些示例性實施例中,數位積體電路13a可包括至少一個核心及/或記憶體,且可控制通訊設備10a的操作。根據一些示例性實施例,在本文中被闡述為由數位積體電路13a執行的操作可由執行儲存於記憶體中的命令及/或軟體區塊的至少一個核心執行。 Referring to FIG. 2A, the communication device 10a may include a radio frequency system 20a, a digital integrated circuit 13a, and a carrier board 500a. The radio frequency system 20a and the digital integrated circuit 13a can be mounted on the upper surface of the carrier board 500a. The radio frequency system 20a and the digital integrated circuit 13a can be connected to each other so as to be able to communicate with each other through the conductive pattern formed in the carrier board 500a. In some exemplary embodiments, the carrier board 500a may be a printed circuit board (Printed Circuit Board, PCB). The digital integrated circuit 13a can include the signal processor 300 shown in FIG. 1, and thus can transmit the transmission signal TX to the RFIC 200a or can receive the reception signal RX from the RFIC 200a, and can also provide a control signal to the RFIC 200a to control RFIC 200a. In some exemplary embodiments, the digital integrated circuit 13a may include at least one core and/or memory, and may control the operation of the communication device 10a. According to some exemplary embodiments, operations described herein as being performed by the digital integrated circuit 13a may be performed by at least one core executing commands and/or software blocks stored in memory.

無線電頻率系統20a可包括天線模組100a以及RFIC 200a。天線模組100a可被稱為天線封裝,且如在圖2A中所繪示,可包括基板120a以及形成於基板120a上的導體110a。舉例而言,如以下參照圖3A及圖3B所述,天線模組100a可包括接地板以及與水平平面平行的補塊,或可包括用於自RFIC 200a向補塊供應 訊號的饋送線。RFIC 200a可具有電性連接至天線模組100a的下表面的上表面且可被稱為無線電晶粒(radio die)。在一些示例性實施例中,天線模組100a與RFIC 200a可經由受控塌陷晶片連接(controlled collapse chip connection,C4)彼此連接。圖2A所示的無線電頻率系統20a對於散熱而言可為可取的且可具有穩定的結構。 The radio frequency system 20a may include an antenna module 100a and an RFIC 200a. The antenna module 100a may be called an antenna package, and as shown in FIG. 2A , may include a substrate 120a and a conductor 110a formed on the substrate 120a. For example, as described below with reference to FIGS. 3A and 3B , the antenna module 100a may include a ground plane and a patch parallel to the horizontal plane, or may include a signal feeder. The RFIC 200a may have an upper surface electrically connected to the lower surface of the antenna module 100a and may be referred to as a radio die. In some exemplary embodiments, the antenna module 100a and the RFIC 200a may be connected to each other via a controlled collapse chip connection (C4). The radio frequency system 20a shown in FIG. 2A may be desirable for heat dissipation and may have a stable structure.

參照圖2B,通訊設備10b可包括數位積體電路13b以及載板500b。RFIC 200b以及數位積體電路13b可安裝於載板500b的下表面上。RFIC 200b與數位積體電路13b可彼此連接以能夠經由形成於載板500b中的導電圖案而彼此通訊。 Referring to FIG. 2B, the communication device 10b may include a digital integrated circuit 13b and a carrier board 500b. The RFIC 200b and the digital integrated circuit 13b can be mounted on the lower surface of the carrier board 500b. The RFIC 200b and the digital integrated circuit 13b can be connected to each other to be able to communicate with each other through the conductive pattern formed in the carrier 500b.

在圖2B所示的通訊設備10b中,無線電頻率系統20b可包括形成於載板500b中的天線模組100b以及安裝於載板500b的下表面上的RFIC 200b。如在圖2B中所繪示,天線模組100b可包括形成於載板500b上的導體110b、以及形成於載板500b中以將訊號自RFIC 200b供應至導體110b的饋送線。在圖2B所示的通訊設備10b中,可省略將無線電頻率系統20b安裝於載板500b上的製程且可省略用於天線的基板。因此,通訊設備10b可具有減小的高度,亦即在Z軸方向上減小的長度。 In the communication device 10b shown in FIG. 2B , the radio frequency system 20b may include the antenna module 100b formed in the carrier board 500b and the RFIC 200b mounted on the lower surface of the carrier board 500b. As shown in FIG. 2B, the antenna module 100b may include a conductor 110b formed on a carrier 500b, and a feed line formed in the carrier 500b to supply signals from the RFIC 200b to the conductor 110b. In the communication device 10b shown in FIG. 2B, the process of mounting the radio frequency system 20b on the carrier board 500b can be omitted and the substrate for the antenna can be omitted. Therefore, the communication device 10b may have a reduced height, that is, a reduced length in the Z-axis direction.

參照圖2C,通訊設備10c可包括無線電頻率系統20c、載板400以及數位積體電路13c。如在圖2C中所繪示,數位積體電路13c可安裝於載板400的下表面上,且無線電頻率系統20c與載板400可彼此連接以能夠經由跳線器17彼此通訊。 Referring to FIG. 2C, the communication device 10c may include a radio frequency system 20c, a carrier board 400, and a digital integrated circuit 13c. As shown in FIG. 2C , the digital integrated circuit 13 c can be installed on the lower surface of the carrier board 400 , and the radio frequency system 20 c and the carrier board 400 can be connected to each other to be able to communicate with each other through the jumper 17 .

在圖2C所示的通訊設備10c中,無線電頻率系統20c可包括天線模組100c以及安裝於天線模組100c的下表面上的RFIC 200c。如在圖2C中所繪示,天線模組100c可包括天線板120c、形成於天線板120c上的導體110c、以及形成於天線板120c中以將訊號自RFIC 200c供應至導體110c的饋送線。在圖2C所示的通訊設備10c中,可省略用於天線的基板,且可獨立地製造無線電頻率系統20c以及載板400,且因此可更高效地且以降低的成本生產通訊設備10c。 In the communication device 10c shown in FIG. 2C , the radio frequency system 20c may include an antenna module 100c and an RFIC 200c installed on the lower surface of the antenna module 100c. As shown in FIG. 2C, the antenna module 100c may include an antenna board 120c, a conductor 110c formed on the antenna board 120c, and a feed line formed in the antenna board 120c to supply signals from the RFIC 200c to the conductor 110c. In the communication device 10c shown in FIG. 2C, the substrate for the antenna can be omitted, and the radio frequency system 20c and the carrier board 400 can be manufactured independently, and thus the communication device 10c can be produced more efficiently and at reduced cost.

以下,可參照圖2A所示的無線電頻率系統20a闡述一些示例性實施例。然而,應理解,所作闡述亦可不僅應用於分別在圖2B及圖2C中繪示的無線電頻率系統20b及20c,而且可應用於具有包括天線模組及RFIC的其他任意結構(例如,系統晶片(System-on-Chip,SoC)結構)的無線電頻率系統。 In the following, some exemplary embodiments may be explained with reference to the radio frequency system 20a shown in FIG. 2A. However, it should be understood that the descriptions can also be applied not only to the radio frequency systems 20b and 20c shown in FIG. 2B and FIG. (System-on-Chip, SoC) structure) radio frequency system.

圖3A為根據一些示例性實施例的天線模組30的立體圖,且圖3B為根據一些示例性實施例在自y軸方向觀察包括圖3A所示的天線模組30的無線電頻率(RF)系統時所述無線電頻率系統的側視圖。圖3A及圖3B示出塊狀天線作為天線模組30的實例,且為便於闡釋,僅繪示了天線模組30的一些構成元件。 FIG. 3A is a perspective view of an antenna module 30 according to some exemplary embodiments, and FIG. 3B is a radio frequency (RF) system viewed from the y-axis direction including the antenna module 30 shown in FIG. 3A according to some exemplary embodiments. side view of the radio frequency system. 3A and 3B show a block antenna as an example of the antenna module 30 , and for ease of explanation, only some constituent elements of the antenna module 30 are shown.

參照圖3A,天線模組30可包括在Z軸方向上彼此平行地分隔開的頂部補塊31與底部補塊32,且可在+Z軸方向上發出電磁波。頂部補塊31及底部補塊32可包含例如金屬等導電材料,且如在圖3A中所繪示,可具有矩形形狀。在一些示例性實施例 中,不同於圖3A中所繪示的頂部補塊31及底部補塊32,頂部補塊31及底部補塊32中的至少一者可具有不同於矩形形狀的形狀,例如圓形形狀、橢圓形狀、菱形形狀等。儘管在圖3A中未示出,但如在圖3B中所繪示,天線模組30可更包括位於底部補塊32下方的接地板33,且在一些示例性實施例中,可省略頂部補塊31。 Referring to FIG. 3A , the antenna module 30 may include a top patch 31 and a bottom patch 32 spaced parallel to each other in the Z-axis direction, and may emit electromagnetic waves in the +Z-axis direction. The top patch 31 and the bottom patch 32 may comprise a conductive material such as metal, and as depicted in FIG. 3A , may have a rectangular shape. in some exemplary embodiments 3A, at least one of the top patch 31 and the bottom patch 32 may have a shape other than a rectangular shape, such as a circular shape, an ellipse shapes, rhombus shapes, etc. Although not shown in FIG. 3A, as shown in FIG. 3B, the antenna module 30 may further include a ground plate 33 located below the bottom patch 32, and in some exemplary embodiments, the top patch may be omitted. Block 31.

天線模組30可包括連接至底部補塊32的第一埠PORT1及第二埠PORT2。如在圖3A中所繪示,第一埠PORT1及第二埠PORT2可在X軸方向上分隔開且可各自包括饋送線以向底部補塊32供應訊號。如以下參照圖4所述,底部補塊32可自在X軸方向上分隔開的二個饋送點接收差分訊號,且因此可具有高功率效率。 The antenna module 30 may include a first port PORT1 and a second port PORT2 connected to the bottom patch 32 . As shown in FIG. 3A , the first port PORT1 and the second port PORT2 may be separated in the X-axis direction and each may include a feed line to supply a signal to the bottom patch 32 . As described below with reference to FIG. 4 , the bottom patch 32 can receive differential signals from two feed points separated in the X-axis direction, and thus can have high power efficiency.

參照圖3B,可將RFIC 200d安裝於天線模組30的下表面上。RFIC 200d可經由第一埠PORT1及第二埠PORT2中所包括的饋送線向底部補塊32提供訊號,亦即差分訊號。舉例而言,如在圖3B中所繪示,第二埠PORT2可包括連接至底部補塊32的饋送線35以及多個埋置通孔36。饋送線35可包括在Z軸方向上延伸的部分(例如,通孔)以及在X軸方向上延伸的部分(例如,金屬圖案)。其中第一埠PORT1及第二埠PORT2的饋送線35連接至底部補塊32的饋送點可在X軸方向上彼此分隔開。 Referring to FIG. 3B , the RFIC 200d may be mounted on the lower surface of the antenna module 30 . The RFIC 200d can provide signals, ie, differential signals, to the bottom patch 32 through the feed lines included in the first port PORT1 and the second port PORT2. For example, as shown in FIG. 3B , the second port PORT2 may include a feed line 35 connected to the bottom patch 32 and a plurality of buried vias 36 . The feeding line 35 may include a portion (eg, a through hole) extending in the Z-axis direction and a portion (eg, a metal pattern) extending in the X-axis direction. The feed points where the feed lines 35 of the first port PORT1 and the second port PORT2 are connected to the bottom patch 32 may be separated from each other in the X-axis direction.

埋置通孔36可設置成自饋送線35分隔開。舉例而言,如在圖3A及圖3B中所繪示,埋置通孔36可藉由在X軸方向及 Y軸方向上自饋送線35分隔開而規則地設置。埋置通孔36可被配置成應用恆電位器(potentiostat),且舉例而言如在圖3B中所繪示,埋置通孔36可連接至接地板33。 The buried via 36 may be provided spaced apart from the feed line 35 . For example, as shown in FIGS. 3A and 3B , buried vias 36 can be formed by They are spaced and regularly arranged from the feed line 35 in the Y-axis direction. The buried via 36 may be configured to apply a potentiostat and, for example, as depicted in FIG. 3B , the buried via 36 may be connected to the ground plate 33 .

第一埠PORT1可具有與第二埠PORT2相同的結構或類似的結構。在一些示例性實施例中,第一埠PORT1及第二埠PORT2可具有對稱的結構,以與由Z軸及Y軸形成的平面平行的表面作為中心。在圖3A及圖3B中所繪示的第一埠PORT1及第二埠PORT2的結構僅為實例,且因此應理解,具有與圖3A及圖3B所繪示的結構不同結構的埠可在X軸方向上分隔開以向補塊供應差分訊號。 The first port PORT1 may have the same or similar structure as the second port PORT2. In some exemplary embodiments, the first port PORT1 and the second port PORT2 may have a symmetrical structure with a surface parallel to a plane formed by the Z axis and the Y axis as a center. The structures of the first port PORT1 and the second port PORT2 depicted in FIGS. 3A and 3B are examples only, and thus it should be understood that ports having structures different from those depicted in FIGS. Axially separated to supply differential signals to the patch.

RFIC 200d的上表面可經由多個路徑電性連接至天線模組30的下表面。在一些示例性實施例中,天線模組30以及RFIC 200d可利用覆晶方法(flip chip method)彼此連接。舉例而言,如在圖3B中所繪示,金屬化接墊37可設置於天線模組30的下表面上,且焊球38可分別設置於金屬化接墊37上。焊球38可接觸由RFIC 200d的上表面上的導體構成的連接件。藉由此種方式,RFIC 200d可經由受控塌陷晶片連接(C4)連接至饋送線35且可將差分訊號中的一者供應至饋送線35(並將差分訊號中的另一者供應至其他饋送線)。此外,RFIC 200d可連接至接地板33並可將接地電位施加至接地板33或可自接地板33接收接地電位。 The upper surface of the RFIC 200d can be electrically connected to the lower surface of the antenna module 30 via a plurality of paths. In some exemplary embodiments, the antenna module 30 and the RFIC 200d may be connected to each other by a flip chip method. For example, as shown in FIG. 3B , metallization pads 37 may be disposed on the lower surface of the antenna module 30 , and solder balls 38 may be respectively disposed on the metallization pads 37 . Solder balls 38 may contact connections made up of conductors on the upper surface of RFIC 200d. In this way, the RFIC 200d can be connected to the feed line 35 via the controlled collapse die connection (C4) and can supply one of the differential signals to the feed line 35 (and the other of the differential signals to the other feed line). In addition, the RFIC 200d may be connected to the ground plate 33 and may apply a ground potential to or receive a ground potential from the ground plate 33 .

圖4為根據一些示例性實施例的補塊42以及由補塊42形成的電場的示意圖。詳細而言,圖4左側上的圖式示出分別連 接至補塊42的下表面上的二條饋送線的第一饋送點P1及第二饋送點P2,且圖4右側上的圖式示出在補塊42與接地板43之間產生的電場。 FIG. 4 is a schematic diagram of a patch 42 and an electric field formed by the patch 42 , according to some example embodiments. In detail, the diagram on the left side of Figure 4 shows the connection The first feed point P1 and the second feed point P2 of the two feed lines connected to the lower surface of the patch 42 , and the diagram on the right side of FIG. 4 shows the electric field generated between the patch 42 and the ground plate 43 .

參照圖4左側上的圖式,補塊42可具有矩形形狀且可在X軸方向上具有長度L並在Y軸方向上具有長度W。在一些示例性實施例中,X軸方向上的長度L可為由差分訊號發出的波長的一半。二條饋送線可在第一饋送點P1及第二饋送點P2處連接至補塊42的下表面。第一饋送點P1與第二饋送點P2可在X軸方向上分隔開,且第一饋送點P1及第二饋送點P2在補塊42的下表面上的位置可藉由阻抗匹配確定。在一些示例性實施例中,第一饋送點P1及第二饋送點P2可設置於與X軸平行的第一中心線LY上或靠近第一中心線LY,並與補塊42的中心相交。 Referring to the diagram on the left side of FIG. 4 , the patch 42 may have a rectangular shape and may have a length L in the X-axis direction and a length W in the Y-axis direction. In some exemplary embodiments, the length L in the X-axis direction may be half of the wavelength emitted by the differential signal. Two feed lines may be connected to the lower surface of the patch 42 at a first feed point P1 and a second feed point P2. The first feeding point P1 and the second feeding point P2 can be separated in the X-axis direction, and the positions of the first feeding point P1 and the second feeding point P2 on the lower surface of the patch 42 can be determined by impedance matching. In some exemplary embodiments, the first feeding point P1 and the second feeding point P2 may be disposed on or close to the first centerline LY parallel to the X-axis, and intersect the center of the patch 42 .

在塊狀天線的電場分佈中,可於在中心饋送訊號的軸的兩端上形成具有彼此相反的相位的電場。因此,當二個具有相反相位的輸入訊號(亦即,差分訊號)被施加至饋送訊號的軸時,在不降低塊狀天線的效能的情形下有可能達成更高功率的傳輸。舉例而言,如在圖4的右側上所繪示,當因差分訊號而將具有相對較高電位的訊號施加至第一饋送點P1並將具有相對較低電位的訊號施加至第二饋送點P2時,可以與第一饋送點P1及第二饋送點P2相交的軸(亦即,與X軸平行的軸)作為中心在兩端上形成具有相反相位的電場。因此,相較於單饋送線結構,可保持天線增益且可使EIRP增大兩倍。以下,將參照圖5A及圖5B闡述包 括用於供應差分訊號的兩條饋送線的天線模組的有利特性。 In the electric field distribution of the patch antenna, electric fields having phases opposite to each other can be formed on both ends of an axis feeding a signal at the center. Therefore, when two input signals with opposite phases (ie, differential signals) are applied to the axis of the feed signal, higher power transmission is possible without degrading the performance of the patch antenna. For example, as shown on the right side of FIG. 4, when a signal with a relatively high potential is applied to the first feed point P1 and a signal with a relatively low potential is applied to the second feed point due to the differential signal At P2, an electric field with opposite phases may be formed on both ends with an axis intersecting the first feed point P1 and the second feed point P2 (ie, an axis parallel to the X axis) as the center. Therefore, the antenna gain can be maintained and the EIRP can be doubled compared to the single feed line structure. Hereinafter, the package will be described with reference to FIGS. 5A and 5B . Advantageous properties of an antenna module comprising two feed lines for supplying differential signals.

圖5A及圖5B為概括天線模組的模擬結果的圖式。詳細而言,圖5A示出經由二個埠向其饋送差分訊號的天線模組51的模擬結果以及經由單個埠向其饋送訊號的天線模組52的模擬結果。圖5B示出經由二個埠向其饋送差分訊號的天線模組53的模擬結果以及包括經由相應的單個埠向其饋送訊號的二個補塊的天線模組54的模擬結果。以下,對參照圖5A及圖5B所作闡述的重覆部分可不再予以贅述。 5A and 5B are diagrams summarizing simulation results of antenna modules. In detail, FIG. 5A shows the simulation results of the antenna module 51 to which differential signals are fed through two ports and the simulation results of the antenna module 52 to which signals are fed through a single port. FIG. 5B shows the simulation results of the antenna module 53 fed with differential signals via two ports and the simulation results of the antenna module 54 including two patches fed with signals via corresponding single ports. Hereinafter, repeated descriptions of the overlapping parts described with reference to FIG. 5A and FIG. 5B are omitted.

參照圖5A,包括第一埠PORT1及第二埠PORT2的天線模組51可被稱為雙饋送塊狀天線模組(dual-fed patch antenna module)51,且僅包括第一埠PORT1的天線模組52可被稱為單饋送塊狀天線模組(single-fed patch antenna module)52。參照圖5A所示的表格,相較於在同一功率輸入(亦即,10毫瓦分貝(dBm))下的單饋送塊狀天線模組52,雙饋送塊狀天線模組51可具有高天線增益(亦即,6.52dBi>5.92dBi)。此外,EIRP以及輻射功率可在無功率結合損耗的情況下增大大於3dB。 Referring to FIG. 5A, the antenna module 51 including the first port PORT1 and the second port PORT2 may be called a dual-fed patch antenna module (dual-fed patch antenna module) 51, and only includes the antenna module of the first port PORT1. Group 52 may be referred to as a single-fed patch antenna module 52 . Referring to the table shown in FIG. 5A, a dual-feed block antenna module 51 may have a higher antenna height compared to a single-feed block antenna module 52 at the same power input (i.e., 10 decibels milliwatts (dBm)). Gain (ie, 6.52dBi>5.92dBi). Furthermore, EIRP as well as radiated power can be increased by more than 3dB without power combining loss.

參照圖5B,天線模組53(亦可被稱為雙饋送塊狀天線模組53)可包括連接至單個下部補塊的第一埠PORT1及第二埠PORT2。天線模組54可包括分別連接至在Y軸方向上彼此分隔開的二個下部補塊的第一埠PORT1及第二埠PORT2,且可被稱為1對2(1by2)塊狀陣列天線。參照圖5B所示的表格,將雙饋送塊狀天線模組53與1對2天線模組54進行比較,雙饋送塊狀天線 模組53可具有減小的天線增益。然而,相較於1對2天線模組54,雙饋送塊狀天線模組53佔據較小的面積(亦即,8毫米×8毫米<13毫米×8毫米),且亦可根據輻射圖案提供較寬的束寬。 Referring to FIG. 5B , the antenna module 53 (which may also be referred to as a dual-feed block antenna module 53 ) may include a first port PORT1 and a second port PORT2 connected to a single lower patch. The antenna module 54 may include a first port PORT1 and a second port PORT2 respectively connected to two lower patches spaced apart from each other in the Y-axis direction, and may be referred to as a 1-by-2 block array antenna . Referring to the table shown in FIG. 5B, comparing the dual-feed block antenna module 53 with the 1-to-2 antenna module 54, the dual-feed block antenna Module 53 may have a reduced antenna gain. However, compared with the 1-to-2 antenna module 54, the dual-feed block antenna module 53 occupies a smaller area (ie, 8mm×8mm<13mm×8mm), and can also provide Wide beam width.

圖6A為根據一些示例性實施例的天線模組60的立體圖,且圖6B示出圖6A中所繪示的天線模組60的底部補塊62的下表面。圖6A及圖6B示出塊狀天線作為天線模組60的實例,且為便於闡釋,僅繪示了天線模組60的一些構成元件。 FIG. 6A is a perspective view of an antenna module 60 according to some exemplary embodiments, and FIG. 6B shows the lower surface of the bottom patch 62 of the antenna module 60 depicted in FIG. 6A . 6A and 6B show a block antenna as an example of the antenna module 60 , and for convenience of explanation, only some constituent elements of the antenna module 60 are shown.

參照圖6A,天線模組60可包括在Z軸方向上彼此平行且分隔開的頂部補塊61與底部補塊62,且可在+Z軸方向上發出電磁波。類似於圖3A所示的天線模組30,頂部補塊61及底部補塊62可包含例如金屬等導電材料,且如在圖6A中所繪示,可具有矩形形狀。儘管在圖6A中未示出,但如在圖3B中所繪示,天線模組60可更包括位於底部補塊62下方的接地板,且在一些示例性實施例中,可省略頂部補塊61。 Referring to FIG. 6A , the antenna module 60 may include a top patch 61 and a bottom patch 62 that are parallel to and separated from each other in the Z-axis direction, and may emit electromagnetic waves in the +Z-axis direction. Similar to the antenna module 30 shown in FIG. 3A , the top patch 61 and the bottom patch 62 may comprise conductive materials such as metal, and as shown in FIG. 6A , may have a rectangular shape. Although not shown in FIG. 6A , as shown in FIG. 3B , antenna module 60 may further include a ground plate located below bottom patch 62 , and in some exemplary embodiments, the top patch may be omitted. 61.

天線模組60可包括四個埠,亦即第一埠PORT1至第四埠PORT4。如在圖6A中所繪示,第一埠PORT1與第二埠PORT2可在X軸方向上彼此分隔開,且第三埠PORT3與第四埠PORT4可在Y軸方向上彼此分隔開。在一些示例性實施例中,第一埠PORT1至第四埠PORT4分別可具有與參照圖3A所述的埠結構相同或類似的結構。 The antenna module 60 may include four ports, namely the first port PORT1 to the fourth port PORT4. As shown in FIG. 6A , the first port PORT1 and the second port PORT2 may be separated from each other in the X-axis direction, and the third port PORT3 and the fourth port PORT4 may be separated from each other in the Y-axis direction. In some exemplary embodiments, the first port PORT1 to the fourth port PORT4 may have the same or similar structure as the port structure described with reference to FIG. 3A , respectively.

底部補塊62可經由在X軸方向上彼此分隔開的第一埠PORT1及第二埠PORT2而接收第一差分訊號,且可經由在Y軸方 向上彼此分隔開的第三埠PORT3及第四埠PORT4而接收第二差分訊號。連接至天線模組60的RFIC(例如,圖2A所示的200a)可產生第一差分訊號及第二差分訊號,且可將第一差分訊號及第二差分訊號提供至天線模組60。因此,如參照圖4所述,天線模組60可因提供第一差分訊號的第一埠PORT1及第二埠PORT2以及提供第二差分訊號的第三埠PORT3及第四埠PORT4而具有高功率效率。此外,由於在X軸方向上彼此分隔開的第一埠PORT1及第二埠PORT2以及在Y軸方向上彼此分隔開的第三埠PORT3及第四埠PORT4,天線模組60可提供雙極化(dual-polarization)。 The bottom patch 62 can receive the first differential signal through the first port PORT1 and the second port PORT2 separated from each other in the X-axis direction, and can receive the first differential signal through the Y-axis direction. The third port PORT3 and the fourth port PORT4 separated from each other receive the second differential signal. The RFIC connected to the antenna module 60 (for example, 200a shown in FIG. 2A ) can generate the first differential signal and the second differential signal, and can provide the first differential signal and the second differential signal to the antenna module 60 . Therefore, as described with reference to FIG. 4, the antenna module 60 can have high power due to the first port PORT1 and the second port PORT2 providing the first differential signal and the third port PORT3 and the fourth port PORT4 providing the second differential signal. efficiency. In addition, the antenna module 60 can provide dual Polarization (dual-polarization).

參照圖6B,底部補塊62可具有矩形形狀,在X軸方向上具有長度L1,且在Y軸方向上具有長度L2。分別包括在四個埠(亦即,第一埠PORT1至第四埠PORT4)中的四條饋送線可在四個饋送點(亦即,第一饋送點P1至第四饋送點P4)處連接至底部補塊62的下表面。亦即,第一埠PORT1的饋送線可在第一饋送點P1處連接至底部補塊62,第二埠PORT2的饋送線可在第二饋送點P2處連接至底部補塊62,第三埠PORT3的饋送線可在第三饋送點P3處連接至底部補塊62,且第四埠PORT4的饋送線可在第四饋送點P4處連接至底部補塊62。因此,如由圖6B所示的實心圓所指示,第一差分訊號可被施加至第一饋送點P1及第二饋送點P2。此外,如由圖6B中的空心圓所指示,第二差分訊號可被施加至第三饋送點P3及第四饋送點P4。 Referring to FIG. 6B , the bottom patch 62 may have a rectangular shape with a length L1 in the X-axis direction and a length L2 in the Y-axis direction. Four feed lines respectively included in the four ports (ie, the first port PORT1 to the fourth port PORT4) can be connected to the four feed points (ie, the first feed point P1 to the fourth feed point P4) to The lower surface of the bottom patch 62 . That is, the feed line of the first port PORT1 can be connected to the bottom patch 62 at the first feed point P1, the feed line of the second port PORT2 can be connected to the bottom patch 62 at the second feed point P2, and the third port The feed line of PORT3 can be connected to the bottom patch 62 at the third feed point P3, and the feed line of the fourth port PORT4 can be connected to the bottom patch 62 at the fourth feed point P4. Therefore, as indicated by the solid circles shown in FIG. 6B , the first differential signal can be applied to the first feeding point P1 and the second feeding point P2 . Furthermore, as indicated by the open circles in FIG. 6B , the second differential signal may be applied to the third feed point P3 and the fourth feed point P4 .

在一些示例性實施例中,底部補塊62在X軸方向上的長 度L1可為由第一差分訊號產生的發射波長的一半,且底部補塊62在Y軸方向上的長度L2可為由第二差分訊號產生的發射波長的一半。第一饋送點P1至第四饋送點P4的位置可藉由阻抗匹配確定。在一些示例性實施例中,第一饋送點P1及第二饋送點P2可設置於與X軸平行的第一中心線LY上或靠近第一中心線LY,並與底部補塊62的中心相交。在一些示例性實施例中,第三饋送點P3及第四饋送點P4可設置於與Y軸平行的第二中心線LX上或靠近第二中心線LX,並與底部補塊62的中心相交。 In some exemplary embodiments, the length of the bottom patch 62 in the X-axis direction The length L1 may be half of the emission wavelength generated by the first differential signal, and the length L2 of the bottom patch 62 in the Y-axis direction may be half the emission wavelength generated by the second differential signal. The positions of the first feed point P1 to the fourth feed point P4 can be determined by impedance matching. In some exemplary embodiments, the first feed point P1 and the second feed point P2 can be set on or close to the first center line LY parallel to the X axis, and intersect the center of the bottom patch 62 . In some exemplary embodiments, the third feeding point P3 and the fourth feeding point P4 can be set on or close to the second centerline LX parallel to the Y axis, and intersect the center of the bottom patch 62 .

圖7為概括天線模組的模擬結果的圖式。詳細而言,圖7示出經由四個埠向其饋送二個差分訊號的天線模組71的模擬結果以及經由單個埠向其饋送訊號的天線模組72的模擬結果。 FIG. 7 is a graph summarizing the simulation results of the antenna module. In detail, FIG. 7 shows the simulation results of the antenna module 71 fed with two differential signals via four ports and the simulation results of the antenna module 72 fed with a signal via a single port.

參照圖7,包括第一埠PORT1、第二埠PORT2、第三埠PORT3以及第四埠PORT4的天線模組71可被稱為雙饋送(dual-fed)/雙極化(dual-polarized)塊狀天線模組71,且僅包括第一埠PORT1的天線模組72可被稱為單饋送(single-fed)塊狀天線模組72。參照圖7所示的表格,將同一功率輸入(亦即,10dBm)下的雙饋送/雙極化塊狀天線模組71與單饋送塊狀天線模組72進行比較,雙饋送/雙極化塊狀天線模組71可與單饋送塊狀天線模組72具有相等的面積(亦即,8毫米×8毫米),且此外,EIRP以及輻射功率可在無功率結合損耗的情況下增大大於3dB。結果,模擬結果指示出雙饋送結構可在無功率結合損耗的情況下應用至雙極化應用。 Referring to FIG. 7, an antenna module 71 including a first port PORT1, a second port PORT2, a third port PORT3 and a fourth port PORT4 may be called a dual-fed/dual-polarized block The antenna module 71 and the antenna module 72 including only the first port PORT1 can be referred to as a single-fed block antenna module 72 . Referring to the table shown in FIG. 7, the dual feed/dual polarization block antenna module 71 under the same power input (that is, 10dBm) is compared with the single feed block antenna module 72, and the dual feed/dual polarization The block antenna module 71 can have the same area as the single-feed block antenna module 72 (i.e., 8 mm x 8 mm), and moreover, the EIRP and radiated power can be increased by more than 3dB. As a result, simulation results indicate that the dual-feed structure can be applied to dual-polarization applications without power combining losses.

圖8為根據一些示例性實施例的天線模組的圖式。詳細而言,圖8示出較對應於雙極化天線的天線模組81具有更有利特性的天線模組82及83。 Figure 8 is a diagram of an antenna module according to some example embodiments. In detail, FIG. 8 shows antenna modules 82 and 83 having more favorable characteristics than the antenna module 81 corresponding to the dual-polarized antenna.

參照圖8,天線模組81可包括第一補塊81_1至第四補塊81_4,且第一補塊81_1至第四補塊81_4中的每一者可具有單饋送/雙極化結構。舉例而言,在第一補塊81_1至第四補塊81_4中的每一者中,藉由施加至由實心圓指示的饋送點的訊號而形成大小在與X軸平行的方向上變化的電場,且此外,藉由施加至由空白圓指示的饋送點的訊號而形成大小在與Y軸平行的方向上變化的電場。 Referring to FIG. 8 , the antenna module 81 may include first to fourth patches 81_1 to 81_4 , and each of the first to fourth patches 81_1 to 81_4 may have a single feed/dual polarization structure. For example, in each of the first to fourth patches 81_1 to 81_4, an electric field whose magnitude varies in a direction parallel to the X axis is formed by a signal applied to a feeding point indicated by a solid circle. , and furthermore, an electric field whose magnitude varies in a direction parallel to the Y-axis is formed by a signal applied to a feeding point indicated by an open circle.

如參照圖4、圖5A及圖5B所述,具有雙饋送結構的天線模組可具有增大的EIRP,且可根據應用的約束採用具有雙饋送結構的天線模組82及83。舉例而言,在具有空間約束的通訊裝置的情形中,可使用具有包括二個補塊81_1及82_2的雙饋送/雙極化1×2塊狀陣列結構的天線模組82。將同一功率輸入下的天線模組82與天線模組81進行比較,在提供類似EIRP時天線模組82可具有減小的面積。此外,在以有限功率資源利用高發射功率的通訊裝置的情形中,可使用具有包括四個補塊83_1至83_4的雙饋送/雙極化2對2(2by2)塊狀陣列結構的天線模組83。在將同一功率輸入下的天線模組83與天線模組81進行比較時,在具有相同的面積時天線模組83可提供較高的EIRP。天線模組82及83為實例,且因此應理解,可採用具有包括根據應用以各種方式設 置的補塊的雙饋送結構的天線模組。 As described with reference to FIG. 4 , FIG. 5A and FIG. 5B , antenna modules with dual feed structures can have increased EIRP, and antenna modules 82 and 83 with dual feed structures can be employed according to application constraints. For example, in the case of a communication device with space constraints, the antenna module 82 with a dual feed/dual polarization 1×2 block array structure including two patches 81_1 and 82_2 may be used. Comparing the antenna module 82 with the antenna module 81 at the same power input, the antenna module 82 may have a reduced area while providing a similar EIRP. In addition, in the case of a communication device utilizing high transmission power with limited power resources, an antenna module having a dual-feed/dual-polarization 2-to-2 (2by2) block array structure including four patches 83_1 to 83_4 can be used 83. When comparing the antenna module 83 with the antenna module 81 under the same power input, the antenna module 83 can provide a higher EIRP with the same area. Antenna modules 82 and 83 are examples, and thus it should be understood that antenna modules with different configurations may be used, including in various ways depending on the application. An antenna module with a dual-feed structure of the patch.

圖9A至圖9C為根據一些示例性實施例的天線。詳細而言,圖9A示出根據比較例具有單饋送1×2補塊陣列結構的天線模組90a,圖9B示出根據一些示例性實施例具有雙饋送1×2補塊陣列結構的天線模組90b,且圖9C示出具有雙饋送單補塊結構的天線模組90c。 9A-9C are antennas according to some example embodiments. In detail, FIG. 9A shows an antenna module 90a having a single-feed 1×2 patch array structure according to a comparative example, and FIG. 9B shows an antenna module having a dual-feed 1×2 patch array structure according to some exemplary embodiments. group 90b, and FIG. 9C shows an antenna module 90c with a dual-feed single-patch structure.

參照圖9A,天線模組90a中所包括的第一補塊91a及第二補塊92a可各自經由單個饋送點自單個功率放大器接收訊號。參照圖9B,天線模組90b中所包括的第一補塊91b及第二補塊92b可各自經由二個饋送點自二個功率放大器接收差分訊號。參照圖9C,天線模組90c中所包括的第一補塊91c可經由二個饋送點自二個功率放大器接收差分訊號。在圖9A至圖9C中,假定連接至補塊的饋送線的長度相等,功率放大器各自輸出6dBm的功率,且天線模組90a、90b及90c的補塊中的每一者提供5dBi的天線增益。 Referring to FIG. 9A , the first patch 91 a and the second patch 92 a included in the antenna module 90 a can each receive a signal from a single power amplifier via a single feeding point. Referring to FIG. 9B , the first patch 91b and the second patch 92b included in the antenna module 90b can each receive differential signals from two power amplifiers via two feeding points. Referring to FIG. 9C , the first patch 91c included in the antenna module 90c can receive differential signals from two power amplifiers through two feeding points. In FIGS. 9A to 9C , assuming that the lengths of the feed lines connected to the patches are equal, the power amplifiers each output 6dBm of power, and each of the patches of the antenna modules 90a, 90b, and 90c provides an antenna gain of 5dBi .

天線模組90a的EIRP可由如下方程式1計算。 The EIRP of the antenna module 90a can be calculated by Equation 1 below.

[方程式1]17dBm=6dBm+10log102+5dBi+10log102 [Equation 1] 17dBm=6dBm+10log 10 2+5dBi+10log 10 2

在方程式1中,前面的10log102可對應於二個功率放大器,且後面的10log102可對應於第一補塊91a及第二補塊92a。 In Equation 1, the preceding 10log 10 2 may correspond to two power amplifiers, and the following 10log 10 2 may correspond to the first patch 91a and the second patch 92a.

天線模組90b的EIRP可由如下方程式2計算。 The EIRP of the antenna module 90b can be calculated by Equation 2 below.

[方程式2] 20dBm=6dBm+10log104+5dBi+10log102 [Equation 2] 20dBm=6dBm+10log 10 4+5dBi+10log 10 2

在方程式2中,10log104可對應於四個功率放大器,且10log102可對應於第一補塊91b及第二補塊92b。因此,可藉由相同1×2補塊陣列中的雙饋送結構達成高EIRP。另一方面,在圖9B所示的功率放大器的輸出功率被降低至3dBm以降低功率放大器的功耗的情形中,可如方程式3計算圖9B所示天線模組90b的EIRP,且因此可達成圖9A所示天線模組90a的相同EIRP。 In Equation 2, 10log 10 4 may correspond to four power amplifiers, and 10log 10 2 may correspond to the first patch 91b and the second patch 92b. Therefore, high EIRP can be achieved by the dual feed structure in the same 1x2 patch array. On the other hand, in the case where the output power of the power amplifier shown in FIG. 9B is reduced to 3dBm to reduce the power consumption of the power amplifier, the EIRP of the antenna module 90b shown in FIG. 9B can be calculated as Equation 3, and thus can be achieved The same EIRP for antenna module 90a shown in FIG. 9A.

[方程式3]17dBm=3dBm+10log104+5dBi+10log102 [Equation 3] 17dBm=3dBm+10log 10 4+5dBi+10log 10 2

可如以下方程式4計算圖9C所示天線模組90c的EIRP。當與圖9A所示的天線模組90a比較時,減小了EIRP。然而,利用單個補塊可達成減小大約40%的面積。 The EIRP of the antenna module 90c shown in FIG. 9C can be calculated as Equation 4 below. The EIRP is reduced when compared to the antenna module 90a shown in FIG. 9A. However, an area reduction of approximately 40% can be achieved with a single patch.

[方程式4]14dBm=6dBm+10log102+5dBi [Equation 4] 14dBm=6dBm+10log 10 2+5dBi

圖10為根據一些示例性實施例的天線100’及RFIC 200’的方塊圖。詳細而言,圖10示出包括具有雙饋送/雙極化結構的第一補塊101及第二補塊102的天線100’、以及包括第一收發器221至第八收發器228的RFIC 200’。 Figure 10 is a block diagram of an antenna 100' and an RFIC 200' according to some example embodiments. In detail, FIG. 10 shows an antenna 100' including a first patch 101 and a second patch 102 having a dual-feed/dual-polarization structure, and an RFIC 200 including a first transceiver 221 to an eighth transceiver 228. '.

RFIC 200’可經由對應於天線100’的八個埠的八條饋送線15’連接至天線100’。舉例而言,如以上參照圖2A至圖2C所述,天線100’及包括饋送線15’的天線模組可設置於RFIC 200’上,且可在RFIC 200’的上表面上以及天線模組的下表面上形成至少一 個連接。天線100’可經由分別連接至第一補塊101及第二補塊102上的八個饋送點的八條饋送線15’而自RFIC 200’接收四個差分訊號。對於此操作而言,RFIC 200’中所包括的每一對收發器可產生單個差分訊號,且因此第一收發器221至第八收發器228可產生四個差分訊號。 The RFIC 200' can be connected to the antenna 100' via eight feed lines 15' corresponding to the eight ports of the antenna 100'. For example, as described above with reference to FIGS. 2A to 2C , the antenna 100' and the antenna module including the feeder line 15' may be disposed on the RFIC 200', and may be on the upper surface of the RFIC 200' and the antenna module Formed on the lower surface of at least one connections. The antenna 100' can receive four differential signals from the RFIC 200' via eight feed lines 15' respectively connected to eight feed points on the first patch 101 and the second patch 102. For this operation, each pair of transceivers included in the RFIC 200' can generate a single differential signal, and thus the first transceiver 221 to the eighth transceiver 228 can generate four differential signals.

開關/雙工器220可根據傳輸模式或接收模式將第一收發器221至第八收發器228的輸出端子或輸入端子連接至八條饋送線15’或自八條饋送線15’斷開所述輸出端子或輸入端子的連接。舉例而言,在傳輸模式中,開關/雙工器220可將第一收發器221的輸出端子連接至八條饋送線15’的第一饋送線,且可斷開第一收發器221的輸入端子與第一饋送線之間的連接。此外,在接收模式中,開關/雙工器220可將第一收發器221的輸入端子連接至第一饋送線,且可斷開第一收發器221的輸出端子與第一饋送線之間的連接。以下將參照圖11闡述RFIC 200’中所包括的收發器的實例。 The switch/duplexer 220 can connect the output terminal or the input terminal of the first transceiver 221 to the eighth transceiver 228 to the eight feeding lines 15' or disconnect them from the eight feeding lines 15' according to the transmission mode or the reception mode. Connect the output terminal or input terminal described above. For example, in transmission mode, the switch/duplexer 220 can connect the output terminal of the first transceiver 221 to the first feeder line of the eight feeder lines 15', and can disconnect the input of the first transceiver 221 connection between the terminal and the first feeder line. In addition, in the receive mode, the switch/duplexer 220 can connect the input terminal of the first transceiver 221 to the first feed line, and can disconnect the output terminal of the first transceiver 221 from the first feed line. connect. An example of a transceiver included in the RFIC 200' will be explained below with reference to FIG. 11 .

圖11為根據一些示例性實施例的RFIC 200”的方塊圖。詳細而言,圖11示出在圖10所示的RFIC 200’中所包括的收發器的實例。如以上參照圖10所述,第一收發器221’及第三收發器223’可輸出差分訊號,且開關/雙工器220’可在傳輸模式中將差分訊號傳輸至饋送線。亦即,自第一收發器221’發出的第一傳輸訊號TX1以及自第三收發器223’發出的第三傳輸訊號TX3可施加至單個補塊上的二個單獨的饋送點。此外,由第一收發器221’接收 的第一接收訊號RX1以及由第三收發器223’接收的第三接收訊號RX3可被單個補塊上的二個單獨的饋送點接收。 Figure 11 is a block diagram of an RFIC 200'' according to some exemplary embodiments. In detail, Figure 11 shows an example of a transceiver included in the RFIC 200' shown in Figure 10. As described above with reference to Figure 10 , the first transceiver 221' and the third transceiver 223' can output differential signals, and the switch/duplexer 220' can transmit the differential signals to the feed line in the transmission mode. That is, from the first transceiver 221' The first transmission signal TX1 issued and the third transmission signal TX3 issued from the third transceiver 223' can be applied to two separate feeding points on a single patch. In addition, the first transmission signal received by the first transceiver 221' The first received signal RX1 and the third received signal RX3 received by the third transceiver 223' can be received by two separate feed points on a single patch.

參照圖11,第一收發器221’可包括功率放大器221_1、低雜訊放大器221_3以及移相器221_2及221_4。類似於第一收發器221’,第三收發器223’可包括功率放大器223_1、低雜訊放大器223_3以及移相器223_2及223_4。在傳輸模式中,第一收發器221’及第三收發器223’的功率放大器221_1及223_1可分別輸出第一傳輸訊號TX1及第三傳輸訊號TX3。在接收模式中,第一收發器221’及第三收發器223’的低雜訊放大器221_3及223_3可分別接收第一接收訊號RX1及第三接收訊號RX3。 Referring to FIG. 11 , the first transceiver 221' may include a power amplifier 221_1, a low noise amplifier 221_3, and phase shifters 221_2 and 221_4. Similar to the first transceiver 221', the third transceiver 223' may include a power amplifier 223_1, a low noise amplifier 223_3, and phase shifters 223_2 and 223_4. In the transmission mode, the power amplifiers 221_1 and 223_1 of the first transceiver 221' and the third transceiver 223' can respectively output the first transmission signal TX1 and the third transmission signal TX3. In the receiving mode, the low noise amplifiers 221_3 and 223_3 of the first transceiver 221' and the third transceiver 223' can respectively receive the first receiving signal RX1 and the third receiving signal RX3.

第一收發器221’的移相器221_2及221_4以及第三收發器223’的移相器223_2及223_4可提供180度的相位差。舉例而言,第一收發器221’的傳輸移相器221_2可提供相對於指向功率放大器221_1的輸入訊號具有為零度的相位差的輸出訊號,且第三收發器223’的傳輸移相器223_2可提供相對於指向功率放大器223_1的輸入訊號具有為180度的相位差的輸出訊號,其中所述輸入訊號與被提供至第一收發器221’的傳輸移相器221_2的輸入訊號相同。因此,第一傳輸訊號TX1及第三傳輸訊號TX3可具有180度的相位差,且可對應於差分訊號。此外,第一收發器221’的接收移相器221_4可輸出相對於低雜訊放大器221_3的輸出訊號具有為零度的相位差的訊號,且第三收發器223’的接收移相器223_4可輸出相對於低雜訊放大器223_3的輸出訊號具有為180度的相 位差的訊號。 The phase shifters 221_2 and 221_4 of the first transceiver 221' and the phase shifters 223_2 and 223_4 of the third transceiver 223' can provide a phase difference of 180 degrees. For example, the transmit phase shifter 221_2 of the first transceiver 221' may provide an output signal with a phase difference of zero degrees with respect to the input signal directed to the power amplifier 221_1, and the transmit phase shifter 223_2 of the third transceiver 223' An output signal having a phase difference of 180 degrees with respect to the input signal directed to the power amplifier 223_1, which is the same as the input signal provided to the transmit phase shifter 221_2 of the first transceiver 221', may be provided. Therefore, the first transmission signal TX1 and the third transmission signal TX3 may have a phase difference of 180 degrees, and may correspond to differential signals. In addition, the receiving phase shifter 221_4 of the first transceiver 221' can output a signal having a phase difference of zero degrees with respect to the output signal of the low noise amplifier 221_3, and the receiving phase shifter 223_4 of the third transceiver 223' can output With respect to the output signal of the low noise amplifier 223_3 has a phase of 180 degrees Poor signal.

圖12為根據一些示例性實施例的天線模組100”的圖式。如以上參照圖式所述,天線模組100”可包括分別連接至供應差分訊號的多條饋送線的塊狀天線111至114。此外,為達成雙極化塊狀天線,可將二個差分訊號施加至塊狀天線111至114中的每一者。 FIG. 12 is a diagram of an antenna module 100″ according to some exemplary embodiments. As described above with reference to the drawings, the antenna module 100″ may include block antennas 111 respectively connected to a plurality of feed lines supplying differential signals. to 114. Furthermore, to achieve a dual polarized patch antenna, two differential signals may be applied to each of the patch antennas 111 - 114 .

參照圖12,除塊狀天線111至114以外,天線模組100”亦可包括偶極(dipole)天線121至124。如此一來,可藉由向塊狀天線111至114添加不同種類的天線而擴展天線模組100”的覆蓋範圍。圖12所示的塊狀天線111至114以及偶極天線121至124僅為實例,且因此應理解,可以與圖12所示的天線的設置不同的方式設置天線。 Referring to FIG. 12, in addition to block antennas 111 to 114, the antenna module 100" can also include dipole antennas 121 to 124. In this way, different types of antennas can be added to the block antennas 111 to 114. And expand the coverage of the antenna module 100". The block antennas 111 to 114 and the dipole antennas 121 to 124 shown in FIG. 12 are merely examples, and thus it should be understood that the antennas may be arranged differently from the arrangement of the antennas shown in FIG. 12 .

圖13為根據一些示例性實施例包括天線的通訊裝置的方塊圖。詳細而言,圖13示出在無線通訊系統600中基站610與使用者設備620之間的無線通訊的實例。基站610及使用者設備620中的一者或二者可包括多饋送結構天線,且可包括提供差分訊號的RFIC。 Figure 13 is a block diagram of a communication device including an antenna according to some example embodiments. In detail, FIG. 13 shows an example of wireless communication between a base station 610 and a user equipment 620 in a wireless communication system 600 . One or both of the base station 610 and the UE 620 may include a multi-feed structure antenna, and may include an RFIC for providing differential signaling.

基站610可為與使用者設備620及/或另一基站通訊的固定站。舉例而言,基站610可被稱為節點B、演進節點B(evolved-Node B,eNB)、扇區(sector)、站(site)、基站收發系統(Base Transceiver System,BTS)、存取點(access pint)、中繼節點(relay node)、遠端無線電頭(Remote Radio Head,RRH)、 無線電台(Radio Unit,RU)、小區(small cell)等。使用者設備620可為固定的或可移動的,且可藉由與基站610通訊而傳輸及接收資料及/或控制資訊。舉例而言,使用者設備620可被稱為終端設備、行動站(mobile station,MS)、行動終端(mobile terminal,MT)、使用者終端(user terminal,UT)、用戶站(subscriber station,SS)、無線裝置、手持式裝置等。 The base station 610 may be a fixed station that communicates with the UE 620 and/or another base station. For example, the base station 610 may be called a Node B, an evolved Node B (evolved-Node B, eNB), a sector (sector), a station (site), a Base Transceiver System (Base Transceiver System, BTS), an access point (access pint), relay node (relay node), remote radio head (Remote Radio Head, RRH), radio station (Radio Unit, RU), cell (small cell), etc. UE 620 may be fixed or mobile, and may transmit and receive data and/or control information by communicating with base station 610 . For example, the user equipment 620 may be called terminal equipment, mobile station (mobile station, MS), mobile terminal (mobile terminal, MT), user terminal (user terminal, UT), subscriber station (subscriber station, SS) ), wireless devices, handheld devices, etc.

如在圖13中所繪示,基站610及使用者設備620可各自包括多個天線,且可經由多輸入多輸出通道630執行無線通訊。根據一些示例性實施例,所述天線中的每一者可具有多饋送結構及/或雙極化結構。差分訊號可藉由RFIC被提供至天線,且基站610及/或使用者設備620的相應天線可根據特定應用的約束進行配置。舉例而言,可藉由使無線電頻率路徑增加兩倍而增大EIRP,且因此天線的面積(或形狀因數)可減小至一半。此外,經改良的EIRP使得能夠達成寬的束、將DC功率耗散減小至一半、並減小相位解析度的複雜性。此外,由於可使用RFIC的增大數目的無線電頻率路徑,因此可利用減小的傳輸功率輕易地達成毫米波天線模組。此外,根據一些示例性實施例,可藉由將二對差分饋送結構應用至單個塊狀天線而輕易地達成雙極化塊狀天線。 As shown in FIG. 13 , the base station 610 and the UE 620 may each include multiple antennas, and may perform wireless communication via MIMO 630 . According to some exemplary embodiments, each of the antennas may have a multi-feed structure and/or a dual-polarization structure. Differential signals can be provided to the antennas via the RFIC, and the corresponding antennas of the base station 610 and/or UE 620 can be configured according to application-specific constraints. For example, EIRP can be increased by tripling the radio frequency path, and thus the area (or form factor) of the antenna can be reduced to half. Furthermore, the improved EIRP enables a wide beam, reduces DC power dissipation in half, and reduces the complexity of phase resolution. Furthermore, since an increased number of radio frequency paths of the RFIC can be used, a mmWave antenna module can be easily implemented with reduced transmission power. Furthermore, according to some exemplary embodiments, a dual polarized patch antenna can be easily achieved by applying two pairs of differential feeding structures to a single patch antenna.

圖14為示出根據一些示例性實施例包括天線的通訊裝置的圖式。詳細而言,圖14示出使用無線局域網路的無線通訊系統中的各種無線通訊裝置的互相通訊的實例。在圖14中所繪示的各種無線通訊裝置分別可包括多饋送天線,且可包括將差分訊號提 供至多饋送天線的RFIC。 FIG. 14 is a diagram illustrating a communication device including an antenna according to some example embodiments. In detail, FIG. 14 shows an example of mutual communication of various wireless communication devices in a wireless communication system using a wireless local area network. The various wireless communication devices shown in FIG. 14 may respectively include multi-feed antennas, and may include providing differential signals to RFIC for up to feed antennas.

家用小工具721、家用電器722、娛樂裝置723以及存取點(Access Point,AP)710可構成物聯網(Internet of Things,IoT)。家用小工具721、家用電器722、娛樂裝置723以及存取點710各自可包括根據一些示例性實施例的收發器作為其一部分。家用小工具721、家用電器722以及娛樂裝置723可經由存取點710而彼此進行無線通訊。 Household gadgets 721 , household appliances 722 , entertainment devices 723 and access points (Access Point, AP) 710 may constitute an Internet of Things (IoT). The household gadget 721 , the household appliance 722 , the entertainment device 723 and the access point 710 each may include as part thereof a transceiver according to some example embodiments. The household gadget 721 , the household appliance 722 and the entertainment device 723 can communicate with each other wirelessly via the access point 710 .

如上所述,已在圖式及說明書中揭露了一些示例性實施例。在本說明書中,利用一些具體用語闡述了一些示例性實施例,但所用的用語僅用於闡述本發明概念的技術範圍的目的,且並非旨在限制在申請專利範圍中闡述的技術範圍的含義。因此,熟習此項技術者應理解,在不背離由隨附申請專利範圍界定的本發明概念的精神及範圍的條件下可作出各種形式及細節上的變化。因此,本發明概念的範圍不由本發明概念的詳細說明界定而是由隨附申請專利範圍界定。 As mentioned above, some exemplary embodiments have been disclosed in the drawings and specification. In this specification, some exemplary embodiments have been described using some specific terms, but the terms used are only for the purpose of clarifying the technical scope of the concept of the present invention, and are not intended to limit the meaning of the technical scope described in the scope of claims . Accordingly, those skilled in the art will understand that various changes in form and details may be made without departing from the spirit and scope of the inventive concept as defined by the appended claims. Therefore, the scope of the inventive concept is defined not by the detailed description of the inventive concept but by the appended claims.

10‧‧‧通訊設備 10‧‧‧Communication equipment

15‧‧‧饋送線 15‧‧‧Feeding line

100‧‧‧天線 100‧‧‧antenna

200‧‧‧無線電頻率積體電路(RFIC) 200‧‧‧Radio Frequency Integrated Circuit (RFIC)

300‧‧‧訊號處理器 300‧‧‧Signal Processor

RX‧‧‧接收訊號 RX‧‧‧receive signal

TX‧‧‧傳輸訊號 TX‧‧‧transmission signal

Claims (20)

一種無線電頻率(RF)裝置,包括:無線電頻率積體電路(RFIC)晶片;以及天線模組,位於所述無線電頻率積體電路晶片的上表面上,所述天線模組包括:第一補塊,與所述無線電頻率積體電路晶片平行且具有上表面,所述上表面被配置成在與所述第一補塊相對的垂直方向上自所述無線電頻率積體電路晶片發出輻射,接地板,與所述第一補塊平行,且位於所述第一補塊與所述無線電頻率積體電路晶片之間;以及第一多個饋送線,連接至所述第一補塊的下表面且被配置成自所述無線電頻率積體電路晶片向所述第一補塊供應多個第一組差分訊號,所述多個第一組差分訊號中的每一者包括兩個相反相位的訊號,其中所述第一多個饋送線包括:第一饋送線及第二饋送線,分別連接至所述第一補塊的所述下表面上的第一饋送點及第二饋送點且被配置成將所述多個第一組差分訊號中的一者供應至所述第一補塊;以及第三饋送線及第四饋送線,分別連接至所述第一補塊的所述下表面上的第三饋送點及第四饋送點且被配置成將所述多個第一組差分訊號中的另一者供應至所述第一補塊,所述第一饋送點、所述第二饋送點、所述第三饋送 點及所述第四饋送點設置於所述第一補塊的所述下表面以用於雙極化。 A radio frequency (RF) device comprising: a radio frequency integrated circuit (RFIC) die; and an antenna module located on an upper surface of the radio frequency integrated circuit die, the antenna module comprising: a first patch , parallel to the radio frequency integrated circuit chip and having an upper surface configured to emit radiation from the radio frequency integrated circuit chip in a vertical direction opposite to the first patch, a ground plate , parallel to the first patch and located between the first patch and the radio frequency integrated circuit die; and a first plurality of feed lines connected to the lower surface of the first patch and configured to supply a plurality of first set of differential signals from the radio frequency integrated circuit chip to the first patch, each of the plurality of first set of differential signals comprising two signals of opposite phases, Wherein the first plurality of feed lines include: a first feed line and a second feed line, respectively connected to a first feed point and a second feed point on the lower surface of the first patch and configured to supplying one of the plurality of first differential signals to the first patch; and a third feed line and a fourth feed line respectively connected to the lower surface of the first patch A third feed point and a fourth feed point configured to supply the other of the plurality of first differential signals to the first patch block, the first feed point, the second feed point , the third feed point and the fourth feed point are provided on the lower surface of the first patch for dual polarization. 如申請專利範圍第1項所述的無線電頻率裝置,其中所述第一饋送點與所述第二饋送點在第一水平方向上分隔開。 The radio frequency device according to claim 1, wherein the first feeding point is separated from the second feeding point in a first horizontal direction. 如申請專利範圍第2項所述的無線電頻率裝置,其中所述第一饋送點及所述第二饋送點接近在所述第一水平方向上與所述第一補塊的中心相交的第一中心線。 The radio frequency device according to claim 2 of the patent application, wherein the first feeding point and the second feeding point are close to the first intersection point which intersects the center of the first patch in the first horizontal direction. center line. 如申請專利範圍第2項所述的無線電頻率裝置,其中所述第一饋送點與所述第二饋送點相等地接近所述第一補塊的中心。 The radio frequency device according to claim 2, wherein the first feed point and the second feed point are equally close to the center of the first patch. 如申請專利範圍第2項所述的無線電頻率裝置,其中所述第一饋送線包括在所述第一水平方向上延伸的第一部分以及在所述垂直方向上延伸的第二部分,且所述第二饋送線包括在所述第一水平方向上延伸的第一部分以及在所述垂直方向上延伸的第二部分。 The radio frequency device according to claim 2, wherein the first feeder line includes a first portion extending in the first horizontal direction and a second portion extending in the vertical direction, and the The second feed line includes a first portion extending in the first horizontal direction and a second portion extending in the vertical direction. 如申請專利範圍第2項所述的無線電頻率裝置,其中所述第一補塊的所述上表面及所述第一補塊的所述下表面中的每一者具有矩形形狀,所述矩形形狀包括一對與所述第一水平方向平行的側邊。 The radio frequency device according to claim 2, wherein each of the upper surface of the first patch and the lower surface of the first patch has a rectangular shape, the rectangle The shape includes a pair of sides parallel to the first horizontal direction. 如申請專利範圍第2項所述的無線電頻率裝置,其中 所述第三饋送點與所述第四饋送點在與所述第一水平方向垂直的第二水平方向上分隔開。 The radio frequency device described in item 2 of the scope of the patent application, wherein The third feed point is spaced apart from the fourth feed point in a second horizontal direction perpendicular to the first horizontal direction. 如申請專利範圍第7項所述的無線電頻率裝置,其中所述第三饋送點及所述第四饋送點接近在所述第二水平方向上與所述第一補塊的中心相交的第二中心線。 The radio frequency device according to claim 7, wherein the third feeding point and the fourth feeding point are close to the second intersection with the center of the first patch in the second horizontal direction. center line. 如申請專利範圍第7項所述的無線電頻率裝置,其中所述第三饋送點與所述第四饋送點相等地接近所述第一補塊的中心。 The radio frequency device according to claim 7, wherein the third feed point and the fourth feed point are equally close to the center of the first patch. 如申請專利範圍第7項所述的無線電頻率裝置,其中所述第三饋送線包括在所述第二水平方向上延伸的第一部分以及在所述垂直方向上延伸的第二部分,且所述第四饋送線包括在所述第二水平方向上延伸的第一部分以及在所述垂直方向上延伸的第二部分。 The radio frequency device according to claim 7, wherein the third feed line includes a first portion extending in the second horizontal direction and a second portion extending in the vertical direction, and the The fourth feed line includes a first portion extending in the second horizontal direction and a second portion extending in the vertical direction. 如申請專利範圍第7項所述的無線電頻率裝置,其中所述天線模組更包括:第二補塊,在所述第一水平方向上自所述第一補塊分隔開;以及第二多個饋送線,連接至所述第二補塊的下表面且被配置成自所述無線電頻率積體電路晶片向所述第二補塊供應至少一個第二差分訊號。 The radio frequency device as described in item 7 of the scope of the patent application, wherein the antenna module further includes: a second patch separated from the first patch in the first horizontal direction; and a second patch A plurality of feed lines connected to the lower surface of the second patch and configured to supply at least one second differential signal from the radio frequency integrated circuit chip to the second patch. 如申請專利範圍第11項所述的無線電頻率裝置,其中所述天線模組更包括: 第三補塊,在所述第二水平方向上自所述第一補塊分隔開;第四補塊,在所述第二水平方向上自所述第二補塊分隔開;第三多個饋送線,分別連接至所述第三補塊及所述第四補塊的下表面且被配置成自所述無線電頻率積體電路晶片向所述第三補塊及所述第四補塊供應至少一個第三差分訊號。 The radio frequency device as described in item 11 of the patent application, wherein the antenna module further includes: a third patch spaced apart from the first patch in the second horizontal direction; a fourth patch spaced apart from the second patch in the second horizontal direction; a third patch a plurality of feed lines respectively connected to the lower surfaces of the third patch and the fourth patch and configured to feed from the radio frequency integrated circuit chip to the third patch and the fourth patch The block supplies at least a third differential signal. 如申請專利範圍第1項所述的無線電頻率裝置,其中所述天線模組更包括頂部補塊,所述頂部補塊在所述第一補塊的所述上表面上方平行於所述第一補塊。 The radio frequency device as described in item 1 of the patent scope, wherein the antenna module further includes a top patch, and the top patch is parallel to the first patch above the upper surface of the first patch Patch. 如申請專利範圍第1項所述的無線電頻率裝置,其中所述無線電頻率積體電路晶片包括:至少一個移相器,被配置成產生所述多個第一組差分訊號。 The radio frequency device according to claim 1, wherein the radio frequency integrated circuit chip includes: at least one phase shifter configured to generate the plurality of first differential signals. 如申請專利範圍第1項所述的無線電頻率裝置,其中所述第一補塊的所述上表面被進一步配置成經由所述第一多個饋送線接收輻射並將相應的訊號提供至所述無線電頻率積體電路晶片,且所述無線電頻率積體電路晶片包括至少一個移相器,所述至少一個移相器被配置成處理經由所述第一多個饋送線接收的所述訊號。 The radio frequency device according to claim 1, wherein the upper surface of the first patch is further configured to receive radiation via the first plurality of feed lines and provide corresponding signals to the A radio frequency integrated circuit chip, and the radio frequency integrated circuit chip includes at least one phase shifter configured to process the signals received via the first plurality of feed lines. 一種天線模組,包括:接地板;第一補塊,與所述接地板平行且具有上表面,所述上表面被配置成在與所述第一補塊相對的垂直方向上自所述接地板發出輻 射,以及第一多個饋送線,分別連接至所述第一補塊的下表面上的第一多個饋送點且被配置成將多個第一組差分訊號供應至所述第一補塊,所述多個第一組差分訊號中的每一者包括兩個相反相位的訊號,其中,所述第一多個饋送點包括:在第一水平方向上彼此分隔開的第一饋送點及第二饋送點,以用於第一極化;以及在與所述第一水平方向垂直的第二水平方向上彼此分隔開的第三饋送點及第四饋送點,以用於第二極化。 An antenna module, comprising: a ground plate; a first patch, parallel to the ground plate and having an upper surface, the upper surface is configured to pass from the ground in a vertical direction opposite to the first patch; floor radiation and a first plurality of feed lines respectively connected to a first plurality of feed points on the lower surface of the first patch and configured to supply a plurality of first differential signals to the first patch , each of the plurality of first differential signals includes two signals of opposite phases, wherein the first plurality of feed points includes: first feed points separated from each other in a first horizontal direction and a second feed point for the first polarization; and a third feed point and a fourth feed point spaced apart from each other in a second horizontal direction perpendicular to the first horizontal direction for the second polarization polarization. 如申請專利範圍第16項所述的天線模組,其中所述第一饋送點及所述第二饋送點接近在所述第一水平方向上與所述第一補塊的中心相交的第一中心線,且所述第三饋送點及所述第四饋送點接近在所述第二水平方向上與所述第一補塊的所述中心相交的第二中心線。 The antenna module as described in item 16 of the scope of the patent application, wherein the first feeding point and the second feeding point are close to the first intersection of the center of the first patch in the first horizontal direction. centerline, and the third feed point and the fourth feed point are close to a second centerline intersecting the center of the first patch in the second horizontal direction. 如申請專利範圍第16項所述的天線模組,其中所述第一饋送點與所述第二饋送點相等地接近所述第一補塊的中心,且所述第三饋送點與所述第四饋送點相等地接近所述第一補塊的所述中心。 The antenna module described in claim 16 of the scope of the patent application, wherein the first feed point and the second feed point are equally close to the center of the first patch, and the third feed point is close to the center of the first patch A fourth feed point is equally close to the center of the first patch. 如申請專利範圍第16項所述的天線模組,更包括:第二補塊,在所述第一水平方向上自所述第一補塊分隔開; 以及第二多個饋送線,分別連接至所述第二補塊的下表面上的第二多個饋送點。 The antenna module described in item 16 of the scope of the patent application further includes: a second patch separated from the first patch in the first horizontal direction; and a second plurality of feed lines respectively connected to a second plurality of feed points on the lower surface of the second patch. 如申請專利範圍第19項所述的天線模組,更包括:第三補塊,在所述第二水平方向上自所述第一補塊分隔開;第四補塊,在所述第二水平方向上自所述第二補塊分隔開;以及第三多個饋送線,分別連接至所述第三補塊及所述第四補塊的下表面上的第三多個饋送點。 The antenna module described in item 19 of the scope of the patent application further includes: a third patch separated from the first patch in the second horizontal direction; a fourth patch separated from the first patch in the second horizontal direction two horizontally separated from the second patch; and a third plurality of feed lines connected to a third plurality of feed points on the lower surfaces of the third patch and the fourth patch, respectively .
TW108100854A 2018-01-11 2019-01-09 Radio frequency device and antenna module TWI809027B (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
KR10-2018-0003888 2018-01-11
??10-2018-0003888 2018-01-11
KR20180003888 2018-01-11
KR1020180032345A KR102432378B1 (en) 2018-01-11 2018-03-20 Multi-fed antenna and device including the same
KR10-2018-0032345 2018-03-20
??10-2018-0032345 2018-03-20

Publications (2)

Publication Number Publication Date
TW201931667A TW201931667A (en) 2019-08-01
TWI809027B true TWI809027B (en) 2023-07-21

Family

ID=67512007

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108100854A TWI809027B (en) 2018-01-11 2019-01-09 Radio frequency device and antenna module

Country Status (3)

Country Link
KR (1) KR102432378B1 (en)
SG (1) SG10201811647UA (en)
TW (1) TWI809027B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI822185B (en) * 2022-07-14 2023-11-11 國立臺灣大學 Dual-band and dual-polarized RF package radiation structure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1071161A1 (en) * 1999-07-19 2001-01-24 Raytheon Company Multiple stacked patch antenna
US20170317418A1 (en) * 2013-09-11 2017-11-02 International Business Machines Corporation Antenna-in-package structures with broadside and end-fire radiations
US20190319367A1 (en) * 2018-04-11 2019-10-17 Apple Inc. Electronic Device Antenna Arrays Mounted Against a Dielectric Layer

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100902496B1 (en) * 2007-08-31 2009-06-15 주식회사 이엠따블유안테나 Polarization transformation antenna and communication device including the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1071161A1 (en) * 1999-07-19 2001-01-24 Raytheon Company Multiple stacked patch antenna
US20170317418A1 (en) * 2013-09-11 2017-11-02 International Business Machines Corporation Antenna-in-package structures with broadside and end-fire radiations
US20190319367A1 (en) * 2018-04-11 2019-10-17 Apple Inc. Electronic Device Antenna Arrays Mounted Against a Dielectric Layer

Also Published As

Publication number Publication date
KR102432378B1 (en) 2022-08-16
SG10201811647UA (en) 2019-08-27
TW201931667A (en) 2019-08-01
KR20190085818A (en) 2019-07-19

Similar Documents

Publication Publication Date Title
US20230024260A1 (en) Antenna module and radio frequency apparatus including the same
US11177550B2 (en) Multi-fed patch antennas and devices including the same
JP6981475B2 (en) Antenna, antenna configuration method and wireless communication device
TW201937870A (en) Dual-band millimeter-wave antenna system
US20150194736A1 (en) Quasi-yagi-type antenna
EP3817144B1 (en) Integrated circuit and terminal device
KR102456844B1 (en) Beamforming antenna based on super high frequency and communication method thereof
US20230420396A1 (en) Device-to-device communication system, packages, and package system
US20230387598A1 (en) Antenna structure and electronic device comprising same
US10707582B2 (en) Wide-band dipole antenna
TWI809027B (en) Radio frequency device and antenna module
TW202005178A (en) Phased array antenna module and communication device including the same
US11670871B2 (en) Array antenna including multiple polarization ports and electronic device including same
US11594823B2 (en) Discrete antenna module with via wall structure
US20230170601A1 (en) Electronic device
US20220094075A1 (en) Dual-feed dual-band interleaved antenna configuration
WO2024050831A1 (en) Systems, apparatuses, and methods using two-level beam steering
US20240021996A1 (en) Antenna module and electronic device including the same
US20230231295A1 (en) Electronic device
KR20220158558A (en) Antenna module and apparatus including thereof
KR20230036791A (en) An antenna module and an electronic device comprising the antenna module
KR20220158562A (en) Antenna and electronic device including the same
KR20230050949A (en) Antenna structure and electronic device including the same