TWI807848B - 半導體結構及其製造方法 - Google Patents
半導體結構及其製造方法 Download PDFInfo
- Publication number
- TWI807848B TWI807848B TW111120927A TW111120927A TWI807848B TW I807848 B TWI807848 B TW I807848B TW 111120927 A TW111120927 A TW 111120927A TW 111120927 A TW111120927 A TW 111120927A TW I807848 B TWI807848 B TW I807848B
- Authority
- TW
- Taiwan
- Prior art keywords
- semiconductor
- layer
- semiconductor layer
- gate
- structures
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 351
- 238000004519 manufacturing process Methods 0.000 title claims description 18
- 239000000463 material Substances 0.000 claims abstract description 42
- 239000000758 substrate Substances 0.000 claims abstract description 38
- 238000000034 method Methods 0.000 claims description 137
- 230000008569 process Effects 0.000 claims description 90
- 238000002955 isolation Methods 0.000 claims description 65
- 238000005530 etching Methods 0.000 claims description 47
- 125000006850 spacer group Chemical group 0.000 claims description 43
- 238000007789 sealing Methods 0.000 claims description 30
- 238000000151 deposition Methods 0.000 claims description 8
- 239000000126 substance Substances 0.000 claims description 4
- 239000010410 layer Substances 0.000 description 360
- 229910052751 metal Inorganic materials 0.000 description 33
- 239000002184 metal Substances 0.000 description 33
- 229910052710 silicon Inorganic materials 0.000 description 25
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 24
- 239000010703 silicon Substances 0.000 description 24
- 239000003989 dielectric material Substances 0.000 description 22
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 17
- 238000005229 chemical vapour deposition Methods 0.000 description 16
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 11
- 238000005253 cladding Methods 0.000 description 11
- 229910052814 silicon oxide Inorganic materials 0.000 description 11
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 10
- 229910052782 aluminium Inorganic materials 0.000 description 10
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 10
- 238000000231 atomic layer deposition Methods 0.000 description 10
- 239000002019 doping agent Substances 0.000 description 10
- 238000002161 passivation Methods 0.000 description 10
- 238000005240 physical vapour deposition Methods 0.000 description 9
- 229910052581 Si3N4 Inorganic materials 0.000 description 8
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 7
- 229910052799 carbon Inorganic materials 0.000 description 7
- 230000006870 function Effects 0.000 description 7
- 238000000059 patterning Methods 0.000 description 7
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 7
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 6
- 229910004129 HfSiO Inorganic materials 0.000 description 6
- 229910052732 germanium Inorganic materials 0.000 description 6
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 6
- 229910052757 nitrogen Inorganic materials 0.000 description 6
- 229910021332 silicide Inorganic materials 0.000 description 6
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 6
- 229910017052 cobalt Inorganic materials 0.000 description 5
- 239000010941 cobalt Substances 0.000 description 5
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 5
- 239000000203 mixture Substances 0.000 description 5
- 229910052760 oxygen Inorganic materials 0.000 description 5
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 5
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 5
- 229910052721 tungsten Inorganic materials 0.000 description 5
- 239000010937 tungsten Substances 0.000 description 5
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 4
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- 229910010413 TiO 2 Inorganic materials 0.000 description 4
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 4
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 230000004888 barrier function Effects 0.000 description 4
- 229910052796 boron Inorganic materials 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- 238000001312 dry etching Methods 0.000 description 4
- 239000011810 insulating material Substances 0.000 description 4
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 239000005360 phosphosilicate glass Substances 0.000 description 4
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 238000001039 wet etching Methods 0.000 description 4
- 229910019311 (Ba,Sr)TiO Inorganic materials 0.000 description 3
- BYFGZMCJNACEKR-UHFFFAOYSA-N Al2O Inorganic materials [Al]O[Al] BYFGZMCJNACEKR-UHFFFAOYSA-N 0.000 description 3
- 229910017121 AlSiO Inorganic materials 0.000 description 3
- 229910003855 HfAlO Inorganic materials 0.000 description 3
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 3
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 3
- 229910002367 SrTiO Inorganic materials 0.000 description 3
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 3
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 3
- 229910006501 ZrSiO Inorganic materials 0.000 description 3
- 229910052785 arsenic Inorganic materials 0.000 description 3
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 3
- 239000005380 borophosphosilicate glass Substances 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 238000010276 construction Methods 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- 238000001459 lithography Methods 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 229910052698 phosphorus Inorganic materials 0.000 description 3
- 239000011574 phosphorus Substances 0.000 description 3
- 229910052707 ruthenium Inorganic materials 0.000 description 3
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- -1 Zr 2 Al 3 O 9 Inorganic materials 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000009713 electroplating Methods 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 230000009969 flowable effect Effects 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- 238000002488 metal-organic chemical vapour deposition Methods 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 229910052750 molybdenum Inorganic materials 0.000 description 2
- 239000011733 molybdenum Substances 0.000 description 2
- 239000002135 nanosheet Substances 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 229910003468 tantalcarbide Inorganic materials 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- ZXEYZECDXFPJRJ-UHFFFAOYSA-N $l^{3}-silane;platinum Chemical compound [SiH3].[Pt] ZXEYZECDXFPJRJ-UHFFFAOYSA-N 0.000 description 1
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 1
- 229910017109 AlON Inorganic materials 0.000 description 1
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- 229910052691 Erbium Inorganic materials 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910021193 La 2 O 3 Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910052769 Ytterbium Inorganic materials 0.000 description 1
- 229910008322 ZrN Inorganic materials 0.000 description 1
- 229910006249 ZrSi Inorganic materials 0.000 description 1
- HLFWJMSINGUMDQ-UHFFFAOYSA-N [Ge].[Pt].[Ni] Chemical compound [Ge].[Pt].[Ni] HLFWJMSINGUMDQ-UHFFFAOYSA-N 0.000 description 1
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000000407 epitaxy Methods 0.000 description 1
- UYAHIZSMUZPPFV-UHFFFAOYSA-N erbium Chemical compound [Er] UYAHIZSMUZPPFV-UHFFFAOYSA-N 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- TXFYZJQDQJUDED-UHFFFAOYSA-N germanium nickel Chemical compound [Ni].[Ge] TXFYZJQDQJUDED-UHFFFAOYSA-N 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 1
- 238000003475 lamination Methods 0.000 description 1
- 239000012705 liquid precursor Substances 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 1
- 229910003465 moissanite Inorganic materials 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 239000002070 nanowire Substances 0.000 description 1
- PCLURTMBFDTLSK-UHFFFAOYSA-N nickel platinum Chemical compound [Ni].[Pt] PCLURTMBFDTLSK-UHFFFAOYSA-N 0.000 description 1
- PEUPIGGLJVUNEU-UHFFFAOYSA-N nickel silicon Chemical compound [Si].[Ni] PEUPIGGLJVUNEU-UHFFFAOYSA-N 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- 239000011295 pitch Substances 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229910021339 platinum silicide Inorganic materials 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- HWEYZGSCHQNNEH-UHFFFAOYSA-N silicon tantalum Chemical compound [Si].[Ta] HWEYZGSCHQNNEH-UHFFFAOYSA-N 0.000 description 1
- WNUPENMBHHEARK-UHFFFAOYSA-N silicon tungsten Chemical compound [Si].[W] WNUPENMBHHEARK-UHFFFAOYSA-N 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 1
- 238000000927 vapour-phase epitaxy Methods 0.000 description 1
- NAWDYIZEMPQZHO-UHFFFAOYSA-N ytterbium Chemical compound [Yb] NAWDYIZEMPQZHO-UHFFFAOYSA-N 0.000 description 1
- XLOMVQKBTHCTTD-UHFFFAOYSA-N zinc oxide Inorganic materials [Zn]=O XLOMVQKBTHCTTD-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/58—Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
- H01L23/585—Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823814—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L29/42392—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66439—Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78696—Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
- H01L29/0669—Nanowires or nanotubes
- H01L29/0673—Nanowires or nanotubes oriented parallel to a substrate
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Ceramic Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Thin Film Transistor (AREA)
Abstract
一種半導體結構包括基底、電路區及圍繞電路區的密封
環區。電路區包括兩個第一源極/汲極、連接兩個第一源極/汲極的第一半導體層及設置在兩個第一源極/汲極之間並環繞每個第一半導體層的第一閘極結構。密封環區包括兩個磊晶成長半導體結構、第二和第三半導體層及第二閘極結構。第二和第三半導體層彼此相互交替地堆疊以形成疊層,疊層的最頂層是第三半導體層中的一者。第二閘極結構設置在兩個磊晶成長半導體結構之間並在疊層的最頂層之上,第一和第三半導體層包括第一半導體材料,第二半導體層包括與第一半導體材料不同的第二半導體材料。
Description
本發明的實施例是有關於一種半導體結構及其製造方法,且特別是有關於一種包括具有環繞式閘極電晶體的密封環的半導體結構及其製造方法。
在半導體技術中,半導體晶圓經過各種製造步驟加工形成積體電路(integrated circuit,IC)。通常,多個電路或IC晶粒形成在同一半導體晶圓上。然後切割晶圓以切掉於其上形成的電路。為了保護電路免受潮氣降解、離子污染和切割製程,在每個IC晶粒周圍形成密封環。這個密封環是在構成電路的許多層的製造期間形成的,包括前段製程(front-end-of-line,FEOL)處理和後段製程(back-end-of-line,BEOL)處理。FEOL包括在半導體基底上形成電晶體、電容器、二極體及/或電阻器。BEOL包括形成金屬層內連線以及為FEOL的構件提供路由的通孔。
儘管現有的密封環結構和製造方法通常已足以滿足其預期目的,但仍需要改進。舉例來說,希望改進密封環以保護環繞式閘極裝置,例如奈米片裝置(nanosheet device)。
根據一些實施例,一種半導體結構包括基底、在所述基底之上的電路區以及在所述基底之上並圍繞所述電路區的密封環,所述電路區包括電晶體的兩個第一源極/汲極結構,連接所述兩個第一源極/汲極結構的第一半導體層,以及設置在所述兩個第一源極/汲極結構之間並環繞每個所述第一半導體層的第一閘極結構,所述密封環包括兩個磊晶成長半導體結構、第二半導體層、第三半導體層和第二閘極結構,所述第二半導體層和所述第三半導體層彼此相互交替地堆疊以形成疊層,所述疊層的最頂層是所述第三半導體層中的一者,所述第二閘極結構設置在所述兩個磊晶成長半導體結構之間並在所述疊層的所述最頂層之上,其中所述第一半導體層和所述第三半導體層包括第一半導體材料,所述第二半導體層包括與所述第一半導體材料不同的第二半導體材料。
根據一些實施例,一種半導體結構包括基底、在所述基底之上的電路區以及在所述基底之上並圍繞所述電路區的密封環,所述電路區包括環繞式閘極電晶體,其中所述環繞式閘極電晶體包括兩個第一源極/汲極結構、連接所述兩個第一源極/汲極結構的第一半導體層、以及設置在所述兩個第一源極/汲極結構之間並環繞每個所述第一半導體層的第一閘極結構,所述密封環包括兩個磊晶成長半導體結構、側向地設置在所述兩個磊晶成長半導體結構之間的隔離結構、以及設置在所述隔離結構正上方的第二閘極結構,其中所述兩個磊晶成長半導體結構和所述隔離結構在俯視圖中分別形成三個連續的環。
根據一些實施例,一種半導體結構的製造方法包括提供結構,所述結構具有基底以及彼此相互交替地堆疊在所述基底之上的第一半導體層和第二半導體層;蝕刻所述第一半導體層和所述第二半導體層以形成在所述結構中的電路區的鰭結構;蝕刻所述第一半導體層和所述第二半導體層以在所述結構的密封環區內形成第一連續環,其中所述第一連續環圍繞所述電路區;形成第一隔離結構在所述鰭結構的兩側上;在所述密封環區形成鄰近所述第一連續環的第二隔離結構,其中所述第二隔離結構形成第二連續環;形成穿越所述鰭結構和所述第一隔離結構的第一虛設閘極結構;形成第二虛設閘極結構,所述第二虛設閘極結構設置在所述第一連續環的正上方並在俯視圖中不與所述第二連續環重疊;利用所述第一虛設閘極結構作為蝕刻罩幕來蝕刻所述鰭結構,以形成兩個第一溝渠;利用所述第二虛設閘極結構作為另一蝕刻罩幕來蝕刻所述第一連續環,以形成兩個第二溝渠;在所述第一溝渠和所述第二溝渠中磊晶成長第三半導體層;移除所述第一虛設閘極結構,得到第一閘極溝渠,其暴露出所述第一半導體層的最頂層和所述鰭結構的側壁,其中所述側壁包括所述第一半導體層和所述第二半導體層的側表面;移除所述第二虛設閘極結構,得到第二閘極溝渠,其暴露出所述第一半導體層的所述最頂層而不暴露出所述第一半導體層和所述第二半導體層的側表面;執行蝕刻製程,其中所述蝕刻製程藉由所述第一閘極溝渠移除所述鰭結構中的所述第二半導體層,其中所述第一連續環中的所述第二半導體層被所述第一半導體層的所述最頂層保護以免受所述蝕刻製程的影響;在所述第一閘極溝渠中沉積第一閘極結構;以
及在所述第二閘極溝渠中沉積第二閘極結構。
50:方法
52、54、56、58、60、62、64、66、68:操作
100:半導體結構
102:基底
170:組件隔離
200:電路區
204、210、215、304、310、315:半導體層
205、305:疊層
206、246、346:硬質遮罩層
212a、212b、212c、212d、412a、412b、412c、412d:次密封環
218、318:鰭
230、330:隔離結構
231、331:披覆層
232、332:虛設鰭
232a、232b、232c、235、332a、332b、332c、335、410:介電層
240、340:閘極結構
240’、340’:虛設閘極結構
245、345:虛設閘極層
247、347:閘極間隙壁
250、350:溝渠
255、355:內間隙壁
260、360:半導體層(EPI)
269:接點蝕刻停止層
270:層間介電(ILD)層
275:接點
280、380:閘極介電層
282、382:閘極
300:密封環
375:接點結構
400:拐角密封環(CSR)結構
416:層
418:導電特徵
451:金屬層
452:金屬通孔
460、462:鈍化層
464:鋁墊
1-1、2-2、3-3、4-4、5-5、6-6、7-7、8-8、9-9、10-10:線
B:區
X、Y、Z:方向
θ:角度
當結合圖式閱讀時,自以下詳細描述最佳地理解本揭露內容的態樣。應注意,根據業界中的標準慣例,各種特徵未按比例繪製。事實上,出於論述清楚起見,可任意地增加或減小各種特徵的尺寸。
圖1A是根據本公開的各方面的半導體結構和密封環的俯視圖。
圖1B、1C、1D和1E是根據本公開的各方面分別沿著圖1A中的半導體結構的線“1-1”、“2-2”、“3-3”和“4-4”的剖視圖。
圖2是製作圖1A、4A、5A、6A和7中的半導體結構的方法的流程圖。
圖3A、3B、3C、3D、3E、3F、3G、3H、3I、3J、3K和3L是根據本公開的各方面依據圖2的方法的實施例的製造階段期間的半導體結構的剖視圖。
圖4A是根據本公開的各方面的半導體結構和密封環的俯視圖。
圖4B和4C是根據本公開的各方面分別沿著圖4A中的半導體結構的線“5-5”和“6-6”的剖視圖。
圖5A是根據本公開的各方面的半導體結構和密封環的俯視圖。
圖5B和5C是根據本公開的方面分別沿著圖5A中圖5A中的半導體結構的線“7-7”和“8-8”的剖視圖。
圖6A是根據本公開的各方面的半導體結構和密封環的俯視圖。
圖6B和6C是根據本公開的各方面分別沿著圖6A中的半導體結構的線“9-9”和“10-10”的剖視圖。
圖7示出了根據本公開的實施例的圖1A、4A、5A和6A中所示的半導體結構的各種層的剖視圖。
以下揭露內容提供諸多不同的實施例或實例,用於實施本揭露的不同特徵。下文闡述構件及排列的具體實例以簡化本揭露。當然,這些僅為範例,其目的不在於限制本揭露範圍。舉例而言,在以下說明中第一特徵形成於第二特徵「之上」或形成於第二特徵「上」,可包括第一特徵與第二特徵被形成為直接接觸的實施例,亦可包括第一特徵與第二特徵之間形成有額外特徵使得所述第一特徵與所述第二特徵不直接接觸的實施例。另外,本揭露可在各個範例中重複使用元件編號及/或字母。這樣的重複是為了簡化及清晰描述本揭露,而非用以限定各種實施例及/或配置之間的關係。
此外,為了方便說明,本文中可能使用例如「位於...之下」、「位於...下方」、「下部的」、「位於...上方」、「上部的」等空間相對性用語來描述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。除了圖中所繪示的定向之外,所述空間相對性用語亦涵蓋裝置在使用或操作中的不同定向。設備可以具有其他定向(旋轉90度或處於其他定向),其所使用的空間相對性描
述語亦可用同樣的方式解讀。此外,當用“大約”、“近似”等描述數字或數字範圍時,除非另有說明,否則該術語包括根據本領域技術人員鑑於本文所公開的具體技術的知識在所描述的數字的某些變化(例如+/-10%或其他變化)內的數字。舉例來說,術語“約5奈米”可以涵蓋從4.5奈米到5.5奈米、4.0奈米到5.0奈米等的尺寸範圍。
本申請一般是有關於半導體結構和製造製程,更具體地是有關於提供與具有環繞式閘極(gate-all-around,GAA)電晶體的電路區兼容的密封環。換言之,密封環圍繞一或多個包含GAA電晶體的電路晶粒。GAA電晶體(或GAA裝置)是指垂直堆疊水平定向的多通道電晶體,例如奈米線(nanowire)電晶體或奈米片(nanosheet)電晶體。GAA電晶體因其更好的閘極控制能力、更低的洩漏電流和完全FinFET裝置佈局相容性有望將CMOS帶入半導體發展藍圖的下一階段。然而,仍然存在許多挑戰,其中之一是如何製造與製程兼容的可靠密封環來製作GAA電晶體。本公開中的實施例尤其提供了這種密封環。
依據本公開的一個實施例,密封環最初設置有堆疊的半導體層(例如交替堆疊的矽和矽鍺層)和在堆疊的半導體層上方的犧牲閘極結構(例如多晶矽閘極(或poly gate)),就像更換金屬閘極之前的GAA電晶體一樣。然後,在隨後的製造階段,在密封環區和晶粒區中的多晶矽閘極都被移除。然後,在晶粒區中,堆疊的半導體層經歷被稱為“通道釋放”(channel release)的製程,其中一些半導體層被選擇性地移除,其他半導體層保留為電晶體通道。於此同時,密封環中的堆疊的半導體層被保留,而不經受
通道釋放製程。結果,交替堆疊的半導體層留在了密封環中,使密封環牆更加穩定和堅固。隨後,高介電常數金屬閘極(high-k metal gate,HKMG)在密封環和電路晶粒區中形成,隨後進行中段製程(mid-end-of-line,MEOL)和後段製程(back-end-of-line,BEOL)。本領域具有通常知識者應當理解,他們可容易地使用本公開作為設計或修改其他製程和結構的基礎,以實現與本文介紹的實施例相同的目的及/或實現相同的優點。
圖1A是根據本公開的半導體結構100的俯視圖。半導體結構100(例如經製造的晶圓或其一部分)包括包圍電路區(或IC晶粒)200的密封環300。在實施例中,半導體結構100可包括包圍密封環300的其他一或多個密封環或被密封環300包圍的其他一或多個密封環。此外,密封環300可包括其他一或多個電路區。電路區200可包括任何電路,例如記憶體、處理器、發射器、接收器等。電路區200的確切功能不受本公開的限制。在本公開中,電路區200包括GAA電晶體,其將進一步討論。
在當前的實施例中,密封環300具有矩形或大致上為矩形的周邊,並且在矩形或大致上為矩形的周邊的四個內角處還包括四個拐角密封環(four corner seal ring,CSR)結構400。在實施例中,CSR結構400是三角形或大致上為三角形的,並為密封環300提供各種機械和結構優勢,例如在切割製程期間防止層在晶片的拐角處剝落。在其他實施例中,可在密封環300中省略CSR結構400。此外,密封環300可具有非矩形形狀。在目前的實施例中,密封環300完全包圍了電路區200。在其他實施例中,密封環300可在選定的層中的選定位置處提供開口,以允許在電路區200
和在圖1A中未示出的其他電路區之間的互連。
參照區B的放大圖,電路區200包括沿“X”方向縱向定向的半導體層204和虛設鰭232,還包括沿“Y”方向縱向定向的閘極結構240和接點275。上面的元件組成一個矩陣,並且電晶體(如GAA電晶體)形成在半導體層204和閘極結構240的交點處。參照區A的放大圖,密封環300包括半導體層304、閘極結構340和設置在半導體層304之上的接點結構375、以及在半導體層304之間的隔離結構330。半導體層304、閘極結構340、接點375和隔離結構330(以及圖1B中所示的虛設鰭332)中的每一個形成圍繞電路區200的大致上為環的形狀。在這個實施例中,閘極結構340的寬度在俯視圖中比半導體層304的寬度更窄。在俯視圖中,閘極結構340完全設置在半導體層304的邊界內而沒有延伸到隔離結構330。
圖1B、1C、1D和1E是根據本公開的各方面分別沿著圖1A中的半導體結構的線“1-1”、“2-2”、“3-3”和“4-4”的剖視圖。共同參照圖1B、1C、1D和1E,密封環300和電路區200形成在基底102之上或之中。在目前的實施例中,基底102是矽基底。基底102可替代地包括各種實施例中的其他半導體材料,例如鍺、碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦、SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP或其組合。基底102可包括摻雜半導體層,例如P井及/或N井。此外,基底102可以是絕緣層上覆半導體基底,例如絕緣層上覆矽(silicon on insulator,SOI)基底。
半導體層204和304可包括相同的半導體材料,例如矽、
矽鍺、鍺或其他合適的半導體材料。此外,半導體層204和304可包括藉由用n型摻雜劑(例如磷、砷、其他n型摻雜劑或其組合)摻雜半導體材料所形成的N型摻雜區及/或藉由用p型摻雜劑(例如硼、銦、其他p型摻雜劑或其組合)摻雜半導體材料所形成的p型摻雜區。
半導體結構100還包括電路區200中的隔離結構230和密封環300中的隔離結構330。隔離結構230將半導體層204彼此隔離。隔離結構330將半導體層304彼此隔離。在一實施例中,隔離結構230和330可由相同的製程形成並包括相同的材料。舉例來說,隔離結構230和330可包括氧化矽、氮化矽、氮氧化矽、其他合適的隔離材料(例如包括矽、氧、氮、碳或其他合適的隔離成分)或其組合。隔離結構230和330可包括淺溝渠隔離(shallow trench isolation,STI)、深溝渠隔離(deep trench isolation,DTI)或其他類型的隔離件。
參照圖1B和1C,半導體結構100還包括在密封環300中的半導體層的疊層310和315。半導體層310和315從基底102的表面以交錯或交替配置的方式垂直(沿Z方向)堆疊。參照圖1D和1E,半導體結構100還包括在電路區200中的半導體層的疊層215。半導體層215從基底102的表面垂直(沿Z方向)堆疊。在一實施例中,半導體結構100最初包括在電路區200中的半導體層的疊層210(未在圖1D和1E中示出,但在圖3A和3B中示出)和215,類似於密封環300中的半導體層310和315。然後,半導體層210隨後被移除,其將進一步討論。
半導體層310(和210)的組成不同於半導體層315和215
的組成,以達成蝕刻選擇性。舉例來說,半導體層310(和210)包括矽鍺並且半導體層315和215包括矽。在一些實施例中,半導體層310(和210)以及半導體層315和215可包括相同的材料,但組成的原子百分比不同。舉例來說,半導體層310(和210)和半導體層315和215可包括矽鍺,其中半導體層310(和210)具有第一的矽原子百分比及/或第一的鍺原子百分比,並且半導體層315和215具有第二不同的矽原子百分比及/或第二不同的鍺原子百分比。本公開考慮到半導體層310(和210)以及半導體層315和215包括可提供所需蝕刻選擇性、所需氧化速率差異及/或所需性能特徵的半導體材料的任何組合(例如使電流流量最大化的材料),包括此處公開的任何半導體材料。
參照圖1B和1D,半導體結構100還包括在密封環300中磊晶成長的半導體層(EPI)360和在電路區200中磊晶成長的EPI 260。對於n型電晶體,EPI 260可包括矽且可摻雜有碳、磷、砷、其他n型摻雜劑或其組合(例如形成Si:C磊晶源極/汲極特徵、Si:P磊晶源極/汲極特徵或Si:C:P磊晶源極/汲極特徵)。對於p型電晶體,EPI 260可包括矽鍺或鍺且可摻雜有硼、其他p型摻雜劑或其組合(例如形成Si:Ge:B磊晶源極/汲極特徵)。EPI 360可包括與EPI 260相同的材料。
參照圖1B、1D和1E,半導體結構100進一步包括在電路區200中的虛設鰭(或隔離鰭)232和在密封環300中的虛設鰭(或隔離鰭)332。虛設鰭232和332分別設置在隔離結構230和330之上。虛設鰭232和332中的每一個都是多層結構。在目前的實施例中,虛設鰭232包括介電層232a、232b、232c,並且虛設
鰭332包括介電層332a、332b和332c。虛設鰭232和332可由同一製程構成且包括同一材料。介電層232a和332a可包括低介電常數介電材料,例如包括Si、O、N和C的介電材料。低介電常數介電材料一般是指介電常數較低的介電材料,例如低於氧化矽(k3.9)。介電層232b和332b可包括氧化矽、氮化矽、氮氧化矽、TEOS所形成的氧化物、PSG、BPSG、低介電常數介電材料、其他合適的介電材料或其組合。介電層232c和332c可包括高介電常數介電材料,例如HfO2、HfSiO、HfSiO4、HfSiON、HfLaO、HfTaO、HfTiO、HfZrO、HfAlOx、ZrO、ZrO2、ZrSiO2、AlO、AlSiO、Al2O3、TiO、TiO2、LaO、LaSiO、Ta2O3、Ta2O5、Y2O3、SrTiO3、BaZrO、BaTiO3(BTO)、(Ba,Sr)TiO3(BST)、Si3N4、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他合適的高介電常數介電材料或其組合。高介電常數介電材料一般是指具有高介電常數的介電材料,例如大於氧化矽(k3.9)。虛設鰭232和隔離結構230沿“X”方向(圖1D)和“Y”方向(圖1E)共同分離半導體層204、半導體層215和EPI 260。在圖1A中區B所示的半導體結構部分中,虛設鰭232被示為沿“X”方向縱向定向,儘管未示出但也存在沿“Y”方向縱向定向的虛設鰭232。
參照圖1B、1D、1C和1E,半導體結構100還包括分別在電路區200和密封環300中的閘極結構240和340。閘極結構240包括閘極介電層280以及在閘極介電層280之上的閘極282。閘極結構240環繞半導體層215(圖1D和1E)以形成環繞式閘極電晶體。虛設鰭232沿“Y”方向與閘極結構240中的一些分隔開來。閘極結構340包括閘極介電層380和在閘極介電層380之上
的閘極382。閘極結構340設置在半導體層的疊層315和310中的最頂層之上並且不環繞半導體層315和310。半導體層的疊層315和310為密封環300提供穩定和堅固的結構。閘極結構340形成連續的環狀(見圖1A)。閘極結構340可具有錐形輪廓(即具有錐形側壁),其中其側壁可與半導體層的疊層315和310中的最頂層的頂面形成角度θ。在一些實施例中,角度θ可在約88度到約90度的範圍內。在目前的實施例中,閘極結構240和340中的每一個都包括高介電常數金屬閘極。舉例來說,閘極介電層280和380可包括高介電常數閘極介電材料,而閘極282和382可包括金屬電極。半導體結構100包括以上未討論且未在圖1A-1E中示出的其他構件,例如下文將進一步討論的一些內間隙壁、閘極間隙壁、蝕刻終止層、接點、中間介電層。
如圖1A-1E所示,半導體結構100包括帶有電路區200和密封環300於其上的基底102。電路區200包括作為GAA電晶體的源極/汲極結構的EPI 260。電路區200包括半導體層210,其連接EPI 260並作為GAA電晶體的通道。電路區200包括設置在EPI 260之間且環繞半導體層210中的每一個的閘極結構240。密封環300包括多個EPI 360、彼此交替堆疊的半導體層310和315、以及在半導體層310和315的最頂層之上的閘極結構340。半導體層310和315包括不同的材料或不同的組成物。在一實施例中,每個EPI 360形成連續的環,其在俯視圖中為圍繞電路區200。此外,每個閘極結構340也形成連續的環,其在俯視圖中為圍繞電路區200。密封環300進一步包括隔離結構330和虛設鰭332,其在俯視圖中形成連續的環,其中在俯視圖中,閘極結構340和EPI
360設置在隔離結構330和虛設鰭332之間。此外,在俯視圖中,閘極結構340不與隔離結構330或虛設鰭332重疊。
圖2是根據本公開的各方面的用於製造半導體結構100的方法50的流程圖。本公開考慮了額外的製程。額外的操作可在方法50之前、期間和之後提供,並且對於方法50的其他實施例,可以移動、替換或消除所描述的一些操作。下面結合圖3A-3L描述方法50,圖3A-3L示出了根據一些實施例的依據方法50製造的各種步驟的半導體結構100的各種剖視圖。
在操作52,方法50(圖2)在基底102之上的半導體層204上方形成半導體層210和215的疊層205,並在基底102上方的半導體層304上方形成半導體層310和315的疊層305,如根據實施例在圖3A中所示。疊層205形成在電路區200中,疊層305形成在密封環300中。半導體層310和315分別與半導體層210和215相同,只是在半導體結構100的不同區。在一些實施例中,半導體層210/310和半導體層215/315以所描繪的交錯和交替配置磊晶成長。半導體層210/310的數量(以及半導體層215/315的數量)在一些實施例中的範圍可以從2到10。半導體層210/310和半導體層215/315包括不同的材料、組成原子百分比、組成重量百分比、厚度及/或在蝕刻製程(稱為通道釋放製程)期間實現所需蝕刻選擇性的特性,其將進一步討論。
在操作54,方法50(圖2)藉由圖案化半導體層215/210和半導體層204的疊層形成鰭218,並藉由圖案化半導體層315/310和半導體層304的疊層形成鰭318。鰭218沿“X”方向縱向定向(見圖1A),即進出圖3B頁面的方向。鰭318形成圍繞電路區200的
環。如圖3B所示,鰭218包括圖案化疊層205(具有半導體層210和215)、圖案化區204以及一或多個圖案化硬質遮罩層206,鰭318包括圖案化疊層305(具有半導體層310和315)、圖案化區304以及一或多個圖案化硬質遮罩層206。鰭218和318可由任何合適的方法圖案化。舉例來說,可使用一或多種微影製程(包括雙重圖案化或多重圖案化製程)對鰭218和318進行圖案化。一般來說,雙重圖案或多重圖案化製程將微影和自對準製程相結合,從而允許創建圖案,其例如比使用單一直接微影製程可獲得更小的間距。舉例來說,在一個實施例中,在疊層205/305上形成一個犧牲層,並使用微影製程對其進行圖案化。使用自對準製程在經圖案化的犧牲層旁邊形成間隙壁。然後移除犧牲層,接著剩餘的間隙壁或心軸(mandrel)可作為遮罩元件,以用於圖案化鰭218/318。舉例來說,遮罩元件可用於蝕刻凹陷到疊層205/305、半導體層204/304和基底102中,而使鰭218/318留在基底102上。蝕刻製程可包括乾式蝕刻、濕式蝕刻、反應離子蝕刻(reactive ion etching,RIE)及/或其他合適的製程。
在操作56,方法50(圖2)在電路區200中形成隔離結構230和虛設鰭232,並且在密封環300中形成隔離結構330和虛設鰭332。這可涉及各種製程,如圖3C-3E所示。
參照圖3C,在一實施例中,隔離結構230/330可藉由用絕緣材料填充鰭218/318之間的溝渠來形成(例如藉由使用CVD製程或旋塗玻璃製程),執行化學機械拋光(chemical mechanical polishing,CMP)製程以移除多餘的絕緣材料及/或平坦化絕緣材料層的頂面,以及回蝕絕緣材料層以形成隔離結構230/330。
參照圖3D,披覆層(cladding layer)231形成在鰭218的頂部和側壁上,並且披覆層331形成在鰭318的頂部和側壁上。在一實施例中,披覆層231和331可包括相同的材料並使用相同的製程形成。舉例來說,披覆層231/331可包括SiGe並可使用CVD、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)、高密度電漿CVD(high density plasma CVD,HDPCVD)、金屬有機CVD(metal organic CVD,MOCVD)、遠端電漿CVD(remote plasma CVD,RPCVD)、電漿增強CVD(plasma enhanced CVD,PECVD)、低壓CVD(low-pressure CVD,LPCVD)、原子層CVD(atomic layer CVD,ALCVD)、大氣壓CVD(atmospheric pressure CVD,APCVD)、其他合適的方法或其組合來沉積。仍參照圖3D,介電層232a和232b形成在電路區200中,並且介電層332a和332b形成在密封環300中。介電層232a和332a可包括相同的材料並使用相同的製程形成。介電層232b和332b可包括相同的材料並使用相同的製程形成。介電層232a/332a可使用CVD、PVD、ALD、HDPCVD、MOCVD、RPCVD、PECVD、LPCVD、ALCVD、APCVD、其他合適的方法或其組合來沉積。介電層232b/332b可使用可流動CVD(flowable CVD,FCVD)製程或其他類型的方法進行沉積。在沉積層232a/332a和232b/332b之後,操作56可執行CMP製程以平坦化半導體結構100的頂面並暴露出披覆層231和331。
參照圖3E,操作56使用選擇性蝕刻製程使介電層232b/332b和232a/332a凹陷,所述選擇性蝕刻製程蝕刻介電層232b/332b和232a/332a,而不(或最少)蝕刻硬質遮罩206和披
覆層231。然後,操作56將一或多個介電材料沉積到凹陷中,並對一或多個介電材料執行CMP製程以形成電路區200中的介電層232c和密封環300中的介電層332c。在一實施例中,介電層232c/332c包括高介電常數介電材料,如HfO2、HfSiO、HfSiO4、HfSiON、HfLaO、HfTaO、HfTiO、HfZrO、HfAlOx、ZrO、ZrO2、ZrSiO2、AlO、AlSiO、Al2O3、TiO、TiO2、LaO、LaSiO、Ta2O3、Ta2O5、Y2O3、SrTiO3、BaZrO、BaTiO3(BTO)、(Ba,Sr)TiO3(BST)、Si3N4、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他合適的高介電常數介電材料或其組合。
在操作58,方法50(圖2)在電路區200中形成虛設閘極結構240’,並且在密封環300中形成虛設閘極結構340’。這可涉及各種製程,如圖3F-3G所示。
參照圖3F,操作58使分別設置在介電層232c和332c之間的鰭218和318(具體來說,移除硬質遮罩層206)和披覆層231和331凹陷。然後,操作58在電路區200中沉積介電層235,並在密封環300中沉積介電層335。介電層235和335可包括相同的材料並使用相同的製程形成。在目前的實施例、介電層235/335是虛設(或犧牲)閘極介電層並可包括氧化矽、高介電常數介電材料、其他合適的介電材料或其組合。介電層235/335可使用本文所述的任何製程沉積,例如ALD、CVD、PVD、其他合適的製程或其組合。
參照圖3G,操作58在電路區200中的虛設閘極介電層235上方沉積虛設閘極層245,並在密封環300中的介電層335上方沉積虛設閘極層345。虛設閘極層245和345可包括相同的材料
並使用相同的製程形成。在一實施例中,虛設閘極層245和345中包括多晶矽(或多晶(poly))。然後,操作58在電路區200中形成硬質遮罩層246,並在密封環300中形成硬質遮罩層346。硬質遮罩層246和346可包括相同的材料並使用相同的製程形成。然後,操作58執行微影圖案化和蝕刻製程以圖案化硬質遮罩層246/346、虛設閘極層245/345和虛設閘極介電層235/335,而在電路區200中形成虛設閘極結構240’,並在密封環300中形成虛設閘極結構340’。虛設閘極結構240’包括部分的硬質遮罩層246、部分的虛設閘極層245和部分的虛設閘極介電層235。虛設閘極結構340’包括部分的硬質遮罩層346、部分的虛設閘極層345和部分的虛設閘極介電層335。虛設閘極結構240’被形成為沿“Y”方向縱向定向的線(見圖1A),即進出圖3G頁面的方向。換言之,虛設閘極結構240’形成為從俯視圖橫穿(或垂直於)鰭218。在俯視圖中,虛設閘極結構340’形成圍繞電路區200的環(見圖1A)。特別地,每個虛設閘極結構340’形成為比下面的鰭318窄並且不延伸到鰭318兩側的虛設鰭332。
操作58可進一步在虛設閘極結構240’的側壁上形成閘極間隙壁247,並在虛設閘極結構340’的側壁上形成閘極間隙壁347(如圖3H所示)。閘極間隙壁247和347由任何合適的製程形成並包括介電材料。介電材料可包括矽、氧、碳、氮、其他合適的材料或其組合(例如氧化矽、氮化矽、氮氧化矽(SiON)、碳化矽、碳氮化矽(SiCN)、碳氧化矽(SiOC)、矽氧碳氮化物(SiOCN))。舉例來說,包括矽和氮的介電層(例如氮化矽層)可沉積在虛設閘極結構240’和340’上並且隨後被蝕刻(例如非等向性地蝕刻)
以形成閘極間隙壁247和347。在一些實施例中,閘極間隙壁247和347包括多層結構,例如包括氮化矽的第一介電層和包括氧化矽的第二介電層。
在操作60,方法50(圖2)藉由蝕刻鄰近閘極間隙壁247的鰭218而形成源極/汲極(S/D)溝渠250,並藉由蝕刻鄰近閘極間隙壁347的鰭318而形成源極/汲極(S/D)溝渠350,如圖所示3H。舉例來說,使用一或多個蝕刻製程以移除鰭218的源極/汲極區中的半導體層210和215,並移除鰭318的某些區中的半導體層310和315。半導體層310和315的蝕刻與虛設鰭332、閘極間隙壁347和虛設閘極結構340’自對準。在一些實施例中,蝕刻製程移除了半導體層210、215、310和315中的一些,但不是全部。蝕刻製程可包括乾式蝕刻製程、濕式蝕刻製程、其他合適的蝕刻製程或其組合。
操作60進一步形成在電路區200中的內間隙壁255和在密封環300中的內間隙壁355,如圖3H所示。舉例來說,執行第一蝕刻製程,其選擇性地蝕刻被源極/汲極溝渠250暴露出來的半導體層210,而對半導體層215的蝕刻最少(甚至沒有),使得在半導體層215之間以及在半導體層215和在閘極間隙壁247之下的半導體層204之間形成間隙。於此同時,第一蝕刻製程選擇性地蝕刻被溝渠350暴露出來的半導體層310,而對半導體層315的蝕刻最少(甚至沒有),使得在半導體層315之間以及在閘極間隙壁347之下的半導體層315和半導體層304之間形成間隙。第一蝕刻製程被配置成側向地蝕刻(例如沿著“X”方向)半導體層210/310,從而減少了半導體層210/310沿著“X”方向的長度。第一
蝕刻製程是乾式蝕刻製程、濕式蝕刻製程、其他合適的蝕刻製程或其組合。然後沉積製程在溝渠250/350中形成間隙壁層。沉積製程被配置為確保間隙壁層填充上面所述的間隙。然後執行第二蝕刻製程,其選擇性地蝕刻間隙壁層以形成如圖3H所示的內間隙壁255和355,而對其他材料層的蝕刻最少(甚至沒有)。在一些實施例中,間隙壁層255/355包括介電材料,其包括矽、氧、碳、氮、其他合適的材料或其組合(例如氧化矽、氮化矽、氮氧化矽、碳化矽或氮氧化矽)。在一些實施例中,間隙壁層255/355包括低介電常數介電材料,例如本文所述的那些。
在操作62處,方法50(圖2)在S/D溝渠250中磊晶成長半導體層260,並且在溝渠350中磊晶成長半導體層360,如圖3I所示。半導體層260和360也分別稱為EPI 260和360。磊晶製程可使用CVD沉積技術(例如VPE及/或UHV-CVD)、分子束磊晶、其他合適的磊晶成長製程或其組合。磊晶製程可使用氣體及/或液體前驅物,其與半導體層204、215、304和315的組成物相互作用。對於n型電晶體或p型電晶體,EPI 260和360可分別摻雜有n型摻雜劑或p型摻雜劑。在一些實施例中,EPI 260和360可包括矽並可摻雜有碳、磷、砷、其他n型摻雜劑或其組合。在一些實施例中,EPI 260和360可包括矽鍺或鍺並可摻雜有硼、其他p型摻雜劑或其組合。在一些實施例中,EPI 260和360包括多個磊晶半導體層。
在操作64,方法50(圖2)形成接點蝕刻停止層(contact etch stop layer,CESL)269和層間介電(inter-layer dielectric,ILD)層270,如圖3J所示。CESL269沉積在虛設鰭232、332和EPI 260、
360以及閘極間隙壁247和347的側壁之上。ILD層270沉積在CESL269之上並填充在相對的閘極間隙壁247/347之間的空間。CESL269包括不同於ILD層270且不同於介電層232c/332c的材料。CESL269可包括La2O3、Al2O3、SiOCN、SiOC、SiCN、SiO2、SiC、ZnO、ZrN、Zr2Al3O9、TiO2、TaO2、ZrO2、HfO2、Si3N4、Y2O3、AlON、TaCN、ZrSi或其他合適的一或多種材料,並可藉由CVD、PVD、ALD或其他合適的方法形成。ILD層270可包括原矽酸四乙酯(tetraethylorthosilicate,TEOS)形成的氧化物、未經摻雜的矽酸鹽玻璃或經摻雜的氧化矽,例如硼磷矽玻璃(borophosphosilicate glass,BPSG)、氟化物摻雜二氧化矽玻璃(fluoride-doped silica glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼摻雜矽玻璃(boron doped silicon glass,BSG)、低介電常數介電材料、其他合適的介電材料或其組合。ILD層270可由PECVD、FCVD或其他合適的方法形成。在沉積CESL 269和ILD層270之後,可執行CMP製程及/或其他平坦化製程直到到達(暴露出)虛設閘極結構240’、340’的頂部(或頂面)。在一些實施例中,平坦化製程移除了虛設閘極結構240’、340’的硬質遮罩層246、346以暴露出下面的虛設閘極層245、345。
在操作66,方法50(圖2)用功能閘極結構240(例如高介電常數金屬閘極)代替虛設閘極結構240’,並且用功能閘極結構340(例如高介電常數金屬閘極)代替虛設閘極結構340’,如圖3K所示。這涉及到下面簡要描述的各種製程。
首先,操作66使用一或多個蝕刻製程移除虛設閘極結構240’和340’,從而在電路區200和密封環300中形成閘極溝渠。
蝕刻製程可以是乾式蝕刻製程、濕式蝕刻製程、其他合適的蝕刻製程或其組合。蝕刻製程被配置為選擇性地蝕刻虛設閘極結構240’/340’,而對例如ILD層270、閘極間隙壁247/347、隔離結構230/330、虛設鰭232/332、披覆層231/331、半導體層215/315和半導體層210/310的其他結構的蝕刻最小(甚至沒有)。
接下來,操作66移除暴露於電路區200中的閘極溝渠中的披覆層231。蝕刻製程可選擇性地對披覆層231進行蝕刻,而對半導體層215/315、閘極間隙壁247/347和內間隙壁255的蝕刻最少(甚至沒有)。結果,半導體層210暴露在電路區200中的閘極溝渠中。在密封環300,披覆層331在操作60期間被移除。半導體層315中的最頂層保護下面的層(尤其是半導體層310)而不受此蝕刻製程的影響。
接下來,操作66移除暴露在閘極溝渠中的半導體層210,留下半導體層215懸空在半導體層204上方並與EPI 260連接。此製程也稱為通道釋放製程,而半導體層215也稱為通道層。蝕刻製程選擇性地蝕刻半導體層210,而對半導體層215的蝕刻最少(甚至沒有),並且在一些實施例中,對閘極間隙壁247及/或內間隙壁255的蝕刻最少(甚至沒有)。在密封環300中,半導體層315的最頂層保護下面的層(特別是半導體層310)而不受此蝕刻製程的影響。因此,密封環300中沒有通道釋放。
接下來,操作66形成閘極介電層280,其圍繞每個半導體層215並在閘極介電層280上方形成閘極282。功能閘極結構240包括閘極介電層280和閘極282。類似地,操作66在半導體層315的最頂層上方形成閘極介電層380,並在閘極介電層380
上方形成閘極382。閘極結構340包括閘極介電層380和閘極382。閘極介電層280和380可包括高介電常數介電材料如HfO2、HfSiO、HfSiO4、HfSiON、HfLaO、HfTaO、HfTiO、HfZrO、HfAlOx、ZrO、ZrO2、ZrSiO2、AlO、AlSiO、Al2O3、TiO、TiO2、LaO、LaSiO、Ta2O3、Ta2O5、Y2O3、SrTiO3、BaZrO、BaTiO3(BTO)、(Ba,Sr)TiO3(BST)、Si3N4、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他合適的高介電常數介電材料或其組合。閘極介電層280和380可藉由化學氧化、熱氧化、原子層沉積(ALD)、化學氣相沉積(CVD)及/或其他合適的方法來形成。在一些實施例中,閘極結構240/340還包括介於閘極介電層280/380和半導體層215/315之間的介面層。介面層可包括二氧化矽、氮氧化矽或其他合適的材料。在一些實施例中,閘極282包括n型或p型功函數層和金屬填充層。舉例來說,n型功函數層可包括具有足夠低的有效功函數的金屬,例如鈦、鋁、碳化鉭、碳化鉭氮化物、鉭氮化矽或其組合。舉例來說,p型功函數層可包括具有足夠大的有效功函數的金屬,例如氮化鈦、氮化鉭、釕、鉬、鎢、鉑或其組合。舉例來說,金屬填充物層可包括鋁、鎢、鈷、銅及/或其他合適的材料。在實施例中,閘極382不包括功函數層,因為密封環中不具有正常工作的電晶體。舉例來說,閘極382可包括鋁、鎢、鈷、銅及/或其他合適的材料。閘極282和382中的各種層可藉由CVD、PVD、電鍍及/或其他合適的製程形成。由於閘極結構240和340包括高介電常數介電層和一或多個金屬層,因此它們也被稱為高介電常數金屬閘極。
在操作68,方法50(圖2)進一步執行製造。舉例來說,
方法50蝕刻接觸孔以暴露出一些EPI 260和360並形成接點275以與EPI 260電性連接,並形成接點375以與EPI 360電性連接,如圖3L所示。方法50可在接點275和EPI 260之間以及在接點375和EPI360之間形成一或多個矽化物層(未示出)。矽化物層可包括矽化鈦(TiSi)、矽化鎳(NiSi)、矽化鎢(WSi)、矽化鎳鉑(NiPtSi)、矽化鎳鉑鍺(NiPtGeSi)、矽化鎳鍺(NiGeSi)、矽化鐿(YbSi)、矽化鉑(PtSi)、矽化銥(IrSi)、矽化鉺(ErSi)、矽化鈷(CoSi)或其他合適的化合物。接點275和375可包括導電阻障層和在導電阻障層之上的金屬填充層。導電阻障層可包括鈦(Ti)、鉭(Ta)、鎢(W)、鈷(Co)、釕(Ru)或導電氮化物,例如氮化鈦(TiN)、氮化鈦鋁(TiAlN)、氮化鎢(WN)、氮化鉭(TaN)或其組合,並可藉由CVD、PVD、ALD及/或其他合適的製程形成。金屬填充物層可包括鎢(W)、鈷(Co)、鉬(Mo)、釕(Ru)或其他金屬,並可藉由CVD、PVD、ALD、電鍍或其他合適的製程形成。方法50可執行中段製程(MEOL)和後段製程(BEOL)。舉例來說,方法50可形成連接到閘極結構240/340的閘極通孔,形成連接到接點275/375的接點通孔,以及形成一或多個內連線層,其中導線和通孔嵌入在介電層中。一或多個內連線層連接各種電晶體中的閘極、源極和汲極以及電路區200中的其他電路,以部分或全部形成積體電路。一或多個內連線層也形成密封環300的一部分。方法50也可在內連線層上形成一或多個鈍化層。
圖4A是根據本公開的另一個實施例的半導體結構100的俯視圖。圖4B和4C是根據本公開的方面分別沿著圖4A中的線“5-5”和“6-6”的半導體結構100的一部分的剖視圖。此實施例中的
電路區200與圖1A中所示的實施例中的電路區200相同。此實施例中的密封環300與圖1A中所示的實施例中的密封環300相似,但下面將討論一些差異。
在圖4A-4C所描繪的實施例中,閘極結構340是形成圍繞電路區200的離散環的區段,而不是如圖1A-1E的實施例中的連續環。閘極結構340沿“X”和“Y”方向彼此分開。在俯視圖中,閘極結構340比下面的半導體層304更窄。此外,在俯視圖中,EPI 360形成為圍繞每個閘極結構340。圖4B所示的密封環300與圖1B所示的密封環300相同。圖4C所示的密封環300與圖1C所示的密封環300相似,但有一些差異。在圖4C所示的實施例中,EPI 360形成在相同的離散環的閘極結構340之間。此實施例的半導體結構100中的其他特徵與圖1A-1E中所示的實施例相同。
圖4A-4C中所示的半導體結構100可由方法50的實施例形成。舉例來說,在操作58期間,虛設閘極結構340’形成為圍繞電路區200的離散環區段,並且閘極間隙壁347形成在虛設閘極結構340’的所有四個側壁上。然後,在操作60期間,溝渠被蝕刻到疊層305中並與虛設閘極結構340’和閘極間隙壁347自對準。方法50中的其他操作可與上面參照圖2和3A-3L所討論的那些相同。
圖5A是根據本公開的另一個實施例的半導體結構100的俯視圖。圖5B和5C是根據本公開的方面分別沿著圖5A中的線“7-7”和“8-8”的半導體結構100的一部分的剖視圖。此實施例中的電路區200與圖1A中所示的實施例中的電路區200相同。此實施例中的密封環300與圖1A中所示的實施例中的密封環300相似,
但下面將討論一些差異。
在圖5A-5C所描繪的實施例中,閘極結構340是連續的環,如同在圖1A-1E的實施例。但是,閘極結構340設置在虛設鰭332的正上方。在俯視圖中,閘極結構340比虛設鰭332更窄並且沒有延伸到半導體層304。此外,從密封環300中移除了半導體層315和310。EPI 360完全填充了在虛設鰭332和半導體層304上方橫向空間。閘極結構340可具有錐形輪廓(即具有錐形側壁),其中其側壁可與介電層332c的頂面形成角度θ。在一些實施例中,角度θ可在約88度到約90度的範圍內。
圖5A-5C中所示的半導體結構100可由方法50的實施例形成。舉例來說,在操作58期間,虛設閘極結構340’形成在虛設鰭332的正上方,而閘極間隙壁347形成在虛設閘極結構340’的側壁上。然後,在操作60期間,溝渠被蝕刻到疊層305中並與虛設閘極結構340’和閘極間隙壁347自對準。由於疊層305不受虛設閘極結構340’保護,因此在操作60期間它們被完全移除。方法50中的其他操作可與上面參照圖2和3A-3L所討論的那些相同。
圖6A是根據本公開的另一個實施例的半導體結構(或半導體裝置)100的俯視圖。圖6B和6C是根據本公開的方面分別沿著圖6A中的線“9-9”和“10-10”的半導體結構100的一部分的剖視圖。此實施例中的電路區200與圖5A所示的實施例中的電路區200相同。此實施例中的密封環300與圖5A中所示的實施例中的密封環300相似,下面將討論一些差異。
在圖6A-6C所描繪的實施例中,閘極結構340是形成圍繞電路區200的離散環的區段,而不是如圖5A-5C的實施例中的
連續環。閘極結構340沿“X”和“Y”方向彼此分開。閘極結構340設置在虛設鰭332的正上方,並且在俯視圖中比下面的虛設鰭332更窄。該實施例的半導體結構100中的其他特徵與圖5A-5C中所示的實施例相同。
圖6A-6C中所示的半導體結構100可由方法50的實施例形成。舉例來說,在操作58期間,虛設閘極結構340’形成為圍繞電路區200的離散環的區段並設置在虛設鰭332的正上方,並且閘極間隙壁347形成在虛設閘極結構340’的所有四個側壁上。然後,在操作60期間,溝渠被蝕刻到疊層305中並與虛設閘極結構340’和閘極間隙壁347自對準。由於疊層305不受虛設閘極結構340’保護,因此在操作60期間它們被完全移除。方法50中的其他操作可與上面參照圖2和3A-3L所討論的那些相同。
圖7示出了根據實施例的圖1A、4A、5A和6A中的區A中的半導體結構100的剖面。密封環300包括次密封環412a、412b、412c和412d。圖1A-1E、4A-4C、5A-5C和6A-6C中所示的實施例可在表示為416的層中實現,其包括半導體層315的疊層305和310、EPI 360、虛設鰭332、閘極結構340等。
次密封環412a、412b、412c和412d中的每一個包括一或多個導電特徵418。導電特徵418可包括多個垂直連接的導體,並可包括摻雜半導體、金屬、導電氮化物、導電氧化物或其他類型的導電材料。舉例來說,導電特徵418可包括EPI 360、接點375、閘極通孔等。在導電特徵418之上,次密封環212a、212b、212c、212d中的每一個還包括彼此相互堆疊且且由金屬通孔452垂直連接的多個金屬層451。金屬層451和金屬通孔452可包括銅、銅合
金或其他導電材料並可使用金屬鑲嵌法或雙鑲嵌製程形成。金屬層451和金屬通孔452中的每一個可包括圍繞金屬芯(例如銅)的導電阻障層(例如TiN或TaN)。在一實施例中,每個金屬層451形成圍繞電路區200的環或類似環的結構(如大致上為正方形的環)。在目前的實施例中,次密封環412a和412c中的每一個還包括鋁墊464。
導電特徵418、金屬層451和金屬通孔452嵌入在介電層410中。介電層410可包括氧化矽、氮化矽、氮氧化矽、低介電常數介電材料、極低介電常數(extreme low-k,ELK)介電材料或其他合適的介電材料(例如包括矽、氧、氮、碳或其他合適的隔離成分)或其組合。半導體結構100還包括在介電層410之上的鈍化層460和在鈍化層460之上的另一鈍化層462。每個鋁墊464包括設置在鈍化層460上方的頂部和穿透鈍化層460並電性連接到次密封環412a和412c的底部。在一實施例中,每個鋁墊464形成圍繞電路區200的環狀。鋁墊464可與暴露在電路區200的頂面上的接合墊(未示出)的形成同時形成。鈍化層462設置在鈍化層460和鋁墊464之上。鈍化層460和462可由氧化物、氮化物及其組合形成,並可由相同或不同的材料形成。次密封環412a-d中的每一個都是從基底102延伸到上部金屬層451和鋁墊464的垂直壁的形式。
半導體結構100還包括在密封環300和電路區200之間的組件隔離170。組件隔離170包括隔離結構(如淺溝渠隔離)330。在一些實施例中,半導體結構100可包括組件隔離170中的各種虛設導線和虛設通孔。除了密封環300之外,半導體結構100
可包括與實施例中的密封環300的結構相同或相似的其他密封環。替代地或附加地,半導體結構100可包括圍繞密封環300的切割道。
儘管不旨在進行限制,但本公開中的實施例提供了以下優點中的一或多個。舉例來說,本公開的實施例提供了具有密封環結構的半導體結構。密封環結構是使用與GAA製造製程兼容的製程形成的。在一實施例中,密封環包括半導體層的疊層和設置在半導體層的疊層正上方的閘極結構。半導體層的疊層沒有經受對半導體結構的電路區中的半導體層所執行的通道釋放製程,從而為密封環提供了穩定而堅固的基礎。在另一實施例中,密封環包括設置在虛設鰭正上方的閘極結構,並且還包括EPI結構,以取代最初的半導體層的疊層。EPI結構為密封環提供了穩定而堅固的基礎。此外,在上述任一實施例中,閘極結構可以是連續的環,也可以是離散的環區段。本公開的實施例可以很容易地整合到現有的半導體製造製程中。
在一示例方面中,本公開涉及一種半導體結構,其包括基底與在基底上方的電路區。電路區包括電晶體的兩個第一源極/汲極結構、連接兩個第一源極/汲極結構的第一半導體層、以及設置於兩個第一源極/汲極結構之間並環繞每個第一半導體層的第一閘極結構。半導體結構還包括在基底之上並圍繞電路區的密封環。密封環包括兩個磊晶成長的半導體結構、第二半導體層、第三半導體層和第二閘極結構。第二和第三半導體層彼此相互交替地堆疊以形成疊層。疊層的最頂層是第三半導體層中的一者。第二閘極結構設置在兩個磊晶成長半導體結構之間並在疊層的最頂
層之上。第一和第三半導體層包括第一半導體材料。第二半導體層包括不同於第一半導體材料的第二半導體材料。
在半導體結構的一實施例中,兩個磊晶成長半導體結構中的每一個在俯視圖中形成連續的環。在另一個實施例中,第二閘極結構在俯視圖中形成另一連續的環。在另一個實施例中,第二閘極結構在俯視圖中是離散環的區段。在另一實施例中,兩個磊晶成長半導體結構是磊晶成長半導體層的兩個部分,並且磊晶成長半導體層還包括連接兩個磊晶成長半導體結構的另外兩個部分,其中另外兩個部分和兩個磊晶成長半導體結構在俯視圖中完全包圍第二閘極結構。
在半導體結構中的一實施例中,密封環還包括兩個隔離結構,在俯視圖中,兩個隔離結構形成兩個連續的環,其中第二閘極結構和兩個磊晶成長半導體結構在俯視圖中是設置在兩個隔離結構之間。在另一個實施例中,在俯視圖中,第二閘極結構不與兩個隔離結構中的任一個重疊。
在半導體結構的一實施例中,第一和第三半導體層包括結晶矽,第二半導體層包括矽鍺,並且第二閘極結構包括高介電常數金屬閘極。在另一實施例中,密封環還包括介電內間隙壁,其側向地在第二半導體層和兩個磊晶成長半導體結構的每一個之間。在又一個實施例中,密封環還包括在兩個磊晶成長半導體結構中的每一個之上的中間介電層,以及側向地在第二閘極結構和中間介電層之間的介電閘極間隙壁。
在另一示例方面中,本公開涉及一種半導體結構,其包括基底、在基底之上的電路區和在基底之上並圍繞電路區的密封
環。電路區包括環繞式閘極電晶體,其中環繞式閘極電晶體包括兩個第一源極/汲極結構、連接兩個第一源極/汲極結構的第一半導體層、以及設置在兩個第一源極/汲極結構之間並環繞第一半導體層的第一閘極結構。密封環包括兩個磊晶成長半導體結構、側向地設置在兩個磊晶成長半導體結構之間的隔離結構、以及設置在隔離結構正上方的第二閘極結構,其中兩個磊晶成長半導體結構和隔離結構在俯視圖中分別形成三個連續的環。
在半導體結構的一實施例中,隔離結構包括在具有二氧化矽的層之上的高介電常數介電層,並且第二閘極結構包括高介電常數金屬閘極。在另一實施例中,第二閘極結構在俯視圖中形成連續的環。
在半導體結構的一實施例中,第二閘極結構在俯視圖中是離散環的區段。在另一實施例中,密封環還包括在兩個磊晶成長半導體結構之上的中間介電層,以及側向地在第二閘極結構和中間介電層之間的介電閘極間隙壁。
在又一示例方面中,本公開涉及一種方法,其包括提供具有基底和彼此相互交替地堆疊在基底之上的第一及第二半導體層的結構;蝕刻第一和第二半導體層以形成在結構中的電路區的鰭結構;以及蝕刻第一和第二半導體層以形成在結構中的密封環區的第一連續環,其中第一連續環圍繞電路區。方法還包括在鰭結構的兩側形成第一隔離結構;在密封環區中鄰近第一連續環形成第二隔離結構,其中第二隔離結構形成第二連續環;形成穿越鰭結構和第一隔離結構的第一虛設閘極結構;以及形成第二虛設閘極結構,其設置在第一連續環的正上方並且在俯視圖中不與第
二連續環重疊。方法進一步包括利用第一虛設閘極結構作為蝕刻罩幕來蝕刻鰭結構以形成兩個第一溝渠;利用第二虛設閘極結構作為另一蝕刻罩幕來蝕刻第一連續環以形成兩個第二溝渠;以及在第一和第二溝渠中磊晶成長第三半導體層。方法還包括移除第一虛設閘極結構,得到第一閘極溝渠,其暴露出第一半導體層的最頂層和鰭結構的側壁,其中側壁包括第一和第二半導體層的側表面。方法進一步包括移除第二虛設閘極結構,得到第二閘極溝渠,其暴露出第一半導體層的最頂層而不暴露出第一和第二半導體層的側表面。方法進一步包括執行蝕刻製程,其中蝕刻製程藉由第一閘極溝渠移除鰭結構中的第二半導體層,其中第一連續環中的第二半導體層由第一半導體層的最頂層保護免受蝕刻製程的影響。方法還包括在第一閘極溝渠中沉積第一閘極結構以及在第二閘極溝渠中沉積第二閘極結構。
在一實施例中,在移除第一虛設閘極結構和移除第二虛設閘極結構之前,方法進一步包括在第一和第二虛設閘極結構、第三半導體層、以及第一和第二隔離結構之上形成中間介電層,以及對中間介電層進行化學機械平坦化製程,從而暴露出第一和第二虛設閘極結構。
在一實施例中,在第三半導體層磊晶成長之前,方法還包括使暴露在第一和第二溝渠中的第二半導體層側向地凹陷,以形成凹陷以及在凹陷中形成介電間隙壁。
在一實施例中,蝕刻鰭結構和蝕刻第一連續環同時進行。在另一實施例中,移除第一虛設閘極結構和移除第二虛設閘極結構同時進行。
以上概略描述了幾個實施例的特徵,使得所屬技術領域中具有通常知識者可以更好地理解本揭露的各個面向。所屬技術領域中具有通常知識者應該理解的是,他們可以使用本揭露內容作為設計或修改其他製程及結構的基礎,以實現與本文說明的實施例相同的目的及/或達成相同的優點。所屬技術領域中具有通常知識者應該知道,等效的構成並不脫離本揭露的精神和範圍,因此在不背離本揭露的精神和範圍的情況下,可以進行各種改變、替換及變更。
100:半導體結構
102:基底
304、310、315:半導體層
330:隔離結構
332:虛設鰭
332a、332b、332c:介電層
340:閘極結構
360:半導體層(EPI)
2-2:線
X、Z:方向
θ:角度
Claims (10)
- 一種半導體結構,包括:基底;電路區,在所述基底之上,其中所述電路區包括電晶體的兩個第一源極/汲極結構,連接所述兩個第一源極/汲極結構的第一半導體層,以及設置在所述兩個第一源極/汲極結構之間並環繞每個所述第一半導體層的第一閘極結構;以及密封環,在所述基底之上並圍繞所述電路區,其中所述密封環包括兩個磊晶成長半導體結構、第二半導體層、第三半導體層和第二閘極結構,其中所述第二半導體層和所述第三半導體層彼此相互交替地堆疊以形成疊層,所述疊層的最頂層是所述第三半導體層中的一者,所述第二閘極結構設置在所述兩個磊晶成長半導體結構之間並在所述疊層的所述最頂層之上,其中所述第一半導體層和所述第三半導體層包括第一半導體材料,所述第二半導體層包括與所述第一半導體材料不同的第二半導體材料。
- 如請求項1所述的半導體結構,其中所述兩個磊晶成長半導體結構中的每一個在俯視圖中形成連續的環。
- 如請求項1所述的半導體結構,其中所述密封環還包括兩個隔離結構,在俯視圖中,所述兩個隔離結構形成兩個連續的環,其中所述第二閘極結構和所述兩個磊晶成長半導體結構在所述俯視圖中是設置在所述兩個隔離結構之間。
- 如請求項1所述的半導體結構,其中所述密封環還包括介電內間隙壁,所述介電內間隙壁側向地在所述第二半導體 層和所述兩個磊晶成長半導體結構的每一個之間。
- 如請求項1所述的半導體結構,其中所述密封環還包括在所述兩個磊晶成長半導體結構中的每一個之上的中間介電層,以及側向地在所述第二閘極結構和所述中間介電層之間的介電閘極間隙壁。
- 一種半導體結構,包括:基底;電路區,在所述基底之上,其中所述電路區包括環繞式閘極電晶體,其中所述環繞式閘極電晶體包括兩個第一源極/汲極結構、連接所述兩個第一源極/汲極結構的第一半導體層、以及設置在所述兩個第一源極/汲極結構之間並環繞每個所述第一半導體層的第一閘極結構;以及密封環,在所述基底之上並圍繞所述電路區,其中所述密封環包括兩個磊晶成長半導體結構、側向地設置在所述兩個磊晶成長半導體結構之間的隔離結構、以及設置在所述隔離結構正上方的第二閘極結構,其中所述兩個磊晶成長半導體結構和所述隔離結構在俯視圖中分別形成三個連續的環。
- 如請求項6所述的半導體結構,其中所述密封環還包括在所述兩個磊晶成長半導體結構中的每一個之上的中間介電層,以及側向地在所述第二閘極結構和所述中間介電層之間的介電閘極間隙壁。
- 一種半導體結構的製造方法,包括:提供結構,所述結構具有基底以及彼此相互交替地堆疊在所述基底之上的第一半導體層和第二半導體層; 蝕刻所述第一半導體層和所述第二半導體層以形成在所述結構中的電路區的鰭結構;蝕刻所述第一半導體層和所述第二半導體層以在所述結構的密封環區內形成第一連續環,其中所述第一連續環圍繞所述電路區;形成第一隔離結構在所述鰭結構的兩側上;在所述密封環區形成鄰近所述第一連續環的第二隔離結構,其中所述第二隔離結構形成第二連續環;形成穿越所述鰭結構和所述第一隔離結構的第一虛設閘極結構;形成第二虛設閘極結構,所述第二虛設閘極結構設置在所述第一連續環的正上方並在俯視圖中不與所述第二連續環重疊;利用所述第一虛設閘極結構作為蝕刻罩幕來蝕刻所述鰭結構,以形成兩個第一溝渠;利用所述第二虛設閘極結構作為另一蝕刻罩幕來蝕刻所述第一連續環,以形成兩個第二溝渠;在所述第一溝渠和所述第二溝渠中磊晶成長第三半導體層;移除所述第一虛設閘極結構,得到第一閘極溝渠,其暴露出所述第一半導體層的最頂層和所述鰭結構的側壁,其中所述側壁包括所述第一半導體層和所述第二半導體層的側表面;移除所述第二虛設閘極結構,得到第二閘極溝渠,其暴露出所述第一半導體層的所述最頂層而不暴露出所述第一半導體層和所述第二半導體層的側表面;執行蝕刻製程,其中所述蝕刻製程藉由所述第一閘極溝渠移 除所述鰭結構中的所述第二半導體層,其中所述第一連續環中的所述第二半導體層被所述第一半導體層的所述最頂層保護以免受所述蝕刻製程的影響;在所述第一閘極溝渠中沉積第一閘極結構;以及在所述第二閘極溝渠中沉積第二閘極結構。
- 如請求項8所述的半導體結構的製造方法,其中在移除所述第一虛設閘極結構和移除所述第二虛設閘極結構之前,還包括:形成中間介電層在所述第一虛設閘極結構和所述第二虛設閘極結構、所述第三半導體層、所述第一隔離結構和所述第二隔離結構之上;以及對所述中間介電層執行化學機械平坦化製程,從而暴露出所述第一虛設閘極結構和所述第二虛設閘極結構。
- 如請求項8所述的半導體結構的製造方法,其中在磊晶成長所述第三半導體層之前,還包括:將暴露在所述第一溝渠和所述第二溝渠中的所述第二半導體層側向地凹陷以形成凹陷;以及在所述凹陷中形成介電間隙壁。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202163219932P | 2021-07-09 | 2021-07-09 | |
US63/219,932 | 2021-07-09 | ||
US17/723,193 US20230019608A1 (en) | 2021-07-09 | 2022-04-18 | Seal ring for semiconductor device with gate-all-around transistors |
US17/723,193 | 2022-04-18 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202307926A TW202307926A (zh) | 2023-02-16 |
TWI807848B true TWI807848B (zh) | 2023-07-01 |
Family
ID=84094276
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW111120927A TWI807848B (zh) | 2021-07-09 | 2022-06-06 | 半導體結構及其製造方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US20230019608A1 (zh) |
CN (1) | CN217881460U (zh) |
TW (1) | TWI807848B (zh) |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050116360A1 (en) * | 2003-12-01 | 2005-06-02 | Chien-Chao Huang | Complementary field-effect transistors and methods of manufacture |
US20100052065A1 (en) * | 2008-08-29 | 2010-03-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | New method for mechanical stress enhancement in semiconductor devices |
US20160211227A1 (en) * | 2015-01-19 | 2016-07-21 | Infineon Technologies Ag | Semiconductor Device Including a Protection Structure |
-
2022
- 2022-04-18 US US17/723,193 patent/US20230019608A1/en active Pending
- 2022-06-06 TW TW111120927A patent/TWI807848B/zh active
- 2022-06-06 CN CN202221386017.1U patent/CN217881460U/zh active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050116360A1 (en) * | 2003-12-01 | 2005-06-02 | Chien-Chao Huang | Complementary field-effect transistors and methods of manufacture |
US20100052065A1 (en) * | 2008-08-29 | 2010-03-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | New method for mechanical stress enhancement in semiconductor devices |
US20160211227A1 (en) * | 2015-01-19 | 2016-07-21 | Infineon Technologies Ag | Semiconductor Device Including a Protection Structure |
Also Published As
Publication number | Publication date |
---|---|
CN217881460U (zh) | 2022-11-22 |
US20230019608A1 (en) | 2023-01-19 |
TW202307926A (zh) | 2023-02-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11437513B2 (en) | Multi-gate device and method of fabrication thereof | |
US11158727B2 (en) | Structure and method for gate-all-around device with extended channel | |
US20230030571A1 (en) | Semiconductor device and manufacturing method thereof | |
KR20210122659A (ko) | 후면 전력 레일 및 후면 자체 정렬 비아를 구비한 반도체 소자 | |
US11600695B2 (en) | Dielectric fins with air gap and backside self-aligned contact | |
US11923408B2 (en) | Semiconductor devices with backside power rail and method thereof | |
US11107735B2 (en) | Methods of forming epitaxial structures in fin-like field effect transistors | |
TWI777556B (zh) | 半導體裝置及其製造方法 | |
US11901428B2 (en) | Semiconductor device with backside gate isolation structure and method for forming the same | |
TW202201638A (zh) | 半導體結構 | |
US11855082B2 (en) | Integrated circuits with FinFET gate structures | |
US11855080B2 (en) | Semiconductor device and method of fabricating the same | |
US20240339511A1 (en) | Semiconductor devices with backside power rail and backside self-aligned via | |
US11978802B2 (en) | FinFET devices and methods of forming the same | |
US20230197802A1 (en) | Connection between gate and source/drain feature | |
TWI786584B (zh) | 半導體結構及其製造方法 | |
TWI807848B (zh) | 半導體結構及其製造方法 | |
CN220400566U (zh) | 半导体结构 | |
US20230040387A1 (en) | Seal Ring For Semiconductor Device With Gate-All-Around Transistors | |
TWI847122B (zh) | 半導體結構及其形成方法 | |
US11710742B2 (en) | Semiconductor devices with backside contacts and isolation | |
US20220384570A1 (en) | Dielectric fins with air gap and backside self-aligned contact | |
TW202427615A (zh) | 半導體裝置及其製造方法 |