TWI805691B - Etch stop layer-based approaches for conductive via fabrication and structures resulting therefrom - Google Patents

Etch stop layer-based approaches for conductive via fabrication and structures resulting therefrom Download PDF

Info

Publication number
TWI805691B
TWI805691B TW108105283A TW108105283A TWI805691B TW I805691 B TWI805691 B TW I805691B TW 108105283 A TW108105283 A TW 108105283A TW 108105283 A TW108105283 A TW 108105283A TW I805691 B TWI805691 B TW I805691B
Authority
TW
Taiwan
Prior art keywords
hard mask
layer
metal
uppermost surface
integrated circuit
Prior art date
Application number
TW108105283A
Other languages
Chinese (zh)
Other versions
TW201946223A (en
Inventor
弗羅瑞恩 格斯坦
岑 譚
拉米 胡拉尼
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW201946223A publication Critical patent/TW201946223A/en
Application granted granted Critical
Publication of TWI805691B publication Critical patent/TWI805691B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Printing Elements For Providing Electric Connections Between Printed Circuits (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)

Abstract

Etch stop layer-based approaches for via fabrication are described. In an example, an integrated circuit structure includes a plurality of conductive lines in an ILD layer, wherein each of the plurality of conductive lines has a bulk portion including a metal and has an uppermost surface including the metal and a non-metal. A hardmask layer is on the plurality of conductive lines and on an uppermost surface of the ILD layer, and includes a first hardmask component on and aligned with the uppermost surface of the plurality of conductive lines, and a second hardmask component on and aligned with regions of the uppermost surface of the ILD layer. A conductive via is in an opening in the hardmask layer and on a portion of one of the plurality of conductive lines, the portion having a composition different than the uppermost surface including the metal and the non-metal.

Description

用於導電通孔製造之蝕刻停止層為基的方式以及其所得的結構Etch stop layer based approach for conductive via fabrication and resulting structures

本發明之實施例是屬於半導體結構及處理之領域,而特別地,是用於導電通孔製造之蝕刻停止層為基的方式、及所得的結構。Embodiments of the present invention are in the field of semiconductor structures and processing, and in particular, etch stop layer based approaches for conductive via fabrication, and resulting structures.

於過去數十年,積體電路中之特徵的擴縮(scaling)已是不斷成長的半導體工業背後之驅動力。擴縮至越來越小的特徵致能了半導體晶片之有限表面上的功能性單元之增加的密度。例如,縮小電晶體尺寸容許在晶片上結合增加數目的記憶體或邏輯裝置,導致增加容量之產品的製造。然而,對於越來越多的容量之慾望並不是沒有問題的。將各裝置之性能最佳化的需求變得越來越重要。The scaling of features in integrated circuits has been the driving force behind the growing semiconductor industry for the past few decades. Scaling to smaller and smaller features enables an increased density of functional units on the limited surface of a semiconductor wafer. For example, shrinking the size of transistors allows an increased number of memory or logic devices to be combined on a chip, resulting in the manufacture of products of increased capacity. However, the desire for more and more capacity is not without problems. The need to optimize the performance of each device is becoming more and more important.

積體電路通常包括導電微電子結構(其於本技術中已知為通孔),用以將通孔上方之金屬線或其他互連電連接至通孔下方之金屬線或其他互連。通孔通常係由微影程序所形成。代表性地,光抗蝕劑層可被旋塗於電介質層之上,光抗蝕劑層可通過圖案化遮罩而被暴露至圖案化的光化輻射,且接著暴露層可被顯影以形成開口於光抗蝕劑層中。接下來,用於通孔之開口可藉由使用光抗蝕劑層中之開口為蝕刻遮罩而被蝕刻於電介質層中。此開口被稱為通孔開口。最後,通孔開口可被填充以一或更多金屬或其他導電材料來形成通孔。Integrated circuits typically include conductive microelectronic structures, known in the art as vias, to electrically connect metal lines or other interconnects above the vias to metal lines or other interconnects below the vias. Vias are usually formed by a lithographic process. Typically, a photoresist layer can be spin-coated over the dielectric layer, the photoresist layer can be exposed to patterned actinic radiation through a patterned mask, and then the exposed layer can be developed to form Openings are in the photoresist layer. Next, openings for vias can be etched in the dielectric layer by using the openings in the photoresist layer as etch masks. This opening is called a via opening. Finally, the via opening may be filled with one or more metals or other conductive materials to form the via.

過去,通孔之尺寸及間隔已顯著地減少,且預期未來通孔之尺寸及間隔將持續顯著地減少,針對至少某些類型的積體電路(例如,先進微處理器、晶片組組件、圖形晶片,等等)。通孔之尺寸的一種測量是通孔開口之關鍵尺寸。通孔之間隔的一種測量是通孔節距。通孔節距代表介於最接近的相鄰通孔間之中心至中心距離。The size and spacing of vias have been significantly reduced in the past, and are expected to continue to decrease significantly in the future, for at least some types of integrated circuits (e.g., advanced microprocessors, chipset components, graphics chips, etc.). One measure of the size of a via is the critical dimension of the via opening. One measure of spacing between vias is via pitch. Via pitch represents the center-to-center distance between the nearest adjacent vias.

當藉由此類微影程序而以極小的節距來圖案化極小的通孔時,本身即存在數項挑戰,特別當節距是約70-90奈米(nm)或者更小及/或當通孔開口之關鍵尺寸是約35 nm或者更小時。此等挑戰之一在於:通孔與上方互連之間的重疊、以及通孔與下方定位互連之間的重疊通常需被控制達通孔節距的四分之一等級的高容許度。隨著通孔節距尺度越來越小,重疊容許度傾向於以較其微影設備所能夠跟得上的更大速度而隨之縮小。There are several challenges inherent in patterning very small vias at very small pitches by such lithographic processes, especially when the pitch is on the order of 70-90 nanometers (nm) or smaller and/or When the critical dimension of the via opening is about 35 nm or less. One of these challenges is that the overlap between the via and the interconnect above, and the overlap between the via and the interconnect positioned below typically need to be controlled to a high tolerance on the order of a quarter of the via pitch. As via pitch scales get smaller, overlap tolerances tend to shrink at a faster rate than their lithography tools can keep up.

此等挑戰之另一在於:通孔開口之關鍵尺寸通常傾向於較微影掃描器之解析能力更快地縮小。存在有縮小科技以縮小通孔開口之關鍵尺寸。然而,縮小量常受受限於最小通孔節距、以及縮小程序之能力而無法為足夠地免於光學近似校正(OPC),且無法顯著地折衷線寬粗糙度(LWR)及/或關鍵尺寸均勻度(CDU)。Another of these challenges is that the critical dimensions of via openings generally tend to shrink faster than the resolution capabilities of lithographic scanners. There are shrinking techniques to shrink the critical dimensions of via openings. However, the amount of scaling is often limited by the minimum via pitch, and the ability to shrink the process sufficiently free from optical proximity correction (OPC) without significantly compromising line width roughness (LWR) and/or critical Dimensional Uniformity (CDU).

此等挑戰之又另一在於:光抗蝕劑之LWR及/或CDU特性通常需要隨著通孔開口之關鍵尺寸減少而改良以維持關鍵尺寸預算之相同的整體片段。然而,目前大部分光抗蝕劑之LWR及/或CDU特性並未如通孔開口之關鍵尺寸減少般快速地改良。Yet another of these challenges is that the LWR and/or CDU characteristics of the photoresist typically need to be improved as the CD of the via opening decreases to maintain the same overall fraction of the CD budget. However, the LWR and/or CDU characteristics of most current photoresists have not improved as rapidly as the CD reduction of via openings.

進一步此類挑戰在於:極小通孔節距通常傾向為低於甚至極紫外線(EUV)微影掃描器之解析能力。結果,通常數個不同的微影遮罩可被使用,其傾向於增加成本。於某點,假如節距持續減小,則有可能無法(甚至以多重遮罩)使用EUV掃描器來列印這些極小節距之通孔開口。A further such challenge is that very small via pitches generally tend to be below the resolution capabilities of even extreme ultraviolet (EUV) lithography scanners. As a result, often several different lithography masks can be used, which tends to increase cost. At some point, if the pitch continues to decrease, it may not be possible (even with multiple masks) to print these very small pitch via openings using an EUV scanner.

因此,需要改良其用以製造金屬通孔之後段金屬化製造技術的領域。Therefore, there is a need to improve the field of back-end metallization fabrication techniques for fabricating metal vias.

and

描述:用於導電通孔製造之蝕刻停止層為基的方式、及其所得的結構。於下列描述中,提出多項特定細節,諸如特定集成及材料狀態,以提供本發明之實施例的透徹瞭解。熟悉此項技術人士將清楚本發明之實施例可被實行而無這些特定細節。於其他例子中,眾所周知的特徵(諸如積體電路設計佈局)未被詳細地描述,以免非必要地混淆本發明之實施例。再者,應理解其圖形中所示之各個實施例為說明性表示且不一定依比例描繪。Description: Etch stop layer based approach for conductive via fabrication and resulting structures. In the following description, numerous specific details are set forth, such as specific integration and states of materials, in order to provide a thorough understanding of embodiments of the invention. It will be apparent to those skilled in the art that embodiments of the invention may be practiced without these specific details. In other instances, well-known features, such as IC layouts, have not been described in detail so as not to unnecessarily obscure embodiments of the invention. Furthermore, it should be understood that the various embodiments shown in the figures thereof are illustrative representations and are not necessarily drawn to scale.

某些術語亦可被用於以下描述中以僅供參考之目的,而因此不意欲為限制性的。例如,諸如「較高」、「較低」、「上方」、及「下方」係指稱該參考所應用之圖形中的方向。諸如「前」、「後」、「後方」、及「側面」等術語係描述參考之恆定(但任意)框內的組件之部分的定向及/或位置,其係藉由參考描述討論中組件之文字及相關圖形而變得清楚明白。此術語可包括以上所明確地提及之字語、其衍生詞、及類似含義的字語。Certain terms may also be used in the following description for reference purposes only and thus are not intended to be limiting. For example, terms such as "higher," "lower," "above," and "below" refer to directions in the figure to which the reference applies. Terms such as "front", "rear", "rear", and "side" describe the orientation and/or position of a portion of a component within a constant (but arbitrary) frame of reference by which the component in question is described The text and related graphics become clear. This term may include the words explicitly mentioned above, derivatives thereof, and words of similar import.

文中所述之一或更多實施例係有關使用蝕刻停止層於定向自聚合(DSA)或選擇性生長以致能自對準互連之製造的方法。實施例可探討或實施蝕刻停止層、定向自聚合、選擇性沈積、自對準、或緊密節距之圖案化互連的使用之一或更多者。實施例可被實施以藉由利用透過選擇性沈積之「上色」的自對準、及後續的定向自聚合(例如,針對10nm及更小的技術節點)來提供增進的通孔短路容限。於一實施例中,蝕刻停止層被實施於圖案複製為基的通孔自對準。One or more embodiments described herein relate to methods of using etch stop layers for directed self-polymerization (DSA) or selective growth to enable fabrication of self-aligned interconnects. Embodiments may address or implement one or more of etch stop layers, directed self-polymerization, selective deposition, self-alignment, or the use of fine-pitch patterned interconnects. Embodiments may be implemented to provide improved via short tolerance by utilizing "colored" self-alignment through selective deposition, followed by directed self-polymerization (e.g., for 10nm and smaller technology nodes) . In one embodiment, an etch stop layer is implemented for pattern replication based via self-alignment.

依據本發明之一或更多實施例,蝕刻停止層被實施於多色集成。為了提供背景,用於常見互連金屬(諸如Co、Co合金、Ni、Ni合金、及Cu和Cu合金)之上色方式可能遭受乾式蝕刻期間之嚴重金屬腐蝕的困擾,特別假如顏色材料是基於金屬氧化物(例如,HfOx、ZrOx)的話。乾式蝕刻期間之腐蝕可能是由於蝕刻氣體BCl3 及/或Cl為基的副產品或者含蝕刻氣體的氟之氧化本質。互連金屬之預處置可被用以禁止或者一起停止蝕刻攻擊,但伴隨有所得的高通孔電阻值。本發明之實施例可仰賴特定的表面處置,其係修改金屬表面以致腐蝕被禁止。抗蝕刻表面被接著修改或移除於完成或履行通孔金屬化時,其提供於蝕刻期間具有腐蝕抗性及低通孔電阻值後金屬化的互連堆疊。According to one or more embodiments of the present invention, an etch stop layer is implemented for multicolor integration. To provide context, color schemes for common interconnect metals such as Co, Co alloys, Ni, Ni alloys, and Cu and Cu alloys can suffer from severe metal corrosion during dry etching, especially if the color material is based on In the case of metal oxides (eg, HfOx, ZrOx). Corrosion during dry etching may be due to the oxidizing nature of the etch gas BCl 3 and/or Cl based by-products or fluorine containing etch gas. Pretreatment of interconnect metal can be used to inhibit or stop etch attack altogether, but with resulting high via resistance values. Embodiments of the present invention may rely on specific surface treatments, which modify the metal surface so that corrosion is inhibited. The etch resistant surface is then modified or removed upon completion or performance of via metallization, which provides a post metallized interconnect stack with corrosion resistance during etch and low via resistance values.

於特定實施例中,互連金屬被處置在金屬氧化物硬遮罩沈積之前以增進腐蝕抗性。處置可包括氧化及/或矽烷/NH3 處置以形成金屬矽化物、金屬矽化物/氮化物。於金屬氧化物蝕刻期間,金屬具有適當的腐蝕抗性。在放置通孔之前,預處置層被移除(例如,金屬氧化物之減少、金屬/矽化物/氮化物之貫穿蝕刻)。其結果是用以提供蝕刻期間之腐蝕抗性及低通孔電阻值後金屬化的能力(例如,於其中通孔所座落的位置中)。In certain embodiments, the interconnect metal is disposed prior to metal oxide hard mask deposition to enhance corrosion resistance. Treatment may include oxidation and/or silane/ NH3 treatment to form metal silicide, metal silicide/nitride. Metals have adequate corrosion resistance during metal oxide etching. Pre-processing layers are removed (eg metal oxide reduction, metal/silicide/nitride through etch) before vias are placed. The result is the ability to provide corrosion resistance during etch and low via resistance post-metallization (eg, in the locations where the vias sit).

為了提供進一步背景,用於導電互連線之蝕刻停止封蓋(諸如高品質氧化物、金屬矽化物、金屬鍺化物、或金屬硼化物)被形成在金屬氧化物沈積前於導電互連線上。金屬表面可被處置以氨電漿來將矽及/或鍺及/或硼固定至該表面並形成自分離蝕刻停止。一旦通孔開口被放置,則處置層被移除(例如,規律蝕刻貫穿蝕刻、於氧化物之情況下的減少、物理濺射,等等)。於一實施例中,所得結構包括極獨特的特徵,其中互連材料包括獨特的預處置層於每處,除了其中通孔所座落之處(亦即,於其中通孔電阻值需為低的位置中)。於另一形態中,實施例可包括金屬氧化物與蝕刻停止層(例如,氧化矽、氮化物等等)之雙層的製造。雙層係作用為金屬氧化物蝕刻移除期間的蝕刻停止層並可於通孔放置期間被選擇性地移除。To provide further background, etch stop caps for conductive interconnects, such as high quality oxides, metal silicides, metal germanides, or metal borides, are formed on the conductive interconnects prior to metal oxide deposition. The metal surface can be treated with an ammonia plasma to fix silicon and/or germanium and/or boron to the surface and form a self-separating etch stop. Once the via opening is placed, the handling layer is removed (eg, regular etch through etch, reduction in the case of oxide, physical sputtering, etc.). In one embodiment, the resulting structure includes very unique features in which the interconnect material includes a unique pre-processing layer everywhere except where the vias are located (ie, where the via resistance values need to be low in the location). In another aspect, embodiments may include the fabrication of a bilayer of metal oxide and an etch stop layer (eg, silicon oxide, nitride, etc.). The bilayer system acts as an etch stop layer during metal oxide etch removal and can be selectively removed during via placement.

更一般地,一或更多實施例係有關於一種用以製造金屬線以及相關導電通孔之方式。導電通孔或通孔(依其定義)被用以座落在前層金屬圖案上。以此方式,文中所述之實施例致能一種更強韌的互連製造方案,因為對於微影設備之限制被放寬了。此一互連製造方案可被用以節省許多對準/曝光、及可被用以減少總製程操作及處理時間,相較於使用傳統方式以圖案化此等特徵所需要者。其他優點可包括增進產量、或者防止短路至錯線。More generally, one or more embodiments relate to a method for fabricating metal lines and associated conductive vias. Conductive vias or vias (as defined) are used to land on the previous metal pattern. In this way, the embodiments described herein enable a more robust interconnect fabrication scheme because constraints on lithographic equipment are relaxed. This interconnect fabrication scheme can be used to save many alignments/exposures, and can be used to reduce overall process operations and processing time, compared to that required using conventional means to pattern such features. Other advantages may include improved yield, or protection from shorts to miswires.

於使用相同類型的導電線及蝕刻停止層為基礎的第一範例方式中,圖1A-1F闡明積體電路層之部分的橫斷面視圖,其表示一種涉及用於後段製程(BEOL)互連製造之蝕刻停止層及自對準導電通孔形成的方法中之各個操作,依據本發明之實施例。In a first example approach based on the use of the same type of conductive lines and etch stop layers, FIGS. 1A-1F illustrate cross-sectional views of portions of integrated circuit layers representing a process involving interconnects for back-end-of-line (BEOL) The various operations in the method of fabricating the etch stop layer and forming the self-aligned conductive via are according to the embodiments of the present invention.

參考圖1A,開始結構100被提供為用以製造新金屬化層之開始點。開始結構100包括層間電介質(ILD)層104,其係配置於基底102之上。如以下所述,ILD層可被配置於下方金屬化層之上,該下方金屬化層係形成於基底102之上。溝槽被形成於ILD層104中且被填充以導電層(或多層)以提供導電線106(及,於某些情況下,相應導電通孔108)。於一實施例中,導電線106之溝槽係使用節距分割圖案化製程流而被形成於ILD層104中。此類節距分割方案之非限制性範例係與圖5A、5B及6相關聯而被更詳細地描述於下。應理解:底下所述之下列製程操作可首先涉及節距分割,或者可不涉及。於任一情況下,但特別是當亦使用節距分割時,實施例可致能金屬層之節距的連續擴縮超越最先進微影設備之解析能力。於一實施例中,導電線106包括銅填充材料於氮化鈦或氮化鉭障壁襯裡內。於另一實施例中,Co(或Co的合金,諸如CoWB)被使用。於一實施例中,導電線106之至少一部分(例如,銅填充)係使用電鍍製程而被形成。Referring to FIG. 1A , a starting structure 100 is provided as a starting point for fabricating a new metallization layer. The starting structure 100 includes an interlayer dielectric (ILD) layer 104 disposed on a substrate 102 . As described below, the ILD layer may be disposed over an underlying metallization layer formed over the substrate 102 . Trenches are formed in ILD layer 104 and filled with a conductive layer (or layers) to provide conductive lines 106 (and, in some cases, corresponding conductive vias 108 ). In one embodiment, trenches for conductive lines 106 are formed in ILD layer 104 using a pitch division patterning process flow. Non-limiting examples of such pitch division schemes are described in more detail below in association with FIGS. 5A , 5B, and 6 . It should be understood that the following process operations described below may or may not involve pitch division first. In either case, but especially when pitch division is also used, embodiments may enable continuous scaling of the pitch of the metal layer beyond the resolving capabilities of state-of-the-art lithography equipment. In one embodiment, the conductive line 106 includes a copper fill material in a titanium nitride or tantalum nitride barrier liner. In another embodiment, Co (or an alloy of Co, such as CoWB) is used. In one embodiment, at least a portion of the conductive line 106 (eg, copper fill) is formed using an electroplating process.

參考圖1B,導電線106具有包括金屬物種(或金屬)之大塊部分。於一實施例中,金屬被選自由鈷、銅、鎢及鎳所組成的群組。導電線被處置以形成經修改的導電線110,其具有最上表面114。最上表面114包括金屬及非金屬物種(或非金屬)。於一實施例中,處置複數導電線106包括將複數導電線106暴露至氨以及非金屬之來源。於一實施例中,非金屬被選自由氧、矽、鍺及硼所組成的群組。應理解:除了於後續處理步驟中保護經修改的導電線110之金屬以外,最上表面114亦可協助硬遮罩材料(特別是「顏色」硬遮罩材料)之選擇性沈積。Referring to FIG. 1B , the conductive line 106 has a bulk portion comprising a metallic species (or metal). In one embodiment, the metal is selected from the group consisting of cobalt, copper, tungsten and nickel. The conductive wire is processed to form a modified conductive wire 110 having an uppermost surface 114 . The uppermost surface 114 includes metal and non-metal species (or non-metal). In one embodiment, treating the plurality of conductive lines 106 includes exposing the plurality of conductive lines 106 to sources of ammonia and non-metals. In one embodiment, the non-metal is selected from the group consisting of oxygen, silicon, germanium and boron. It should be understood that in addition to protecting the metal of modified conductive lines 110 during subsequent processing steps, uppermost surface 114 may also assist in the selective deposition of hard mask materials, particularly "color" hard mask materials.

參考圖1C,硬遮罩層116被形成於圖1B之結構上方。硬遮罩層116包括第一硬遮罩組件118及第二硬遮罩組件120。第一硬遮罩組件被形成於導電線110之最上表面114上並與其對準。第二硬遮罩組件120被形成於ILD層104之暴露表面上並與其對準。於一實施例中,具有第一硬遮罩組件118及第二硬遮罩組件120之硬遮罩層116係使用定向自聚合或選擇性沈積方式而被形成,以最終地形成第一硬遮罩組件118及第二硬遮罩組件120之兩個不同的、交替的區。於一此類實施例中,定向自聚合或選擇性沈積方式係藉由使用最上表面114(不同於使用導電線110之表面)而被提升。於一實施例中,第一硬遮罩組件118及第二硬遮罩組件120之材料係展現彼此不同的蝕刻選擇性。如以下更詳細地描述,定向自聚合或選擇性生長可被使用以選擇性個別對準第一硬遮罩組件118及第二硬遮罩組件120至電介質及金屬表面。Referring to FIG. 1C, a hard mask layer 116 is formed over the structure of FIG. 1B. The hard mask layer 116 includes a first hard mask component 118 and a second hard mask component 120 . A first hard mask element is formed on and aligned with the uppermost surface 114 of the conductive lines 110 . A second hard mask feature 120 is formed on and aligned with the exposed surface of the ILD layer 104 . In one embodiment, the hard mask layer 116 having the first hard mask element 118 and the second hard mask element 120 is formed using directed self-polymerization or selective deposition to finally form the first hard mask Two distinct, alternating regions of the mask element 118 and the second hard mask element 120 . In one such embodiment, the directed self-polymerization or selective deposition approach is enhanced by using the uppermost surface 114 (different from the surface using the conductive lines 110). In one embodiment, the materials of the first hard mask element 118 and the second hard mask element 120 exhibit different etch selectivities from each other. As described in more detail below, directed self-polymerization or selective growth may be used to selectively individually align the first hard mask component 118 and the second hard mask component 120 to the dielectric and metal surfaces.

於第一一般性實施例中,為了最終地形成第一硬遮罩組件118及第二硬遮罩組件120,定向自聚合(DSA)區塊共聚物沈積及聚合物聚合製程被履行。於一實施例中,DSA區塊共聚物被塗佈於表面上並被退火以將聚合物分離為第一區塊及第二區塊。於一實施例中,第一聚合物區塊優先地黏附至ILD層104之暴露表面。第二聚合物區塊黏附至導電線110之最上表面114。In the first general embodiment, to finally form the first hard mask feature 118 and the second hard mask feature 120, a directed self polymerization (DSA) block copolymer deposition and polymer polymerization process is performed. In one embodiment, a DSA block copolymer is coated on the surface and annealed to separate the polymer into a first block and a second block. In one embodiment, the first polymer block preferentially adheres to the exposed surface of the ILD layer 104 . The second polymer block is adhered to the uppermost surface 114 of the conductive line 110 .

於一實施例中,區塊共聚物分子是由共價接合單體之鏈所形成的聚合物分子。於雙區塊共聚物中,有兩不同類型的單體,且這些不同類型的單體被主要地包括於單體之兩個不同區塊或相鄰序列內。所示的區塊共聚物分子包括第一聚合物之區塊及第二聚合物之區塊。於一實施例中,第一聚合物之區塊主要地包括共價鏈結的單體A之鏈(例如,A-A-A-A-A…),而第二聚合物之區塊主要地包括共價鏈結的單體B之鏈(例如,B-B-B-B-B…)。單體A及B可代表本技術中已知之區塊共聚物中所使用的不同類型單體之任一者。舉例而言,單體A可代表用以形成聚苯乙烯之單體,而單體B可代表用以形成聚甲基丙烯酸甲酯(PMMA)之單體,或反之亦然,雖然本發明之範圍並非如此限制。於其他實施例中,可有多於兩個區塊。此外,於其他實施例中,每一該些區塊可包括不同類型的單體(例如,各區塊本身可為共聚物)。於一實施例中,第一聚合物之區塊及第二聚合物之區塊被共價地接合在一起。第一聚合物之區塊及第二聚合物之區塊可為大約相同的長度,或者一區塊可顯著地較另一區塊更長。In one embodiment, block copolymer molecules are polymer molecules formed by covalently joining chains of monomers. In a dual block copolymer, there are two different types of monomers, and these different types of monomers are predominantly included in two different blocks or adjacent sequences of monomers. The block copolymer molecules shown include blocks of the first polymer and blocks of the second polymer. In one embodiment, the blocks of the first polymer consist essentially of chains of covalently linked monomers A (e.g., A-A-A-A-A...), while the blocks of the second polymer consist essentially of covalently linked monomers A chain of body B (eg, B-B-B-B-B...). Monomers A and B may represent any of the different types of monomers used in block copolymers known in the art. For example, monomer A can represent a monomer used to form polystyrene, and monomer B can represent a monomer used to form polymethylmethacrylate (PMMA), or vice versa, although the present invention The scope is not so limited. In other embodiments, there may be more than two blocks. Furthermore, in other embodiments, each of the blocks may comprise a different type of monomer (eg, each block itself may be a copolymer). In one embodiment, the blocks of the first polymer and the blocks of the second polymer are covalently bonded together. The blocks of the first polymer and the blocks of the second polymer may be about the same length, or one block may be significantly longer than the other.

於一實施例中,如以下更詳細地描述,區塊共聚物之相位分離被實施。於一此類實施例中,DSA刷(諸如具有硫醇或腈或OH末端基之受控制的分子重量之小聚苯乙烯或PMMA片段)被首先形成於該表面上以促進此相位分離。於特定此類實施例中,此一刷層係共價地黏附至金屬或ILD表面並接著導引區塊共聚物以聚合於金屬及ILD光柵上方。In one embodiment, phase separation of block copolymers is implemented as described in more detail below. In one such embodiment, DSA brushes (such as small polystyrene or PMMA fragments of controlled molecular weight with thiol or nitrile or OH end groups) are first formed on the surface to facilitate this phase separation. In certain such embodiments, such a brush layer is covalently adhered to the metal or ILD surface and then directs the block copolymer to polymerize over the metal and ILD grating.

通常,區塊共聚物之區塊(例如,第一聚合物之區塊及第二聚合物之區塊)可各具有不同的化學性質。舉例而言,該些區塊之一可為相對較疏水的(例如,斥水的)而另一者可為相對較親水的(吸水的)。至少觀念上,該些區塊之一可為相對較類似於油而另一區塊可相對較類似於水。介於不同區塊聚合物之間的化學性質之此等差異(無論是親水-疏水差異或其他)可能造成區塊共聚物分子自聚合。例如,自聚合可根據聚合物區塊之微相分離。觀念上,此可類似於其通常不能混合的油與水之相位分離。類似地,介於聚合物區塊之間的親水性的差異(例如,一區塊是相對疏水的而另一區塊是相對親水的)可能造成類似的微相分離,其中不同的聚合物區塊由於化學上不喜歡對方而嘗試彼此「分離」。In general, blocks of block copolymers (eg, blocks of a first polymer and blocks of a second polymer) can each have different chemical properties. For example, one of the blocks may be relatively hydrophobic (eg, water-repelling) while the other may be relatively hydrophilic (water-absorbing). At least conceptually, one of the zones may be relatively more like oil while the other may be relatively more like water. Such differences in chemical properties between different block polymers (whether hydrophilic-hydrophobic differences or otherwise) may cause the block copolymer molecules to self-polymerize. For example, self-polymerization can be based on microphase separation of polymer blocks. Conceptually, this can be analogous to the phase separation of oil and water which are usually immiscible. Similarly, differences in hydrophilicity between polymer blocks (e.g., one block is relatively hydrophobic and another block is relatively hydrophilic) may cause similar microphase separations, where different polymer regions Blocks try to "separate" from each other because they don't like each other chemically.

然而,於一實施例中,因為聚合物區塊被共價地彼此接合,所以其無法於巨觀尺度上完全地分離。反之,既定類型的聚合物區塊傾向於在極小(例如,毫微大小的)區或相位中與相同類型之其他分子的聚合物區塊分離或聚集。區或微相位之特定大小及形狀通常至少部分地取決於聚合物區塊之相對長度。於一實施例中,舉例而言,於兩區塊共聚物中,假如區塊為約略相同的長度,則產生交替的第一聚合物線與第二聚合物線之柵格狀圖案。However, in one embodiment, since the polymer blocks are covalently bonded to each other, they cannot be completely separated on the macroscopic scale. Conversely, polymer blocks of a given type tend to separate or aggregate from polymer blocks of other molecules of the same type in very small (eg, nano-sized) regions or phases. The particular size and shape of the domains or microphases typically depends at least in part on the relative lengths of the polymer blocks. In one embodiment, for example, in a two-block copolymer, if the blocks are approximately the same length, a grid-like pattern of alternating first and second polymer lines results.

於一實施例中,第一聚合物/第二聚合物光柵被首先塗敷為未聚合的區塊共聚物層部分,其包括(例如)藉由刷或其他塗佈製程所塗敷之區塊共聚物材料。未聚合形態指的是其中(在沈積的時刻)區塊共聚物尚未實質上相位分離及/或自聚合以形成毫微結構。於此未聚合形式中,區塊聚合物分子是相當高度隨機化的,具有相當高度隨機定向並定位的。未聚合區塊共聚物層部分可被塗敷以多種不同方式。舉例而言,區塊共聚物可溶解於溶劑中並接著旋塗於表面之上。替代地,未聚合區塊共聚物可被噴塗、浸塗、浸入塗、或其他方式塗佈或塗敷於表面之上。塗敷區塊共聚物之其他方式、以及用以塗敷類似有機塗層之技術中已知的其他方式可潛在地被使用。接著,未聚合層可形成聚合區塊共聚物層部分,例如,藉由未聚合區塊共聚物層部分之微相分離及/或自聚合。微相分離及/或自聚合係透過區塊共聚物分子之再配置及/或再定位而發生,且特別是區塊共聚物分子的不同聚合物區塊之再配置及/或再定位。In one embodiment, the first polymer/second polymer grating is first applied as an unpolymerized segmental copolymer layer portion comprising, for example, segments applied by brushing or other coating process Copolymer material. Unpolymerized morphology refers to one in which (at the moment of deposition) the block copolymer has not yet substantially phase separated and/or self-polymerized to form nanostructures. In this unpolymerized form, the block polymer molecules are quite highly randomized, having a relatively high degree of random orientation and positioning. The unpolymerized block copolymer layer portion can be applied in a number of different ways. For example, block copolymers can be dissolved in a solvent and then spin-coated onto the surface. Alternatively, the unpolymerized block copolymer may be sprayed, dipped, dipped, or otherwise coated or applied onto the surface. Other means of applying block copolymers, and other means known in the art for applying similar organic coatings, could potentially be used. The unpolymerized layer may then form the polymerized block copolymer layer portion, for example, by microphase separation and/or self-polymerization of the unpolymerized block copolymer layer portion. Microphase separation and/or self-polymerization occurs through the reconfiguration and/or reorientation of the block copolymer molecules, and in particular the reconfiguration and/or reorientation of the different polymer blocks of the block copolymer molecules.

於此一實施例中,退火處置可被施加至未聚合區塊共聚物以起始、加速、增加、或者提升微相分離及/或自聚合之品質。於某些實施例中,退火處置可包括可操作以增加區塊共聚物之溫度的處置。此一處置之一範例是烘焙該層、加熱該層於烘箱中或者於熱燈之上,施加紅外線輻射至該層,或者施加熱至該層或增加該層之溫度。所欲的溫度增加通常將足以顯著地加速區塊聚合物之微相分離及/或自聚合的速率而不損害區塊共聚物或積體電路基底之任何其他重要的材料或結構。通常,加熱範圍可介於約50℃至約300℃,或介於約75℃至約250℃,但不超過區塊共聚物或積體電路基底之熱退化限制。加熱或退火可協助提供能量給區塊共聚物分子以使其更可動/有彈性以增加微相分離之速率及/或增進微相分離之品質。區塊共聚物分子之此微相分離或再配置/再定位可導致自聚合以形成極小(例如,毫微等級)結構。自聚合可於表面能量、分子親和性、及其他表面相關和化學相關力的影響之下發生。In such an embodiment, an annealing treatment may be applied to the unpolymerized block copolymer to initiate, accelerate, increase, or enhance the quality of microphase separation and/or self-polymerization. In certain embodiments, the annealing treatment can include a treatment operable to increase the temperature of the block copolymer. An example of such a treatment is baking the layer, heating the layer in an oven or over a heat lamp, applying infrared radiation to the layer, or applying heat to or increasing the temperature of the layer. The desired temperature increase will generally be sufficient to significantly accelerate the rate of microphase separation and/or self-polymerization of the block polymer without damaging the block copolymer or any other important material or structure of the integrated circuit substrate. Typically, the heating range may be from about 50°C to about 300°C, or from about 75°C to about 250°C, without exceeding the thermal degradation limits of the block copolymer or integrated circuit substrate. Heating or annealing can help provide energy to the block copolymer molecules to make them more mobile/elastic to increase the rate and/or improve the quality of microphase separation. This microphase separation or reconfiguration/repositioning of the block copolymer molecules can lead to self-polymerization to form extremely small (eg, nanoscale) structures. Self-polymerization can occur under the influence of surface energy, molecular affinity, and other surface-related and chemically-related forces.

於任何情況下,於某些實施例中,區塊共聚物之自聚合(無論是否根據疏水-親水差異)可被用以形成極小的週期性結構(例如,精確地間隔的毫微等級結構或線)。於某些實施例中,其可被用以形成毫微等級線或其他毫微等級結構。In any event, in certain embodiments, self-polymerization of block copolymers (whether based on hydrophobic-hydrophilic differences or not) can be used to form extremely small periodic structures (e.g., precisely spaced nanoscale structures or Wire). In some embodiments, it can be used to form nanoscale wires or other nanoscale structures.

再次參考圖1C,於DSA製程之情況下,在第一實施例中,第一硬遮罩組件118及第二硬遮罩組件120個別為第二及第一區塊聚合物。然而,於第二實施例中,第二及第一區塊聚合物被各依序地替換以第一硬遮罩組件118及第二硬遮罩組件120之材料,個別地。於一此類實施例中,選擇性蝕刻及沈積製程被使用而個別地以第一硬遮罩組件118及第二硬遮罩組件120之材料來替換第二及第一區塊聚合物。Referring again to FIG. 1C , in the case of a DSA process, in the first embodiment, the first hard mask feature 118 and the second hard mask feature 120 are the second and first block polymers, respectively. However, in the second embodiment, the second and first block polymers are each sequentially replaced with the material of the first hard mask component 118 and the second hard mask component 120 , respectively. In one such embodiment, a selective etch and deposition process is used to replace the second and first bulk polymers with the material of the first hard mask feature 118 and the second hard mask feature 120 , respectively.

於第二一般性實施例中,為了最終地形成第一硬遮罩組件118及第二硬遮罩組件120,選擇性生長製程被使用以取代DSA方式。於一此類實施例中,第二硬遮罩組件120之材料被生長於ILD層104之暴露部分上方。第一硬遮罩組件118之第二(不同的)材料被生長於導電線110之最上表面114上方。於一實施例中,選擇性生長係藉由一種針對第一硬遮罩組件118及第二硬遮罩組件120兩者材料的dep-etch-dep-etch(沈積-蝕刻-沈積-蝕刻)方式來達成,導致該些材料之各者的複數層。此一方式可能是理想的,相對於其可形成「蘑菇頂部」狀的膜之傳統選擇性生長技術。蘑菇頂膜生長傾向可透過一種交替的沈積/蝕刻/沈積(dep-etch-dep-etch)方式而被減少。於另一實施例中,膜被選擇性沈積於金屬之上,接續以不同膜被選擇性地沈積於ILD之上(或反之亦然),且重複數次以產生三明治狀堆疊。於另一實施例中,兩材料被同時地生長於一反應室中(例如,藉由CVD式樣製程),其係選擇性生長於下方基底之各暴露區上。In the second general embodiment, in order to finally form the first hard mask element 118 and the second hard mask element 120, a selective growth process is used instead of the DSA method. In one such embodiment, the material of the second hard mask component 120 is grown over exposed portions of the ILD layer 104 . A second (different) material of the first hard mask element 118 is grown over the uppermost surface 114 of the conductive line 110 . In one embodiment, the selective growth is by a dep-etch-dep-etch (deposition-etch-deposition-etch) method for both the first hard mask element 118 and the second hard mask element 120 materials to achieve, resulting in multiple layers of each of the materials. Such an approach may be ideal over conventional selective growth techniques, which can form "mushroom-top" films. Mushroom top film growth propensity can be reduced by an alternating deposition/etch/deposition (dep-etch-dep-etch) approach. In another embodiment, a film is selectively deposited on the metal, followed by a different film selectively deposited on the ILD (or vice versa), and repeated several times to create a sandwich-like stack. In another embodiment, two materials are grown simultaneously in a reaction chamber (eg, by CVD-style processes), which are selectively grown on exposed regions of the underlying substrate.

如以下更詳細地描述,於一實施例中,圖1C之所得結構致能增進的通孔短路容限,當製造稍後的通孔層於圖1C之結構上時。於一實施例中,增進的短路容限被達成,因為製造具有交替「顏色」硬遮罩組件之結構減少了通孔短路至錯誤金屬線的風險。於一實施例中,達成了自對準,因為交替的顏色硬遮罩組件被自對準至底下的表面,包括交替的ILD層104及導電線110之最上表面114。於特定實施例中,第一硬遮罩組件118及第二硬遮罩組件120為不同的材料,諸如(但不限定於)SiO2 、Al摻雜的SiO2 、SiN、SiC、SiCN、SiCON、或金屬氧化物(諸如AlOx、HfOx、ZrOx、TiOx)。As described in more detail below, in one embodiment, the resulting structure of FIG. 1C enables improved via short tolerance when later via layers are fabricated on the structure of FIG. 1C. In one embodiment, improved short circuit tolerance is achieved because fabricating the structure with alternating "color" hard mask elements reduces the risk of vias shorting to the wrong metal line. In one embodiment, self-alignment is achieved because alternating color hard mask elements are self-aligned to the underlying surface, including the uppermost surface 114 of alternating ILD layers 104 and conductive lines 110 . In certain embodiments, the first hard mask feature 118 and the second hard mask feature 120 are different materials such as, but not limited to, SiO 2 , Al-doped SiO 2 , SiN, SiC, SiCN, SiCON. , or metal oxides (such as AlOx, HfOx, ZrOx, TiOx).

參考圖1D,第二層間電介質(ILD)層122被形成於圖1C之結構上方。開口124被形成於第二ILD層122中。於一實施例中,開口124被形成於針對下一階金屬化層之導電通孔製造所選擇的位置中。相對於傳統的通孔位置選擇,開口124可(於一實施例中)具有相對放寬的寬度,相較於導電通孔所將最終地形成於其上的相應導電線110之寬度。例如,於特定實施例中,開口124之寬度(W)具有導電線110之約略3/4節距的尺寸。針對相對較寬通孔開口124之此一調適可放寬對於其用以形成開口124之微影製程的限制。此外,針對失準之容許度亦可被增加。Referring to FIG. 1D , a second interlayer dielectric (ILD) layer 122 is formed over the structure of FIG. 1C . Openings 124 are formed in the second ILD layer 122 . In one embodiment, openings 124 are formed in locations selected for conductive via fabrication of the next level of metallization. With respect to conventional via location options, the opening 124 may (in one embodiment) have a relatively relaxed width compared to the width of the corresponding conductive line 110 on which the conductive via will ultimately be formed. For example, in a particular embodiment, the width (W) of opening 124 has a dimension of approximately 3/4 the pitch of conductive lines 110 . This accommodation for relatively wider via openings 124 can relax constraints on the lithography process used to form the openings 124 . In addition, the tolerance for misalignment can also be increased.

參考圖1E,第一硬遮罩組件118之一者被選擇以供移除(例如,藉由選擇性蝕刻製程)來形成開口127。於此情況下,第一硬遮罩組件118之已暴露者被移除,其係對於第二硬遮罩組件120之暴露部分有選擇性的。於一實施例中,第一硬遮罩組件118之已暴露者被移除,其係對於第二硬遮罩組件120之暴露部分有選擇性的,使用選擇性乾式或電漿蝕刻製程。於另一實施例中,第一硬遮罩組件118之已暴露者被移除,其係對於第二硬遮罩組件120之暴露部分有選擇性的,使用選擇性濕式蝕刻製程。Referring to FIG. 1E , one of the first hard mask elements 118 is selected for removal (eg, by a selective etch process) to form an opening 127 . In this case, the exposed portion of the first hard mask element 118 is removed, which is selective to the exposed portion of the second hard mask element 120 . In one embodiment, the exposed portions of the first hard mask feature 118 are removed selectively to the exposed portions of the second hard mask feature 120 using a selective dry or plasma etch process. In another embodiment, the exposed portions of the first hard mask element 118 are removed selectively to the exposed portions of the second hard mask element 120 using a selective wet etch process.

再次參考圖1E,第一硬遮罩組件118之該一者的移除係形成開口於硬遮罩層116中,其係暴露複數金屬線110之一者的一部分。於一實施例中,複數金屬線110之該一者的暴露部分被修改以自複數金屬線110之該一者的暴露部分之最上表面114移除(或至少實質上移除)非金屬。與選定的相應下方導電線110相關之所得的已修改暴露部分115可被稱為化學減少區,其具有相對於最上表面114之增加的導電性。因此,於一實施例中,修改複數金屬線110之該一者的暴露部分包括留存最上表面114之金屬(例如,成為部分115)。於特定實施例中,H2 為基的電漿被用以移除相應選定導電線110之最上表面114的暴露部分之非金屬物種。Referring again to FIG. 1E , removal of the one of the first hard mask elements 118 forms an opening in the hard mask layer 116 that exposes a portion of one of the plurality of metal lines 110 . In one embodiment, the exposed portion of the one of the plurality of metal lines 110 is modified to remove (or at least substantially remove) non-metal from the uppermost surface 114 of the exposed portion of the one of the plurality of metal lines 110 . The resulting modified exposed portions 115 associated with selected respective underlying conductive lines 110 may be referred to as chemically reduced regions having increased conductivity relative to the uppermost surface 114 . Thus, in one embodiment, modifying the exposed portion of the one of the plurality of metal lines 110 includes leaving the metal of the uppermost surface 114 (eg, as portion 115 ). In certain embodiments, an H 2 -based plasma is used to remove non-metallic species from exposed portions of the uppermost surface 114 of the corresponding selected conductive lines 110 .

圖1F闡明接續於下一層通孔製造後之圖1E的結構。導電通孔128被形成於圖1E之開口127中。導電通孔128係位於複數金屬線110之該一者的經修改暴露部分115上,且(於一實施例中)被電連接至經修改暴露部分115。於一實施例中,導電通孔128係電接觸經修改暴露部分115而不短路至鄰接或相鄰最上表面114/導電線110對之一者。於特定實施例中,導電通孔128之一部分被配置於第二硬遮罩組件120之一或更多暴露部分上,如圖1F中所描繪。於一實施例中,實現了增進的短路容限。FIG. 1F illustrates the structure of FIG. 1E following fabrication of vias in the next layer. Conductive vias 128 are formed in openings 127 of FIG. 1E . The conductive via 128 is located on the modified exposed portion 115 of the one of the plurality of metal lines 110 and is (in one embodiment) electrically connected to the modified exposed portion 115 . In one embodiment, the conductive via 128 is in electrical contact with the modified exposed portion 115 without shorting to one of the adjacent or adjacent uppermost surface 114 /conductive line 110 pair. In certain embodiments, a portion of the conductive via 128 is disposed on one or more exposed portions of the second hard mask component 120, as depicted in FIG. 1F. In one embodiment, improved short circuit tolerance is achieved.

再次參考圖1F,於範例說明性實施例中,一種積體電路結構包括複數導電線110於基底102之上的層間電介質(ILD)層104中。複數導電線110之各者具有包括金屬之大塊部分且具有包括金屬及非金屬之最上表面114。硬遮罩層126係位於複數導電線110上以及於ILD層104之最上表面上。硬遮罩層126包括第一硬遮罩組件118於複數導電線110之最上表面114上並與其對準、以及第二硬遮罩組件120於ILD層104之最上表面的區上並與其對準。於一實施例中,第一118與第二120硬遮罩組件的組成係彼此不同。導電通孔128係位於硬遮罩層126中之開口中且位於複數導電線110之一者的一部分115上,該部分115具有不同於其包括金屬及非金屬之最上表面114的組成。Referring again to FIG. 1F , in an exemplary illustrative embodiment, an integrated circuit structure includes a plurality of conductive lines 110 in an interlayer dielectric (ILD) layer 104 over a substrate 102 . Each of the plurality of conductive lines 110 has a bulk portion comprising metal and has an uppermost surface 114 comprising metal and non-metal. The hard mask layer 126 is located on the plurality of conductive lines 110 and on the uppermost surface of the ILD layer 104 . The hard mask layer 126 includes a first hard mask element 118 on and aligned with the uppermost surface 114 of the plurality of conductive lines 110, and a second hard mask element 120 on and aligned with a region of the uppermost surface of the ILD layer 104. . In one embodiment, the compositions of the first 118 and the second 120 hard mask elements are different from each other. Conductive vias 128 are located in openings in hard mask layer 126 and over a portion 115 of one of plurality of conductive lines 110 that has a different composition than its uppermost surface 114, which includes metals and non-metals.

於一實施例中,非金屬被選自由氧、矽、鍺及硼所組成的群組。於一實施例中,金屬被選自由鈷、銅、鎢及鎳所組成的群組。於一實施例中,第一硬遮罩組件118為選自由AlOx、HfOx、ZrOx及TiOx所組成的群組之金屬氧化物。In one embodiment, the non-metal is selected from the group consisting of oxygen, silicon, germanium and boron. In one embodiment, the metal is selected from the group consisting of cobalt, copper, tungsten and nickel. In one embodiment, the first hard mask feature 118 is a metal oxide selected from the group consisting of AlOx, HfOx, ZrOx and TiOx.

於一實施例中,複數導電線之該一者的該部分115係與具有金屬及非金屬之最上表面114實質上共面。於一實施例中,第一硬遮罩組件118被侷限於複數導電線110之最上表面114,如圖所示。然而,於另一實施例(未顯示)中,第一硬遮罩組件118係延伸至ILD層104之最上表面上。In one embodiment, the portion 115 of the one of the plurality of conductive lines is substantially coplanar with the uppermost surface 114 having metal and non-metal. In one embodiment, the first hard mask element 118 is confined to the uppermost surface 114 of the plurality of conductive lines 110, as shown. However, in another embodiment (not shown), the first hard mask element 118 extends onto the uppermost surface of the ILD layer 104 .

於一實施例中,複數導電線110之最上表面114具有與ILD層104之最上表面實質上共面的最上表面,如圖1F中所示。於一實施例中,第一硬遮罩組件118具有與第二硬遮罩組件120之最上表面實質上共面的最上表面,如圖1F中所示。於一實施例中,積體電路結構進一步包括第二ILD層122於硬遮罩層126之上。導電通孔128係進一步位於第二ILD層122之開口中。於一此類實施例中,第二ILD層之開口具有約略等於複數導電線110之3/4節距的寬度。於一實施例中,複數導電線110之一者被耦合至下方導電通孔結構108,如圖1F中所示。於一此類實施例中,下方導電通孔結構108被連接至積體電路結構(未顯示)之下方金屬化層。In one embodiment, the uppermost surface 114 of the plurality of conductive lines 110 has an uppermost surface that is substantially coplanar with the uppermost surface of the ILD layer 104 , as shown in FIG. 1F . In one embodiment, the first hard mask element 118 has an uppermost surface that is substantially coplanar with the uppermost surface of the second hard mask element 120 , as shown in FIG. 1F . In one embodiment, the integrated circuit structure further includes a second ILD layer 122 on the hard mask layer 126 . The conductive via 128 is further located in the opening of the second ILD layer 122 . In one such embodiment, the openings of the second ILD layer have a width approximately equal to the 3/4 pitch of the plurality of conductive lines 110 . In one embodiment, one of the plurality of conductive lines 110 is coupled to the underlying conductive via structure 108, as shown in FIG. 1F. In one such embodiment, the underlying conductive via structure 108 is connected to an underlying metallization layer of an integrated circuit structure (not shown).

於另一形態中,圖1E’-1F’闡明積體電路層之部分的橫斷面視圖,其表示另一種涉及用於後段製程(BEOL)互連製造之蝕刻停止層及自對準導電通孔形成的方法中之各個操作,依據本發明之實施例。In another form, FIGS. 1E'-1F' illustrate cross-sectional views of a portion of an integrated circuit layer showing another method involving etch stop layers and self-aligned conductive vias for back-end-of-line (BEOL) interconnect fabrication. Each operation in the hole forming method is according to the embodiment of the present invention.

參考圖1E’,第一硬遮罩組件118之一者被選擇以供移除(例如,藉由選擇性蝕刻製程)來形成開口127’。於此情況下,第一硬遮罩組件118之已暴露者被移除,其係對於第二硬遮罩組件120之暴露部分有選擇性的。於一實施例中,第一硬遮罩組件118之已暴露者被移除,其係對於第二硬遮罩組件120之暴露部分有選擇性的,使用選擇性乾式或電漿蝕刻製程。於另一實施例中,第一硬遮罩組件118之已暴露者被移除,其係對於第二硬遮罩組件120之暴露部分有選擇性的,使用選擇性濕式蝕刻製程。Referring to FIG. 1E', one of the first hard mask elements 118 is selected for removal (eg, by a selective etch process) to form opening 127'. In this case, the exposed portion of the first hard mask element 118 is removed, which is selective to the exposed portion of the second hard mask element 120 . In one embodiment, the exposed portions of the first hard mask feature 118 are removed selectively to the exposed portions of the second hard mask feature 120 using a selective dry or plasma etch process. In another embodiment, the exposed portions of the first hard mask element 118 are removed selectively to the exposed portions of the second hard mask element 120 using a selective wet etch process.

再次參考圖1E’,第一硬遮罩組件118之該一者的移除係形成開口於硬遮罩層116中,其係暴露複數金屬線110’之一者的一部分。於一實施例中,複數金屬線110’之該一者的暴露部分被蝕刻以移除複數金屬線110’之該一者的暴露部分之最上表面114。所得的經修改凹陷部分115’係與選定的相應下方導電線110’相關聯。因此,於一實施例中,修改複數金屬線110’之該一者的暴露部分進一步包括移除最上表面114之金屬以形成複數金屬線110’之該一者的凹陷部分115’。Referring again to FIG. 1E', removal of the one of the first hard mask elements 118 forms an opening in the hard mask layer 116 that exposes a portion of one of the plurality of metal lines 110'. In one embodiment, the exposed portion of the one of the plurality of metal lines 110' is etched to remove the uppermost surface 114 of the exposed portion of the one of the plurality of metal lines 110'. The resulting modified recessed portion 115' is associated with the selected corresponding underlying conductive line 110'. Therefore, in one embodiment, modifying the exposed portion of the one of the plurality of metal lines 110' further includes removing the metal of the uppermost surface 114 to form the recessed portion 115' of the one of the plurality of metal lines 110'.

圖1F’闡明接續於下一層通孔製造後之圖1E’的結構。導電通孔128’被形成於圖1E’之開口127’中。導電通孔128’係位於複數金屬線110’之該一者的凹陷部分115’上,且(於一實施例中)被電連接至複數導電線110’之該一者。於一實施例中,導電通孔128’係電接觸複數導電線110’之該一者而不短路至鄰接或相鄰最上表面114/導電線110對之一者。於特定實施例中,導電通孔128’之一部分被配置於第二硬遮罩組件120之一或更多暴露部分上,如圖1F’中所描繪。於一實施例中,實現了增進的短路容限。FIG. 1F' illustrates the structure of FIG. 1E' following fabrication of vias in the next layer. A conductive via 128' is formed in opening 127' of FIG. 1E'. The conductive via 128' is located on the recessed portion 115' of the one of the plurality of metal lines 110' and is (in one embodiment) electrically connected to the one of the plurality of conductive lines 110'. In one embodiment, the conductive via 128' electrically contacts the one of the plurality of conductive lines 110' without shorting to one of the adjacent or adjacent uppermost surface 114/conductive line 110 pairs. In certain embodiments, a portion of the conductive via 128' is disposed on one or more exposed portions of the second hard mask component 120, as depicted in FIG. 1F'. In one embodiment, improved short circuit tolerance is achieved.

再次參考圖1F’,於範例說明性實施例中,一種積體電路結構包括複數導電線110於基底102之上的層間電介質(ILD)層104中。複數導電線110之各者具有包括金屬之大塊部分且具有包括金屬及非金屬之最上表面114。硬遮罩層126係位於複數導電線110上以及於ILD層104之最上表面上。硬遮罩層126包括第一硬遮罩組件118於複數導電線110之最上表面114上並與其對準、以及第二硬遮罩組件120於ILD層104之最上表面的區上並與其對準。於一實施例中,第一118與第二120硬遮罩組件的組成係彼此不同。導電通孔128係位於硬遮罩層126之開口中且位於複數導電線110’之凹陷者上,例如,在位置115’上。Referring again to FIG. 1F', in an exemplary illustrative embodiment, an integrated circuit structure includes a plurality of conductive lines 110 in an interlayer dielectric (ILD) layer 104 over a substrate 102. Referring to FIG. Each of the plurality of conductive lines 110 has a bulk portion comprising metal and has an uppermost surface 114 comprising metal and non-metal. The hard mask layer 126 is located on the plurality of conductive lines 110 and on the uppermost surface of the ILD layer 104 . The hard mask layer 126 includes a first hard mask element 118 on and aligned with the uppermost surface 114 of the plurality of conductive lines 110, and a second hard mask element 120 on and aligned with a region of the uppermost surface of the ILD layer 104. . In one embodiment, the compositions of the first 118 and the second 120 hard mask elements are different from each other. Conductive vias 128 are located in openings of hard mask layer 126 and over recesses of plurality of conductive lines 110', for example, at location 115'.

於一實施例中,非金屬被選自由氧、矽、鍺及硼所組成的群組。於一實施例中,金屬被選自由鈷、銅、鎢及鎳所組成的群組。於一實施例中,第一硬遮罩組件118為選自由AlOx、HfOx、ZrOx及TiOx所組成的群組之金屬氧化物。In one embodiment, the non-metal is selected from the group consisting of oxygen, silicon, germanium and boron. In one embodiment, the metal is selected from the group consisting of cobalt, copper, tungsten and nickel. In one embodiment, the first hard mask feature 118 is a metal oxide selected from the group consisting of AlOx, HfOx, ZrOx and TiOx.

於一實施例中,複數導電線110’之該一者的凹陷部分115’係相對於具有金屬及非金屬之最上表面114而被凹陷,如圖所示。於一實施例中,第一硬遮罩組件118被侷限於複數導電線110之最上表面114,如圖所示。然而,於另一實施例(未顯示)中,第一硬遮罩組件118係延伸至ILD層104之最上表面上。In one embodiment, the recessed portion 115' of the one of the plurality of conductive lines 110' is recessed relative to the uppermost surface 114 having metal and non-metal, as shown. In one embodiment, the first hard mask element 118 is confined to the uppermost surface 114 of the plurality of conductive lines 110, as shown. However, in another embodiment (not shown), the first hard mask element 118 extends onto the uppermost surface of the ILD layer 104 .

於一實施例中,複數導電線110之最上表面114具有與ILD層104之最上表面實質上共面的最上表面,如圖1F’中所示。於一實施例中,第一硬遮罩組件118具有與第二硬遮罩組件120之最上表面實質上共面的最上表面,如圖1F’中所示。於一實施例中,積體電路結構進一步包括第二ILD層122於硬遮罩層126之上。導電通孔128’係進一步位於第二ILD層122之開口中。於一此類實施例中,第二ILD層之開口具有約略等於複數導電線110/110’之3/4節距的寬度。於一實施例中,複數導電線110之一者被耦合至下方導電通孔結構108,如圖1F’中所示。於一此類實施例中,下方導電通孔結構108被連接至積體電路結構(未顯示)之下方金屬化層。In one embodiment, the uppermost surface 114 of the plurality of conductive lines 110 has an uppermost surface that is substantially coplanar with the uppermost surface of the ILD layer 104, as shown in FIG. 1F'. In one embodiment, the first hard mask element 118 has an uppermost surface that is substantially coplanar with the uppermost surface of the second hard mask element 120, as shown in FIG. 1F'. In one embodiment, the integrated circuit structure further includes a second ILD layer 122 on the hard mask layer 126 . The conductive via 128' is further located in the opening of the second ILD layer 122. In one such embodiment, the openings of the second ILD layer have a width approximately equal to the 3/4 pitch of the plurality of conductive lines 110/110'. In one embodiment, one of the plurality of conductive lines 110 is coupled to the underlying conductive via structure 108, as shown in FIG. 1F'. In one such embodiment, the underlying conductive via structure 108 is connected to an underlying metallization layer of an integrated circuit structure (not shown).

於使用不同「顏色」蝕刻停止層為基礎的第二範例方式中,圖2A-2C闡明積體電路層之部分的橫斷面視圖,其表示另一種涉及用於後段製程(BEOL)互連製造之蝕刻停止層及自對準導電通孔形成的方法中之各個操作,依據本發明之另一實施例。In a second example approach based on the use of different "colored" etch stop layers, Figures 2A-2C illustrate cross-sectional views of portions of integrated circuit layers representing another method involved in back-end-of-line (BEOL) interconnect fabrication. Each operation in the method of forming the etch stop layer and the self-aligned conductive via is according to another embodiment of the present invention.

參考圖2A,開始結構200包括複數交替的第一110A及第二110B導電線,其被形成於基底102之上的層間電介質(ILD)層104中。複數第一處置表面114A被形成於第一導電線110A之相應者上。複數第二處置表面114B被形成於第二導電線110B之相應者上。於一實施例中,複數第二處置表面114B與複數第一處置表面114A的組成不同。硬遮罩層216被形成於複數第一處置表面114A上,於複數第二處置表面114B上以及於ILD層104之最上表面上。硬遮罩層216包括第一硬遮罩組件220於複數第一處置表面114A上並與其對準、以及第二硬遮罩組件218於複數第二處置表面114B上並與其對準。於一實施例中,第一220與第二218硬遮罩組件的組成係彼此不同。Referring to FIG. 2A , a starting structure 200 includes a plurality of alternating first 110A and second 110B conductive lines formed in an interlayer dielectric (ILD) layer 104 over a substrate 102 . A plurality of first handling surfaces 114A are formed on corresponding ones of the first conductive lines 110A. A plurality of second handling surfaces 114B are formed on corresponding ones of the second conductive lines 110B. In one embodiment, the composition of the plurality of second treatment surfaces 114B is different from that of the plurality of first treatment surfaces 114A. A hard mask layer 216 is formed on the first plurality of handle surfaces 114A, on the second plurality of handle surfaces 114B, and on the uppermost surface of the ILD layer 104 . The hard mask layer 216 includes a first hard mask component 220 over and aligned with the first plurality of handling surfaces 114A, and a second hard mask component 218 over and aligned with the second plurality of handling surfaces 114B. In one embodiment, the compositions of the first 220 and the second 218 hard mask elements are different from each other.

於一實施例中,開始結構200係藉由以下方式來製造:圖案化硬遮罩和ILD層並接著金屬化金屬溝槽之一半總數(例如,該些溝槽之交替一者)、留下另一半總數為打開的直到後續的金屬化製程被履行於另一半總數上。此一方式容許交替線之不同組成的可能性。例如,於一實施例中,金屬化層最終地包括交替的、不同的第一和第二組成之導電互連。然而,於另一實施例中,金屬線110A及110B被製造自實質上相同的材料。In one embodiment, the starting structure 200 is fabricated by patterning the hard mask and ILD layers and then metallizing half the total number of metal trenches (eg, alternating ones of the trenches), leaving The other half of the population is open until subsequent metallization processes are performed on the other half of the population. This approach allows the possibility of different compositions of alternating lines. For example, in one embodiment, the metallization layer ultimately includes conductive interconnects of alternating, different first and second compositions. However, in another embodiment, metal lines 110A and 110B are fabricated from substantially the same material.

於一實施例中,為了獲得交替處置表面114A與114B之不同組成,兩個分離的處置製程(例如,不同的非金屬處置)被用以製造第一114A及第二114B處置表面類型。於一實施例中,具有第一硬遮罩組件220及第二硬遮罩組件218之硬遮罩層216係使用定向自聚合或選擇性沈積方式而被形成,以最終地形成第一硬遮罩組件220及第二硬遮罩組件218之兩個不同的、交替的區。於一此類實施例中,定向自聚合或選擇性沈積方式係藉由個別地使用處置表面114A及114B(不同於使用相應導電線110A及110B之表面)而被提升。於一實施例中,第一硬遮罩組件220及第二硬遮罩組件218之材料係展現彼此不同的蝕刻選擇性。定向自聚合或選擇性生長可被用以將第一硬遮罩組件220及第二硬遮罩組件218選擇性地對準至第一處置表面114及第二處置表面114B之個別材料。In one embodiment, to obtain the different compositions of the alternating treated surfaces 114A and 114B, two separate treatment processes (eg, different non-metallic treatments) are used to manufacture the first 114A and second 114B treated surface types. In one embodiment, the hard mask layer 216 having the first hard mask element 220 and the second hard mask element 218 is formed using directed self-polymerization or selective deposition to finally form the first hard mask Two distinct, alternating regions of the mask element 220 and the second hard mask element 218 . In one such embodiment, the directed self-polymerization or selective deposition approach is enhanced by using the handling surfaces 114A and 114B individually (different from the surface using the corresponding conductive lines 110A and 110B). In one embodiment, the materials of the first hard mask element 220 and the second hard mask element 218 exhibit different etch selectivities from each other. Directed self-polymerization or selective growth may be used to selectively align the first hard mask component 220 and the second hard mask component 218 to the respective materials of the first handling surface 114 and the second handling surface 114B.

參考圖2B,第二層間電介質(ILD)層222被形成於圖2A之結構上方。開口224被形成於第二ILD層222中。於一實施例中,開口224被形成於針對下一階金屬化層之導電通孔製造所選擇的位置中。相對於傳統的通孔位置選擇,開口224可(於一實施例中)具有相對放寬的寬度,相較於導電通孔所將最終地形成於其上的相應導電線110B之寬度。例如,於特定實施例中,開口224之寬度(W)具有導電線110A/110B之節距的約略1.5倍之尺寸。針對相對較寬通孔開口224之此一調適可放寬對於其用以形成開口224之微影製程的限制。此外,針對失準之容許度亦可被增加。Referring to FIG. 2B, a second interlayer dielectric (ILD) layer 222 is formed over the structure of FIG. 2A. Openings 224 are formed in the second ILD layer 222 . In one embodiment, openings 224 are formed in locations selected for conductive via fabrication of the next level of metallization. With respect to conventional via location options, the opening 224 may (in one embodiment) have a relatively relaxed width compared to the width of the corresponding conductive line 110B on which the conductive via will ultimately be formed. For example, in a particular embodiment, the width (W) of opening 224 has a dimension that is approximately 1.5 times the pitch of conductive lines 110A/110B. This accommodation for relatively wider via openings 224 can relax constraints on the lithography process used to form openings 224 . In addition, the tolerance for misalignment can also be increased.

圖2C闡明接續於下一層通孔製造後之圖2B的結構。第二硬遮罩組件218之一者被選擇以供移除(例如,藉由選擇性蝕刻製程)。於此情況下,第二硬遮罩組件218之已暴露者被移除,其係對於第一硬遮罩組件220之暴露部分有選擇性的。於一實施例中,第二硬遮罩組件218之已暴露者被移除,其係對於第一硬遮罩組件220之暴露部分有選擇性的,使用選擇性濕式蝕刻製程。於另一實施例中,第二硬遮罩組件218之已暴露者被移除,其係對於第一硬遮罩組件220之暴露部分有選擇性的,使用選擇性乾式或電漿蝕刻製程。FIG. 2C illustrates the structure of FIG. 2B following fabrication of the next layer of vias. One of the second hard mask features 218 is selected for removal (eg, by a selective etch process). In this case, the exposed portion of the second hard mask element 218 is removed, which is selective to the exposed portion of the first hard mask element 220 . In one embodiment, the exposed portions of the second hard mask element 218 are removed selectively to the exposed portions of the first hard mask element 220 using a selective wet etch process. In another embodiment, the exposed portions of the second hard mask feature 218 are removed selectively to the exposed portions of the first hard mask feature 220 using a selective dry or plasma etch process.

再次參考圖2C,第一硬遮罩組件218之該一者的移除係形成開口於硬遮罩層216中,其係暴露複數金屬線110B之一者的一部分。於一實施例中,複數金屬線110B之該一者的暴露部分被修改以自複數金屬線110B之該一者的暴露部分之最上表面114B移除(或至少實質上移除)非金屬。與選定的相應下方導電線110B相關之所得的已修改暴露部分115可被稱為化學減少區,其具有相對於最上表面114B之增加的導電性。因此,於一實施例中,修改複數金屬線110B之該一者的暴露部分包括留存最上表面114B之金屬(例如,成為部分115)。於特定實施例中,H2 為基的電漿被用以移除相應選定導電線110B之最上表面114B的暴露部分之非金屬物種。Referring again to FIG. 2C , removal of the one of the first hard mask elements 218 forms an opening in the hard mask layer 216 that exposes a portion of one of the plurality of metal lines 110B. In one embodiment, the exposed portion of the one of the plurality of metal lines 110B is modified to remove (or at least substantially remove) non-metal from the uppermost surface 114B of the exposed portion of the one of the plurality of metal lines 110B. The resulting modified exposed portions 115 associated with selected respective underlying conductive lines 110B may be referred to as chemically reduced regions having increased conductivity relative to the uppermost surface 114B. Thus, in one embodiment, modifying the exposed portion of the one of the plurality of metal lines 110B includes leaving the metal of the uppermost surface 114B (eg, as portion 115 ). In certain embodiments, an H 2 -based plasma is used to remove non-metallic species from exposed portions of the uppermost surface 114B of the corresponding selected conductive line 110B.

導電通孔228被接著形成於開口224中以及於其中第二硬遮罩組件218之選定一者已被移除的區中。導電通孔228係電接觸第二導電線110B之一者的第二蝕刻停止層114B之相應一者。於一實施例中,導電通孔228係電接觸第二導電線110B之該相應一者的經修改暴露部分115而不短路至鄰接或相鄰第一導電線110A之一者。於特定實施例中,導電通孔228之一部分被配置於第一硬遮罩組件220之一或更多暴露部分上,如圖2C中所描繪。接著,於一實施例中,實現了增進的短路容限。Conductive vias 228 are then formed in openings 224 and in regions where a selected one of second hard mask elements 218 has been removed. The conductive vias 228 are in electrical contact with a corresponding one of the second etch stop layer 114B of the second conductive lines 110B. In one embodiment, the conductive vias 228 electrically contact the modified exposed portion 115 of the respective one of the second conductive lines 110B without shorting to the adjacent or adjacent one of the first conductive lines 110A. In certain embodiments, a portion of the conductive via 228 is disposed on one or more exposed portions of the first hard mask component 220, as depicted in FIG. 2C. Then, in one embodiment, improved short circuit tolerance is achieved.

再次參考圖2C,於範例說明性實施例中,一種積體電路結構包括複數交替的第一110A及第二110B導電線於基底102之上的層間電介質(ILD)層104中。於一實施例中,如以下與圖3關聯所述,複數交替的第一110A及第二110B導電線係沿著後段製程(BEOL)金屬化層之相同方向而形成。Referring again to FIG. 2C , in an exemplary illustrative embodiment, an integrated circuit structure includes a plurality of alternating first 110A and second 110B conductive lines in an interlayer dielectric (ILD) layer 104 on a substrate 102 . In one embodiment, as described below in relation to FIG. 3 , a plurality of alternating first 110A and second 110B conductive lines are formed along the same direction of the back-end-of-line (BEOL) metallization layer.

所得結構(諸如與圖1F、1F’或2C關聯所述者)可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖1F、1F’或2C之結構可代表積體電路中之最後金屬互連層。應理解其上述製程操作可被施行以替代的順序,不是每一操作均需被執行及/或額外的製程操作可被執行。雖然製造BEOL金屬化層之金屬化層(或金屬化層的部分)的上述方法(例如,圖1A-1F、1E’-1F’或2A-2C)已針對選擇操作而被詳細地描述,但應理解其製造之額外或中間操作可包括標準微電子製造程序,諸如微影、蝕刻、薄膜沈積、平坦化(諸如化學機械拋光(CMP))、擴散、度量衡、犧牲層之使用、蝕刻停止層之使用、平坦化停止層之使用、及/或與微電子組件製造相關之任何其他相關動作。The resulting structure, such as that described in connection with Figures 1F, 1F' or 2C, can then be used as a basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the structure of Figures 1F, 1F' or 2C may represent the final metal interconnect layer in an integrated circuit. It should be understood that the above-described process operations may be performed in alternate orders, that not every operation need be performed and/or additional process operations may be performed. Although the foregoing methods of fabricating metallization layers (or portions of metallization layers) of BEOL metallization layers (eg, FIGS. 1A-1F , 1E'-1F', or 2A-2C) have been described in detail for select operations, It should be understood that additional or intermediate operations in its manufacture may include standard microelectronics fabrication procedures such as lithography, etching, thin film deposition, planarization (such as chemical mechanical polishing (CMP)), diffusion, metrology, use of sacrificial layers, etch stop layers use of planarization stop layers, and/or any other related actions associated with the manufacture of microelectronic components.

圖3闡明積體電路層之部分的平面視圖,其表示一種涉及用於後段製程(BEOL)互連製造之蝕刻停止層及自對準導電通孔形成的方法中之操作,依據本發明之實施例。3 illustrates a plan view of a portion of an integrated circuit layer showing operations in a method involving etch stop layer and self-aligned conductive via formation for back end of line (BEOL) interconnect fabrication, in accordance with the practice of the present invention example.

參考圖3,第一118及第二120硬遮罩組件被顯示於此視圖中。第一118硬遮罩組件之一者中的開口300被顯示以揭露經修改暴露部分115。應理解:開口300可代表個別地針對圖1F、1F’或2C之導電通孔128、128’或228的開口。因此,於一實施例中,在選定線上方的硬遮罩組件之一者的選擇性移除不會顯露完整的下方線,而是僅顯露其中將發生通孔形成的線之一部分。於一實施例中,僅由開口(諸如開口124或開口224)所暴露的第一118硬遮罩組件之一者的部分沿著該些線之一者而被移除。進一步應理解:圖3係代表一實施例,其中複數導電線106/110(圖1A-1F、或1E’-1F’)係沿著後段製程(BEOL)金屬化層之相同方向而形成;或者其中複數交替的第一110A及第二110B導電線(圖2A-2C)係沿著後段製程(BEOL)金屬化層之相同方向而形成。Referring to FIG. 3, first 118 and second 120 hard mask components are shown in this view. An opening 300 in one of the first 118 hard mask components is shown to reveal the modified exposed portion 115 . It should be understood that opening 300 may represent an opening for conductive via 128, 128' or 228 of Figures 1F, 1F' or 2C, respectively. Thus, in one embodiment, selective removal of one of the hard mask components over a selected line does not reveal the complete underlying line, but only a portion of the line where via formation will occur. In one embodiment, only the portion of one of the first 118 hard mask elements exposed by an opening, such as opening 124 or opening 224, is removed along one of the lines. It should be further understood that FIG. 3 represents an embodiment in which the plurality of conductive lines 106/110 (FIGS. 1A-1F, or 1E'-1F') are formed along the same direction of the back-end-of-line (BEOL) metallization layer; or A plurality of alternating first 110A and second 110B conductive lines ( FIGS. 2A-2C ) are formed along the same direction of the back-end-of-line (BEOL) metallization layer.

於另一形態中,圖4A-4C闡明積體電路層之部分的橫斷面視圖,其表示另一種涉及用於後段製程(BEOL)互連製造之蝕刻層及自對準導電通孔形成的方法中之各個操作,依據本發明之實施例。In another form, FIGS. 4A-4C illustrate cross-sectional views of portions of integrated circuit layers representing another method involving etch layers and self-aligned conductive via formation for back-end-of-line (BEOL) interconnect fabrication. Each operation in the method is according to the embodiment of the present invention.

參考圖4A,硬遮罩層416係形成於一包括ILD層404中之複數導電線410的結構上方。硬遮罩層416包括第一硬遮罩組件418及第二硬遮罩組件420。第一硬遮罩組件418為雙層硬遮罩組件,其包括下蝕刻停止部分414及上部分。第一硬遮罩組件418被形成於導電線410之最上表面上並與其對準。第二硬遮罩組件120被形成於ILD層404之暴露表面上並與其對準。於一實施例中,具有第一硬遮罩組件418及第二硬遮罩組件420之硬遮罩層416係使用定向自聚合或選擇性沈積方式而被形成,以最終地形成第一硬遮罩組件418及第二硬遮罩組件420之兩個不同的、交替的區。於一實施例中,第一硬遮罩組件418及第二硬遮罩組件420之材料係展現彼此不同的蝕刻選擇性。Referring to FIG. 4A , a hard mask layer 416 is formed over a structure including a plurality of conductive lines 410 in the ILD layer 404 . The hard mask layer 416 includes a first hard mask component 418 and a second hard mask component 420 . The first hard mask element 418 is a double-layer hard mask element that includes a lower etch stop portion 414 and an upper portion. A first hard mask element 418 is formed on and aligned with the uppermost surface of the conductive lines 410 . A second hard mask feature 120 is formed on and aligned with the exposed surface of the ILD layer 404 . In one embodiment, the hard mask layer 416 having the first hard mask element 418 and the second hard mask element 420 is formed using directed self-polymerization or selective deposition to finally form the first hard mask Two distinct, alternating regions of mask element 418 and second hard mask element 420 . In one embodiment, the materials of the first hard mask element 418 and the second hard mask element 420 exhibit different etch selectivities from each other.

參考圖4B,第二層間電介質(ILD)層422被形成於圖4A之結構上方。開口424被形成於第二ILD層422中。於一實施例中,開口424被形成於針對下一階金屬化層之導電通孔製造所選擇的位置中。相對於傳統的通孔位置選擇,開口424可(於一實施例中)具有相對放寬的寬度,相較於導電通孔所將最終地形成於其上的相應導電線410之寬度。例如,於特定實施例中,開口424之寬度(W)具有導電線410之約略3/4節距的尺寸。針對相對較寬通孔開口424之此一調適可放寬對於其用以形成開口424之微影製程的限制。此外,針對失準之容許度亦可被增加。Referring to FIG. 4B, a second interlayer dielectric (ILD) layer 422 is formed over the structure of FIG. 4A. Openings 424 are formed in the second ILD layer 422 . In one embodiment, openings 424 are formed in locations selected for conductive via fabrication of the next level of metallization. Relative to conventional via location options, opening 424 may (in one embodiment) have a relatively relaxed width compared to the width of corresponding conductive line 410 on which the conductive via will ultimately be formed. For example, in a particular embodiment, the width (W) of opening 424 has a dimension of approximately 3/4 the pitch of conductive lines 410 . This accommodation for relatively wider via openings 424 can relax constraints on the lithography process used to form the openings 424 . In addition, the tolerance for misalignment can also be increased.

參考圖4C,第一硬遮罩組件418之一者被選擇以供移除(例如,藉由選擇性蝕刻製程)。於此情況下,第一硬遮罩組件418之已暴露者被移除,其係對於第二硬遮罩組件420之暴露部分有選擇性的。於一實施例中,第一硬遮罩組件418之已暴露者被移除,其係對於第二硬遮罩組件420之暴露部分有選擇性的,使用選擇性乾式或電漿蝕刻製程。於另一實施例中,第一硬遮罩組件418之已暴露者被移除,其係對於第二硬遮罩組件420之暴露部分有選擇性的,使用選擇性濕式蝕刻製程。Referring to FIG. 4C, one of the first hard mask features 418 is selected for removal (eg, by a selective etch process). In this case, the exposed portion of the first hard mask element 418 is removed, which is selective to the exposed portion of the second hard mask element 420 . In one embodiment, the exposed portions of the first hard mask feature 418 are removed selectively to the exposed portions of the second hard mask feature 420 using a selective dry or plasma etch process. In another embodiment, the exposed portions of the first hard mask element 418 are removed selectively to the exposed portions of the second hard mask element 420 using a selective wet etch process.

再次參考圖4C,第一硬遮罩組件418之該一者的移除係形成開口於硬遮罩層416中以形成經圖案化的硬遮罩層426,其係暴露複數金屬線410之一者的一部分。導電通孔428被形成於開口中。導電通孔428係電接觸選定的導電線410而不短路至鄰接或相鄰導電線410之一者。於特定實施例中,導電通孔428之一部分被配置於第二硬遮罩組件420之一或更多暴露部分上,如圖4C中所描繪。於一實施例中,實現了增進的短路容限。Referring again to FIG. 4C , removal of the one of the first hard mask elements 418 forms an opening in the hard mask layer 416 to form a patterned hard mask layer 426 exposing one of the plurality of metal lines 410 part of the person. A conductive via 428 is formed in the opening. Conductive vias 428 are those that electrically contact selected conductive lines 410 without shorting to adjacent or adjacent ones of conductive lines 410 . In certain embodiments, a portion of the conductive via 428 is disposed on one or more exposed portions of the second hard mask component 420, as depicted in FIG. 4C. In one embodiment, improved short circuit tolerance is achieved.

再次參考圖4C,於實施例中,一種積體電路結構包括複數導電線410於基底402之上的層間電介質(ILD)層404中。硬遮罩層426係位於複數導電線410上以及於ILD層404之最上表面上。硬遮罩層426包括第一硬遮罩組件418於複數導電線410之最上表面上並與其對準。第二硬遮罩組件420係位於ILD層404之最上表面的區上並與其對準。第一418與第二420硬遮罩組件的組成係彼此不同。第一硬遮罩組件418包括下蝕刻停止層414以及與下蝕刻停止層414不同的上層。導電通孔428係位於硬遮罩層426中之開口中且位於複數導電線410之一者的一部分上。Referring again to FIG. 4C , in an embodiment, an integrated circuit structure includes a plurality of conductive lines 410 in an interlayer dielectric (ILD) layer 404 on a substrate 402 . The hard mask layer 426 is located on the plurality of conductive lines 410 and on the uppermost surface of the ILD layer 404 . The hard mask layer 426 includes a first hard mask element 418 on and aligned with the uppermost surface of the plurality of conductive lines 410 . The second hard mask element 420 is located on and aligned with a region of the uppermost surface of the ILD layer 404 . The composition of the first 418 and the second 420 hard mask components are different from each other. The first hard mask component 418 includes a lower etch stop layer 414 and an upper layer different from the lower etch stop layer 414 . A conductive via 428 is located in an opening in the hard mask layer 426 and over a portion of one of the plurality of conductive lines 410 .

於一實施例中,第一硬遮罩組件418之下蝕刻停止層414係選自由SiOx及SiNx所組成的群組。第一硬遮罩組件418之上層為選自由AlOx、HfOx、ZrOx及TiOx所組成的群組之金屬氧化物。In one embodiment, the etch stop layer 414 under the first hard mask element 418 is selected from the group consisting of SiOx and SiNx. The upper layer of the first hard mask feature 418 is a metal oxide selected from the group consisting of AlOx, HfOx, ZrOx and TiOx.

於一實施例中,第一硬遮罩組件418被侷限於複數導電線410之最上表面,如圖所示。於另一實施例(未顯示)中,第一硬遮罩組件418係延伸至ILD層404之最上表面上。In one embodiment, the first hard mask element 418 is limited to the uppermost surface of the plurality of conductive lines 410, as shown. In another embodiment (not shown), the first hard mask element 418 extends onto the uppermost surface of the ILD layer 404 .

於一實施例中,導電通孔428之一部分係位於硬遮罩層426的第二硬遮罩組件420之一部分上。於一實施例中,第一硬遮罩組件418具有與第二硬遮罩組件420之最上表面實質上共面的最上表面,如圖所示。In one embodiment, a portion of the conductive via 428 is located on a portion of the second hard mask element 420 of the hard mask layer 426 . In one embodiment, the first hard mask element 418 has an uppermost surface that is substantially coplanar with the uppermost surface of the second hard mask element 420, as shown.

上述實施例可被實施以致能強的自對準以及邊緣布局問題(其否則會損害傳統的圖案化)的減輕。實施例可被實施以致能DSA及選擇性沈積的集成。實施例可被實施以致能強韌的互連可靠度以及低的通孔/接觸電阻。The above-described embodiments can be implemented to enable strong self-alignment and mitigation of edge layout issues that would otherwise compromise conventional patterning. Embodiments may be implemented to enable integration of DSA and selective deposition. Embodiments may be implemented to enable robust interconnect reliability and low via/contact resistance.

應理解:實施例可應用於DSA、選擇性沈積、或用於上色之「傳統」由上而下方法。於一範例中,範例製程方案係涉及:透過蝕刻以凹陷金屬、用以形成諸如材料114之材料的金屬之表面處置(例如,氧化、矽烷、硼烷處置)、以顏色材料(例如,AlOx、TiOx、HfOx、ZrOx)填充金屬線之已凹陷部分、及最後拋光或平坦化。最終結構可相同於或類似於使用DSA或選擇性沈積所製造的結構。It should be understood that embodiments are applicable to DSA, selective deposition, or "traditional" top-down methods for coloring. In one example, the example process scheme involves: recessing metal by etching, surface treatment of metal (eg, oxidation, silane, borane treatment) to form materials such as material 114 , coloring materials (eg, AlOx, TiOx, HfOx, ZrOx) fill the recessed portion of the metal line, and finally polish or planarize. The final structure can be the same or similar to structures fabricated using DSA or selective deposition.

應理解:涉及以DSA上色的實施例可伴隨著最後產品中之有特色的特徵。此等有特色的特徵可於防護環中以及於切割道中。It should be understood that embodiments involving coloring with DSA may be accompanied by distinctive features in the final product. Such distinctive features can be in the guard ring as well as in the cutting lane.

於一實施例中,如遍及本說明書所使用者,層間電介質(ILD)材料係由(或包括)電介質或絕緣材料之層所組成。適當的電介質材料之範例包括(但不限定於)矽之氧化物(例如,二氧化矽(SiO2 ))、矽之氮化物(例如,氮化矽(Si3 N4 ))、矽之摻雜的氧化物、矽之氟化氧化物、矽之碳摻雜的氧化物、本技術中所已知的各種低k電介質材料、以及其組合。此層間電介質材料可由傳統技術來形成,諸如(例如)化學氣相沈積(CVD)、物理氣相沈積(PVD)、或藉由其他沈積方法。In one embodiment, as used throughout this specification, an interlayer dielectric (ILD) material consists of (or includes) a layer of dielectric or insulating material. Examples of suitable dielectric materials include, but are not limited to, silicon oxides (eg, silicon dioxide (SiO 2 )), silicon nitrides (eg, silicon nitride (Si 3 N 4 )), silicon doped Doped oxides, fluorinated oxides of silicon, carbon-doped oxides of silicon, various low-k dielectric materials known in the art, and combinations thereof. This interlayer dielectric material can be formed by conventional techniques such as, for example, chemical vapor deposition (CVD), physical vapor deposition (PVD), or by other deposition methods.

於一實施例中,如亦遍及本說明書所使用者,金屬線或互連線材料(及通孔材料)係由一或更多金屬或其他導電結構所組成。一種常見的範例為使用銅線以及其可或可不包括介於銅與周圍ILD材料之間的障壁層之結構。如文中所使用者,術語金屬係包括數個金屬之合金、堆疊、及其他組合。例如,金屬互連線可包括障壁層、不同金屬或合金之堆疊,等等。因此,互連線可為單一材料層、或可被形成自數個層,包括導電襯裡層及填充層。任何適當的沈積製程(諸如電鍍、化學氣相沈積或物理氣相沈積)可被用以形成互連線。於一實施例中,互連線係由障壁層及導電填充材料所組成。於一實施例中,障壁層為鉭或氮化鉭層、或者其組合。於一實施例中,導電填充材料為一種材料,諸如(但不限定於)Cu, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, W, Ag, Au或其合金。互連線有時亦(於本技術中)被稱為軌線、佈線、線、金屬、金屬線、或僅稱為互連。In one embodiment, as also used throughout this specification, the metal line or interconnect line material (and via material) is composed of one or more metal or other conductive structures. A common example is a structure using copper lines and which may or may not include a barrier layer between the copper and the surrounding ILD material. As used herein, the term metal includes alloys, stacks, and other combinations of several metals. For example, metal interconnect lines may include barrier layers, stacks of different metals or alloys, and the like. Thus, the interconnect lines may be a single layer of material, or may be formed from several layers, including conductive liner and fill layers. Any suitable deposition process, such as electroplating, chemical vapor deposition, or physical vapor deposition, may be used to form the interconnect lines. In one embodiment, the interconnection line is composed of a barrier layer and a conductive filling material. In one embodiment, the barrier layer is a tantalum or tantalum nitride layer, or a combination thereof. In one embodiment, the conductive filling material is a material such as (but not limited to) Cu, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, W, Ag, Au or alloys thereof . Interconnect lines are sometimes also (in the art) referred to as traces, wires, wires, metal, metal lines, or just interconnects.

於一實施例中,如亦遍及本說明書所使用者,硬遮罩材料(以及於某些實例中之蝕刻停止層)係由不同於層間電介質材料的電介質材料所組成。於一實施例中,不同的硬遮罩材料可被使用於不同的區以提供彼此不同及不同於金屬層之下方電介質的生長或蝕刻選擇性。於某些實施例中,硬遮罩層包括矽之氮化物(例如氮化矽)的層或矽之氧化物的層、或兩者、或其組合。其他適當的材料可包括碳基的材料,諸如碳化矽。於另一實施例中,硬遮罩材料包括金屬類。例如硬遮罩或其他上方材料可包括鈦或其他金屬之氮化物(例如,氮化鈦)的層。潛在地較少量之其他材料(諸如氧)可被包括於這些層之一或更多者中。替代地,本技術中所已知的其他硬遮罩層可根據特定實施方式而被使用。硬遮罩層可藉由CVD、PVD、或藉由其他沈積方法而被形成。In one embodiment, as also used throughout this specification, the hard mask material (and in some instances the etch stop layer) is composed of a dielectric material different from the interlayer dielectric material. In one embodiment, different hard mask materials may be used for different regions to provide growth or etch selectivities that are different from each other and from the underlying dielectric below the metal layer. In some embodiments, the hard mask layer includes a layer of silicon nitride (eg, silicon nitride) or a layer of silicon oxide, or both, or a combination thereof. Other suitable materials may include carbon-based materials such as silicon carbide. In another embodiment, the hard mask material includes metals. For example, a hard mask or other overlying material may include a layer of titanium or other metal nitride (eg, titanium nitride). Potentially smaller amounts of other materials, such as oxygen, may be included in one or more of these layers. Alternatively, other hard mask layers known in the art may be used depending on the particular implementation. The hard mask layer can be formed by CVD, PVD, or by other deposition methods.

應理解:與圖1A-1F、1E’-1F’、2A-2C、3及4A-4C關聯而描述的層及材料通常被形成於下方半導體基底或結構(諸如積體電路之下方裝置層)之上或上方。於一實施例中,下方半導體基底代表用以製造積體電路之一般工件物體。半導體基底常包括矽或另一半導體材料之晶圓或其他件。適當的半導體基底包括(但不限定於)單晶矽、多晶矽及絕緣體上之矽(SOI)、以及由其他半導體材料所形成之類似基底。半導體基底(根據製造之階段)常包括電晶體、積體電路,等等。基底亦可包括半導體材料、金屬、電介質、摻雜物、及半導體基底中常發現的其他材料。再者,圖1F、1F’、2C或4C中所描繪之結構可被製造於下方較低階互連層上。It should be understood that the layers and materials described in connection with Figures 1A-1F, 1E'-1F', 2A-2C, 3, and 4A-4C are typically formed on an underlying semiconductor substrate or structure (such as an underlying device layer of an integrated circuit) on or above. In one embodiment, the underlying semiconductor substrate represents a typical workpiece object used to fabricate integrated circuits. Semiconductor substrates often include wafers or other pieces of silicon or another semiconductor material. Suitable semiconductor substrates include, but are not limited to, monocrystalline silicon, polycrystalline silicon, and silicon-on-insulator (SOI), and similar substrates formed from other semiconductor materials. Semiconductor substrates (depending on the stage of manufacture) often include transistors, integrated circuits, and the like. The substrate may also include semiconductor materials, metals, dielectrics, dopants, and other materials commonly found in semiconductor substrates. Again, the structures depicted in Figures 1F, 1F', 2C or 4C can be fabricated on the underlying lower level interconnect layers.

如上所述,經圖案化的特徵可被圖案化以光柵狀圖案,其具有以恆定節距來分隔並具有恆定寬度的線、孔或溝槽。圖案(例如)可藉由節距減半或節距減為四分之一方式來製造。於一範例中,覆蓋膜(諸如多晶矽膜)係使用微影及蝕刻處理(其可涉及,例如,間隔物為基的四倍圖案化(SBQP)或節距減為四分之一)而被圖案化。應理解:線之光柵圖案可藉由多種方法來製造,包括193nm浸入式微影(i193)、極紫外線(EUV)及/或電子束直接寫入(EBDW)微影、定向自聚合,等等。於其他實施例中,節距不需為恆定的,寬度也不需為恆定的。As described above, the patterned features may be patterned in a raster-like pattern with lines, holes or trenches spaced at a constant pitch and having a constant width. Patterns can be fabricated, for example, by halving the pitch or quartering the pitch. In one example, a capping film, such as a polysilicon film, is patterned using a lithography and etch process (which may involve, for example, spacer-based quadruple patterning (SBQP) or pitch reduction by a factor of four). patterned. It should be understood that the grating pattern of lines can be fabricated by a variety of methods including 193nm immersion lithography (i193), extreme ultraviolet (EUV) and/or electron beam direct writing (EBDW) lithography, directed self-polymerization, and the like. In other embodiments, the pitch need not be constant, nor the width.

於一實施例中,節距分割技術被用以增加線密度。於第一範例中,節距減半可被實施以使製得的光柵結構之線密度變兩倍。圖5A闡明接續於層間電介質(ILD)層上所形成之硬遮罩材料層的沈積後(但在圖案化前)之開始結構的橫斷面視圖。圖5B闡明接續於藉由節距減半的硬遮罩層之圖案化後的圖5A之結構的橫斷面視圖。In one embodiment, pitch division technique is used to increase line density. In a first example, halving the pitch can be implemented to double the linear density of the resulting grating structure. 5A illustrates a cross-sectional view of the starting structure after deposition (but before patterning) of a hard mask material layer formed subsequent to an interlayer dielectric (ILD) layer. 5B illustrates a cross-sectional view of the structure of FIG. 5A following patterning by a pitch-halved hard mask layer.

參考圖5A,開始結構500具有硬遮罩材料層504,其係形成於層間電介質(ILD)層502上。圖案化遮罩506被配置於硬遮罩材料層504之上。圖案化遮罩506具有沿著其特徵(線)之側壁所形成的間隔物508,於硬遮罩材料層504上。Referring to FIG. 5A , a starting structure 500 has a hard mask material layer 504 formed on an interlayer dielectric (ILD) layer 502 . A patterned mask 506 is disposed over the hard mask material layer 504 . The patterned mask 506 has spacers 508 formed along the sidewalls of its features (lines), on the hard mask material layer 504 .

參考圖5B,硬遮罩材料層504係以節距減半方式被圖案化。明確地,圖案化遮罩506被首先移除。間隔物508之所得圖案具有遮罩506之密度的兩倍、或者其節距或特徵的一半。間隔物508之圖案係(例如)藉由蝕刻製程而被轉移至硬遮罩材料層504以形成圖案化硬遮罩510,如圖5B中所示。於一此類實施例中,圖案化硬遮罩510被形成以具有單向線之光柵圖案。圖案化硬遮罩510之光柵圖案可為緊密節距光柵結構。例如,緊密節距可能無法直接透過習知的微影技術來達成。甚至,雖然未顯示,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,圖5B的圖案化硬遮罩510之光柵狀圖案可具有以恆定節距來分隔並具有相互間的恆定寬度之硬遮罩線。所獲得的尺寸可能甚小於已利用之微影技術的關鍵尺寸。因此,空白膜可使用微影及蝕刻處理(其可涉及,例如,間隔物為基的雙倍圖案化(SBDP)或節距減半、或間隔物為基的四倍圖案化(SBQP)或節距四分之一化)而被圖案化。Referring to FIG. 5B , the hard mask material layer 504 is patterned in a half-pitch manner. Specifically, patterning mask 506 is removed first. The resulting pattern of spacers 508 has twice the density of mask 506, or half its pitch or features. The pattern of spacers 508 is transferred to hard mask material layer 504, eg, by an etching process, to form patterned hard mask 510, as shown in FIG. 5B. In one such embodiment, the patterned hard mask 510 is formed with a grating pattern of unidirectional lines. The grating pattern of the patterned hard mask 510 may be a close pitch grating structure. For example, tight pitches may not be directly achievable by conventional lithography techniques. Even, although not shown, the original pitch can be reduced to a quarter by a second round of spacer mask patterning. Thus, the raster-like pattern of the patterned hard mask 510 of FIG. 5B may have hard mask lines spaced at a constant pitch and having a constant width relative to each other. The resulting dimensions may be much smaller than the critical dimensions of the lithography techniques employed. Thus, blank films can be processed using lithography and etching (which can involve, for example, spacer-based double patterning (SBDP) or pitch half, or spacer-based quadruple patterning (SBQP) or pitch quartered) to be patterned.

應理解其他的節距分割方式亦可被實施。例如,圖6闡明在一種涉及六之因數的節距分割之間隔物為基的六倍圖案化(SBSP)處理方案中之橫斷面視圖。參考圖6,於操作(a),顯示於微影、減薄及蝕刻處理後之犧牲圖案X。於操作(b),顯示於沈積和蝕刻後之間隔物A及B。於操作(c),顯示於間隔物A移除後之操作(b)的圖案。於操作(d),顯示於間隔物C沈積後之操作(c)的圖案。於操作(e),顯示於間隔物C蝕刻後之操作(d)的圖案。於操作(f),於犧牲型態X移除及間隔物B移除後獲得節距/6圖案。It should be understood that other pitch division methods can also be implemented. For example, FIG. 6 illustrates a cross-sectional view in a spacer-based sixfold patterning (SBSP) process scheme involving a factor of six pitch division. Referring to FIG. 6 , in operation (a), the sacrificial pattern X after lithography, thinning and etching processes is shown. In operation (b), spacers A and B are shown after deposition and etching. In operation (c), the pattern of operation (b) after spacer A removal is shown. In operation (d), the pattern of operation (c) after spacer C deposition is shown. In operation (e), the pattern of operation (d) after spacer C etch is shown. In operation (f), a pitch/6 pattern is obtained after sacrificial pattern X removal and spacer B removal.

於一實施例中,微影操作係使用193nm浸入式微影(i193)、EUV及/或EBDW微影等等來履行。正色調或負色調抗蝕劑可被使用。於一實施例中,微影遮罩是一種由地形遮蔽部分、抗反射塗(ARC)層、及光抗蝕劑層所組成的三層遮罩。於一特定此類實施例中,地形遮蔽部分為碳硬遮罩(CHM)層而抗反射塗層為矽ARC層。In one embodiment, lithography is performed using 193nm immersion lithography (i193), EUV and/or EBDW lithography, and the like. Positive or negative tone resists can be used. In one embodiment, the lithography mask is a three-layer mask consisting of a topographic mask, an anti-reflective coating (ARC) layer, and a photoresist layer. In a particular such embodiment, the topography masking portion is a carbon hard mask (CHM) layer and the anti-reflective coating is a silicon ARC layer.

於另一形態中,文中所述之一或更多實施例係有關於製造半導體裝置,諸如PMOS及NMOS裝置製造。例如,文中所述的方式可被實施以製造一種金氧半導體(MOS)裝置中所使用的自對準閘極接點。當作已完成裝置之範例,圖7A闡明一具有自對準閘極接點之非平面半導體裝置的橫斷面視圖,依據本發明之實施例。圖7B闡明沿著圖7A之半導體裝置的a-a’軸所取的平面視圖,依據本發明之實施例。In another aspect, one or more embodiments described herein relate to the fabrication of semiconductor devices, such as PMOS and NMOS device fabrication. For example, the approaches described herein may be implemented to fabricate self-aligned gate contacts used in a metal oxide semiconductor (MOS) device. As an example of a completed device, Figure 7A illustrates a cross-sectional view of a non-planar semiconductor device with self-aligned gate contacts, in accordance with an embodiment of the present invention. Figure 7B illustrates a plan view taken along the a-a' axis of the semiconductor device of Figure 7A, in accordance with an embodiment of the present invention.

參考圖7A,半導體結構或裝置700包括從基底702所形成(且於隔離區706內)之非平面主動區(例如,包括突出鰭片部分704及子鰭片區705之鰭片結構)。閘極線708被配置於非平面主動區之突出部分704上方以及於隔離區706之一部分上方。如圖所示,閘極線708包括閘極電極750及閘極電介質層752。於一實施例中,閘極線708亦可包括電介質蓋層754。閘極接點714、及上方閘極接點通孔716亦從此透視圖看出,連同上方金屬互連760,其均被配置於層間電介質堆疊或層770中。亦從圖7A之透視圖看出,閘極接點714(於一實施例中)被配置於隔離區706之上,但不是於非平面主動區之上。依據本發明之實施例,電介質蓋層754為自對準或顏色硬遮罩層,如上所述。於一此類實施例中,電介質蓋層754係形成於經處置表面799上,諸如與最上表面114關聯之如上所述的表面。閘極接點714係形成於經處置表面799之經修改部分777上,於電介質蓋層754中所形成的開口中。Referring to FIG. 7A , semiconductor structure or device 700 includes non-planar active regions (eg, fin structures including protruding fin portion 704 and sub-fin region 705 ) formed from substrate 702 (and within isolation region 706 ). Gate line 708 is disposed over protruding portion 704 of the non-planar active region and over a portion of isolation region 706 . As shown, the gate line 708 includes a gate electrode 750 and a gate dielectric layer 752 . In one embodiment, the gate line 708 may also include a dielectric capping layer 754 . Gate contact 714 , and overlying gate contact via 716 are also seen from this perspective, along with overlying metal interconnect 760 , which are all disposed in interlevel dielectric stack or layer 770 . Also seen in the perspective view of FIG. 7A, gate contact 714 is (in one embodiment) disposed over isolation region 706, but not over the non-planar active region. According to an embodiment of the present invention, the dielectric cap layer 754 is a self-aligned or colored hard mask layer, as described above. In one such embodiment, the dielectric cap layer 754 is formed on the treated surface 799 , such as the surface described above in association with the uppermost surface 114 . A gate contact 714 is formed on the modified portion 777 of the treated surface 799 in the opening formed in the dielectric cap layer 754 .

參考圖7B,閘極線708被顯示為配置於突出鰭片部分704之上。突出鰭片部分704之源極和汲極區704A和704B可從此透視圖看出。於一實施例中,源極和汲極區704A和704B為突出鰭片部分704之原始材料的摻雜部分。於另一實施例中,突出鰭片部分704之材料被移除並取代以另一半導體材料,例如藉由外延沈積。於任一情況下,源極和汲極區704A和704B可延伸於電介質層706之高度底下,亦即,進入子鰭片區705。Referring to FIG. 7B , gate line 708 is shown disposed over protruding fin portion 704 . The source and drain regions 704A and 704B of the protruding fin portion 704 can be seen from this perspective view. In one embodiment, source and drain regions 704A and 704B are doped portions of the original material protruding from fin portion 704 . In another embodiment, the material of the protruding fin portion 704 is removed and replaced with another semiconductor material, such as by epitaxial deposition. In either case, source and drain regions 704A and 704B may extend below the level of dielectric layer 706 , ie, into sub-fin region 705 .

於一實施例中,半導體結構或裝置700為非平面裝置,諸如(但不限定於)fin-FET或三閘極裝置。於此一實施例中,相應的半導體通道區係由三維主體所組成或者被形成為三維主體。於一此類實施例中,閘極線708之閘極電極堆疊係圍繞三維主體之至少頂部表面及一對側壁。In one embodiment, the semiconductor structure or device 700 is a non-planar device such as, but not limited to, a fin-FET or a tri-gate device. In such an embodiment, the corresponding semiconductor channel region consists of or is formed as a three-dimensional body. In one such embodiment, the gate electrode stack of gate line 708 surrounds at least a top surface and a pair of sidewalls of the three-dimensional body.

基底702可由一種可承受製造程序且其中電荷可能遷移之半導體材料所組成。於一實施例中,基底702為大塊基底,其係由摻雜有電荷載子(諸如,但不限定於,磷、砷、硼或其組合)之結晶矽、矽/鍺或鍺層所組成,以形成主動區704。於一實施例中,大塊基底702中之矽的濃度大於97%。於另一實施例中,大塊基底702係由生長在分離晶態基底頂部上的外延層所組成,例如,生長在硼摻雜的大塊矽單晶態基底頂部上的矽外延層。大塊基底702可替代地由群組III-V材料所組成。於一實施例中,大塊基底702係由群組III-V材料所組成,諸如(但不限定於)氮化鎵、磷化鎵、砷化鎵、磷化銦、銻化銦、砷化銦鎵、砷化鋁鎵、磷化銦鎵、或其組合。於一實施例中,大塊基底702係由III-V材料所組成,電荷載體摻雜物雜質原子為諸如(但不限定於)碳、矽、鍺、氧、硫、硒或碲。The substrate 702 can be composed of a semiconductor material that can withstand the manufacturing process and in which charge migration is possible. In one embodiment, substrate 702 is a bulk substrate made of a layer of crystalline silicon, silicon/germanium, or germanium doped with charge carriers such as, but not limited to, phosphorous, arsenic, boron, or combinations thereof. composition to form the active region 704 . In one embodiment, the concentration of silicon in bulk substrate 702 is greater than 97%. In another embodiment, the bulk substrate 702 consists of an epitaxial layer grown on top of a separate crystalline substrate, eg, a silicon epitaxial layer grown on top of a boron-doped bulk silicon monocrystalline substrate. The bulk substrate 702 may alternatively be composed of Group III-V materials. In one embodiment, bulk substrate 702 is composed of Group III-V materials such as, but not limited to, gallium nitride, gallium phosphide, gallium arsenide, indium phosphide, indium antimonide, arsenide Indium Gallium, Aluminum Gallium Arsenide, Indium Gallium Phosphide, or combinations thereof. In one embodiment, the bulk substrate 702 is composed of a III-V material with charge carrier dopant impurity atoms such as, but not limited to, carbon, silicon, germanium, oxygen, sulfur, selenium, or tellurium.

隔離區706可由一種材料所組成,該種材料適於最終地將永久閘極結構電隔離(或有助於隔離)自下方大塊基底或者隔離其形成於下方大塊基底內之主動區,諸如隔離鰭片主動區。例如,於一實施例中,隔離區706係由一種電介質材料所組成,諸如(但不限定於)二氧化矽、氧氮化矽、氮化矽、或碳摻雜的氮化矽。Isolation region 706 may be composed of a material suitable for ultimately electrically isolating (or facilitating isolating) the permanent gate structure from the underlying bulk substrate or from an active region formed in the underlying bulk substrate, such as Isolate the active area of the fin. For example, in one embodiment, isolation region 706 is formed of a dielectric material such as, but not limited to, silicon dioxide, silicon oxynitride, silicon nitride, or carbon-doped silicon nitride.

閘極線708可由一種包括閘極電介質層752及閘極電極層750之閘極電極堆疊所組成。於一實施例中,閘極電極堆疊之閘極電極750係由金屬閘極所組成,而閘極電介質層752係由高K材料所組成。例如,於一實施例中,閘極電介質層752係由一種材料所組成,諸如(但不限定於)氧化鉿、氧氮化鉿、矽酸鉿、氧化鑭、氧化鋯、矽酸鋯、氧化鉭、鈦酸鋇鍶、鈦酸鋇、鈦酸鍶、氧化釔、氧化鋁、氧化鉛鈧鉭、鈮酸鉛鋅、或其組合。再者,閘極電介質層之一部分可包括從基底702之頂部數層所形成的天然氧化物之層。於一實施例中,閘極電介質層係由頂部高k部分及下部分(由半導體材料之氧化物所組成)所組成。於一實施例中,閘極電介質層752係由氧化鉿之頂部部分及二氧化矽或氧氮化矽之底部部分所組成。Gate line 708 may consist of a gate electrode stack including gate dielectric layer 752 and gate electrode layer 750 . In one embodiment, the gate electrode 750 of the gate electrode stack is composed of a metal gate, and the gate dielectric layer 752 is composed of a high-K material. For example, in one embodiment, the gate dielectric layer 752 is composed of a material such as (but not limited to) hafnium oxide, hafnium oxynitride, hafnium silicate, lanthanum oxide, zirconium oxide, zirconium silicate, Tantalum, barium strontium titanate, barium titanate, strontium titanate, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, lead zinc niobate, or combinations thereof. Furthermore, a portion of the gate dielectric layer may include a layer of native oxide formed from the top layers of the substrate 702 . In one embodiment, the gate dielectric layer consists of a top high-k portion and a lower portion (composed of an oxide of semiconductor material). In one embodiment, the gate dielectric layer 752 is composed of a top portion of hafnium oxide and a bottom portion of silicon dioxide or silicon oxynitride.

於一實施例中,閘極線708之閘極電極層750係由一種金屬層所組成,諸如(但不限定於)金屬氮化物、金屬碳化物、金屬矽化物、金屬鋁化物、鉿、鋯、鈦、鉭、鋁、釕、鈀、鉑、鈷、鎳或導電金屬氧化物。於一特定實施例中,閘極電極係由一種形成在金屬工作函數設定層之上的非工作函數設定填充材料所組成。閘極電極層可由P型工作函數金屬或N型工作函數金屬所組成,根據電晶體將是PMOS或NMOS電晶體。於某些實施方式中,閘極電極層可包括二或更多金屬層之堆疊,其中一或更多金屬層為工作函數金屬層且至少一金屬層為導電填充層。針對PMOS電晶體,其可用於閘極電極之金屬包括(但不限定於)釕、鈀、鉑、鈷、鎳、及導電金屬氧化物,例如,氧化釕。P型金屬層將致能一種具有介於約4.9 eV與約5.2 eV間之工作函數的PMOS閘極電極之形成。針對NMOS電晶體,可用於閘極電極之金屬包括(但不限定於)鉿、鋯、鈦、鉭、鋁、這些金屬之合金、及這些金屬之碳化物,諸如碳化鉿、碳化鋯、碳化鈦、碳化鉭、及碳化鋁。N型金屬層將致能一種具有介於約3.9 eV與約4.2 eV間之工作函數的NMOS閘極電極之形成。於某些實施方式中,閘極電極可包括「U」狀結構,其包括實質上平行於基底之表面的底部部分及實質上垂直於基底之頂部表面的兩側壁部分。於另一實施方式中,形成閘極電極之金屬層的至少一者可僅為平面層,其係實質上平行於基底之頂部表面而不包括實質上垂直於基底之頂部表面的側壁部分。於本發明之進一步實施方式中,閘極電極可包括U狀結構及平面、非U狀結構之組合。例如,閘極電極可包括一或更多U狀金屬層,其係形成於一或更多平面、非U狀層之頂部上。In one embodiment, the gate electrode layer 750 of the gate line 708 is composed of a metal layer, such as (but not limited to) metal nitride, metal carbide, metal silicide, metal aluminide, hafnium, zirconium , titanium, tantalum, aluminum, ruthenium, palladium, platinum, cobalt, nickel or conductive metal oxides. In a specific embodiment, the gate electrode is composed of a non-work function setting fill material formed over the metal work function setting layer. The gate electrode layer can be composed of a P-type work function metal or an N-type work function metal, and the transistor will be a PMOS or NMOS transistor. In some embodiments, the gate electrode layer may include a stack of two or more metal layers, wherein one or more metal layers are work function metal layers and at least one metal layer is a conductive fill layer. For PMOS transistors, metals that can be used for the gate electrode include, but are not limited to, ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides, such as ruthenium oxide. The P-type metal layer will enable the formation of a PMOS gate electrode with a work function between about 4.9 eV and about 5.2 eV. For NMOS transistors, metals that can be used for gate electrodes include (but are not limited to) hafnium, zirconium, titanium, tantalum, aluminum, alloys of these metals, and carbides of these metals, such as hafnium carbide, zirconium carbide, and titanium carbide , tantalum carbide, and aluminum carbide. The N-type metal layer will enable the formation of an NMOS gate electrode with a work function between about 3.9 eV and about 4.2 eV. In some embodiments, the gate electrode may include a "U"-shaped structure including a bottom portion substantially parallel to the surface of the substrate and sidewall portions substantially perpendicular to the top surface of the substrate. In another embodiment, at least one of the metal layers forming the gate electrode may be only a planar layer that is substantially parallel to the top surface of the substrate and does not include sidewall portions that are substantially perpendicular to the top surface of the substrate. In a further embodiment of the invention, the gate electrode may comprise a combination of U-shaped structures and planar, non-U-shaped structures. For example, a gate electrode may include one or more U-shaped metal layers formed on top of one or more planar, non-U-shaped layers.

於一實施例中,經處置表面799係由諸如以上與經處置表面114關聯所述者之材料所組成。例如,經處置表面799可包括一種金屬,諸如閘極電極填充之大塊金屬(例如,銅、鈷、鎳、鎢,等等)、以及一種非金屬,諸如硼、矽、鍺或氧。經修改部分777可為經轉換的或經減少的區,其中(例如)非金屬已被移除或至少實質上移除。替代地,雖未描繪,經修改部分777為經蝕刻或經凹陷區。於一實施例中,電介質蓋層754係由諸如以上與硬遮罩組件118、120、218或220關聯所述者之材料所組成。In one embodiment, treated surface 799 is composed of materials such as those described above in connection with treated surface 114 . For example, the treated surface 799 may include a metal, such as the bulk metal of the gate electrode fill (eg, copper, cobalt, nickel, tungsten, etc.), and a non-metal, such as boron, silicon, germanium, or oxygen. Modified portion 777 may be a converted or reduced region where, for example, non-metals have been removed, or at least substantially removed. Alternatively, although not depicted, modified portion 777 is an etched or recessed region. In one embodiment, the dielectric cap layer 754 is composed of a material such as that described above in connection with the hard mask component 118 , 120 , 218 or 220 .

與閘極電極堆疊關聯之間隔物可由一種材料所組成,該種材料適於最終地將永久閘極結構電隔離(或有助於隔離)自相鄰的導電接點,諸如自對準接點。例如,於一實施例中,間隔物係由一種電介質材料所組成,諸如(但不限定於)二氧化矽、氧氮化矽、氮化矽、或碳摻雜的氮化矽。The spacer associated with the gate electrode stack may be composed of a material suitable to ultimately electrically isolate (or facilitate isolating) the permanent gate structure from adjacent conductive contacts, such as self-aligned contacts . For example, in one embodiment, the spacers are composed of a dielectric material such as, but not limited to, silicon dioxide, silicon oxynitride, silicon nitride, or carbon-doped silicon nitride.

閘極接點714及上方閘極接點通孔716可由一種導電材料所組成。於一實施例中,一或更多接點或通孔係由金屬物種所組成。金屬物種可為純金屬,諸如鎢、鎳、或鈷;或者可為合金,諸如金屬金屬合金或金屬半導體合金(例如,諸如矽化物材料)。依據本發明之另一實施例,閘極接點714為自對準閘極接點。The gate contact 714 and the upper gate contact via 716 may be formed of a conductive material. In one embodiment, one or more contacts or vias are composed of metal species. Metal species can be pure metals, such as tungsten, nickel, or cobalt; or can be alloys, such as metal-metal alloys or metal-semiconductor alloys (eg, such as silicide materials). According to another embodiment of the present invention, the gate contact 714 is a self-aligned gate contact.

於一實施例中(雖然未顯示),提供結構700係涉及形成一接點圖案,其係基本上完美地對準一現存的閘極圖案而同時免除使用一種具有極度嚴厲的登錄預算之微影步驟。於一此類實施例中,此方式致能了本質上高度選擇性的濕式蝕刻(例如,相對於傳統上實施的乾式或電漿蝕刻)之使用,以產生接點開口。於一實施例中,接點圖案係藉由利用現存的閘極圖案結合接點插塞微影操作來形成。於一此類實施例中,該方式致能免除了用以產生接點圖案之關鍵微影操作(如傳統上方式中所使用者)的需求。於一實施例中,溝槽接點柵格未被分離地圖案化,而是被形成於多晶矽(閘極)線之間。例如,於一此類實施例中,溝槽接點柵格被形成在接續於閘極光柵圖案化後但在閘極光柵切割前。In one embodiment (although not shown), providing structure 700 involves forming a contact pattern that aligns substantially perfectly with an existing gate pattern while avoiding the use of a lithography with an extremely stringent registration budget. step. In one such embodiment, this approach enables the use of an inherently highly selective wet etch (eg, relative to conventionally practiced dry or plasma etch) to create contact openings. In one embodiment, the contact pattern is formed by utilizing an existing gate pattern combined with contact plug lithography. In one such embodiment, this approach enables the elimination of the need for critical lithography operations (as used in conventional approaches) to create the contact pattern. In one embodiment, the trench contact grid is not separately patterned, but is formed between polysilicon (gate) lines. For example, in one such embodiment, the trench contact grid is formed subsequent to gate grating patterning but prior to gate grating dicing.

再者,閘極堆疊結構708可藉由一種替換閘極程序來製造。於此一方案中,諸如多晶矽或氮化矽柱材料等虛擬閘極材料可被移除並取代以永久閘極電極材料。於一此類實施例中,永久閘極電介質層亦被形成於此製程中,不同於被完成自較早的處理。於一實施例中,虛擬閘極係藉由乾式蝕刻或濕式蝕刻製程而被移除。於一實施例中,虛擬閘極係由多晶矽或非晶矽所組成並以包括SF6 之使用的乾式蝕刻製程來移除。於一實施例中,虛擬閘極係由多晶矽或非晶矽所組成並以包括水性NH4 OH或氫氧化四甲銨之使用的濕式蝕刻製程來移除。於一實施例中,虛擬閘極係由氮化矽所組成並以包括水性磷酸之濕式蝕刻製程來移除。Furthermore, the gate stack structure 708 can be fabricated by a replacement gate process. In this approach, dummy gate material such as polysilicon or silicon nitride pillar material can be removed and replaced with permanent gate electrode material. In one such embodiment, a permanent gate dielectric layer is also formed in this process, as opposed to being done from an earlier process. In one embodiment, the dummy gate is removed by dry etching or wet etching process. In one embodiment, the dummy gate is composed of polysilicon or amorphous silicon and removed by a dry etching process including the use of SF 6 . In one embodiment, the dummy gate is composed of polysilicon or amorphous silicon and is removed using a wet etch process including the use of aqueous NH 4 OH or tetramethylammonium hydroxide. In one embodiment, the dummy gate is composed of silicon nitride and removed by a wet etch process including aqueous phosphoric acid.

於一實施例中,文中所述之一或更多方式係基本上考量一種虛擬及取代閘極製程,結合虛擬及取代接點製程,以獲得結構700。於一此類實施例中,取代接點製程被執行在取代閘極製程之後,以容許永久閘極堆疊之至少一部分的高溫退火。例如,於特定此類實施例中,永久閘極結構(例如,在閘極電介質層被形成之後)之至少一部分的退火被執行在大於約攝氏600度之溫度。退火被履行在永久接點之形成以前。In one embodiment, one or more of the approaches described herein basically consider a dummy and replacement gate process combined with a dummy and replacement contact process to obtain structure 700 . In one such embodiment, the replacement contact process is performed after the replacement gate process to allow high temperature annealing of at least a portion of the permanent gate stack. For example, in certain such embodiments, annealing of at least a portion of the permanent gate structure (eg, after the gate dielectric layer is formed) is performed at a temperature greater than about 600 degrees Celsius. Annealing is performed prior to the formation of permanent contacts.

再次參考圖7A,半導體結構或裝置700之配置係將閘極接點置於隔離區之上。此一配置可被視為佈局空間之無效率使用。然而,於另一實施例中,半導體裝置具有接點結構,其係接觸一主動區之上所形成的閘極電極之部分。通常,在形成閘極接點結構(諸如通孔)於閘極的主動部分之上以及於如溝槽接點通孔的相同層之中以前(例如,除此之外),本發明之一或更多實施例包括首先使用閘極對準的溝槽接點製程。此一製程可被實施以形成溝槽接點結構以供半導體結構製造,例如,針對積體電路製造。於一實施例中,溝槽接點圖案被形成為對準現存的閘極圖案。反之,傳統方式通常涉及一額外的微影製程,具有一微影接點圖案緊密對齊至現存的閘極圖案,結合選擇性接點蝕刻。例如,傳統製程可包括具有接點特徵之分離圖案化的多晶(閘極)柵格之圖案化。Referring again to FIG. 7A, the semiconductor structure or device 700 is configured to place the gate contact above the isolation region. Such a configuration can be considered an inefficient use of layout space. However, in another embodiment, the semiconductor device has a contact structure that contacts a portion of a gate electrode formed over an active region. Typically, before (eg, in addition to) forming a gate contact structure (such as a via) over the active portion of the gate and in the same layer as the trench contact via (eg, in addition), one of the present invention Or more embodiments include a trench contact process using gate alignment first. Such a process may be implemented to form trench contact structures for semiconductor structure fabrication, eg, for integrated circuit fabrication. In one embodiment, trench contact patterns are formed to align with existing gate patterns. In contrast, conventional approaches generally involve an additional lithography process, with a lithographic contact pattern closely aligned to the existing gate pattern, combined with selective contact etching. For example, a conventional process may include patterning of a separately patterned poly (gate) grid with contact features.

應理解:並非上述製程之所有形態均需被實行以落入本發明之實施例的精神及範圍內。例如,於一實施例中,虛擬閘極無須曾被形成在製造閘極接點於閘極堆疊的主動部分之上以前。上述閘極堆疊可實際上為永久閘極堆疊,如一開始所形成者。同時,文中所述之製程可被用以製造一或複數半導體裝置。半導體裝置可為電晶體等類裝置。例如,於一實施例中,半導體裝置為用於邏輯或記憶體之金氧半導體(MOS)電晶體,或者為雙極電晶體。同時,於一實施例中,半導體裝置具有三維架構,諸如三閘極裝置、獨立存取的雙閘極裝置、或FIN-FET。一或更多實施例可特別有用於製造半導體裝置,在10奈米(10 nm)或更小的科技節點上。It should be understood that not all aspects of the above processes need to be implemented to fall within the spirit and scope of the embodiments of the present invention. For example, in one embodiment, dummy gates need not have been formed before making gate contacts over the active portion of the gate stack. The gate stacks described above may actually be permanent gate stacks, as originally formed. Also, the processes described herein can be used to fabricate one or more semiconductor devices. The semiconductor device can be a type of device such as a transistor. For example, in one embodiment, the semiconductor device is a metal oxide semiconductor (MOS) transistor for logic or memory, or a bipolar transistor. Meanwhile, in one embodiment, the semiconductor device has a three-dimensional architecture, such as a triple-gate device, a dual-gate device with independent access, or a FIN-FET. One or more embodiments may be particularly useful for fabricating semiconductor devices at the 10 nanometer (10 nm) or smaller technology node.

文中所揭露之實施例可被用以製造多種不同類型的積體電路及/或微電子裝置。此等積體電路之範例包括(但不限定於)處理器、晶片組組件、圖形處理器、數位信號處理器、微控制器,等等。於其他實施例中,半導體記憶體可被製造。此外,積體電路或其他微電子裝置可被用於本技術中所已知的多種電子裝置。例如,於電腦系統(例如,桌上型、膝上型、伺服器)、行動電話、個人電子裝置,等等。積體電路可被耦合與系統中之匯流排及其他組件。例如,處理器可藉由一或更多匯流排而被耦合至記憶體、晶片組,等等。每一處理器、記憶體、晶片組可潛在地使用文中所揭露之方式來製造。The embodiments disclosed herein can be used to fabricate many different types of integrated circuits and/or microelectronic devices. Examples of such integrated circuits include, but are not limited to, processors, chipset components, graphics processors, digital signal processors, microcontrollers, and the like. In other embodiments, semiconductor memory can be fabricated. In addition, integrated circuits or other microelectronic devices may be used in a variety of electronic devices known in the art. For example, in computer systems (eg, desktops, laptops, servers), mobile phones, personal electronic devices, and so on. Integrated circuits can be coupled to bus bars and other components in the system. For example, a processor may be coupled to memory, a chipset, etc. by one or more busses. Each processor, memory, chipset can potentially be fabricated using the methods disclosed herein.

圖8闡明一計算裝置800,依據本發明之一實施方式。計算裝置800含有電路板802。電路板802可包括數個組件,包括(但不限定於)處理器804及至少一通訊晶片806。處理器804被實體地及電氣地耦合至電路板802。於某些實施方式中,至少一通訊晶片806亦被實體地及電氣地耦合至電路板802。於進一步實施方式中,通訊晶片806為處理器804之部分。FIG. 8 illustrates a computing device 800 according to an embodiment of the present invention. Computing device 800 contains circuit board 802 . The circuit board 802 may include several components including, but not limited to, a processor 804 and at least one communication chip 806 . Processor 804 is physically and electrically coupled to circuit board 802 . In some embodiments, at least one communication chip 806 is also physically and electrically coupled to the circuit board 802 . In a further embodiment, the communication chip 806 is part of the processor 804 .

根據其應用,計算裝置800可包括其他組件,其可被或可不被實體地及電氣地耦合至電路板802。這些其他組件包括(但不限定於)揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、快閃記憶體、圖形處理器、數位信號處理器、密碼處理器、晶片組、天線、顯示、觸控螢幕顯示、觸控螢幕控制器、電池、音頻編碼解碼器、視頻編碼解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速計、迴轉儀、揚聲器、相機、及大量儲存裝置(諸如硬碟機、光碟(CD)、數位光碟(DVD),等等)。Depending on its application, computing device 800 may include other components, which may or may not be physically and electrically coupled to circuit board 802 . These other components include (but are not limited to) volatile memory (e.g., DRAM), non-volatile memory (e.g., ROM), flash memory, graphics processors, digital signal processors, cryptographic processors, chip group, antenna, display, touch screen display, touch screen controller, battery, audio codec, video codec, power amplifier, global positioning system (GPS) device, compass, accelerometer, gyro, speaker, Cameras, and mass storage devices (such as hard drives, compact discs (CD), digital discs (DVD), etc.).

通訊晶片806致能無線通訊,以供資料之轉移至及自計算裝置800。術語「無線」及其衍生詞可被用以描述電路、裝置、系統、方法、技術、通訊頻道,等等,其可經由使用透過非固體媒體之經調變的電磁輻射來傳遞資料。該術語並未暗示其相關裝置不含有任何佈線,雖然於某些實施例中其可能不含有。通訊晶片806可實施數種無線標準或協定之任一者,包括(但不限定於)Wi-Fi (IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生物,以及其被指定為3G、4G、5G、及以上的任何其他無線協定。計算裝置800可包括複數通訊晶片806。例如,第一通訊晶片806可專用於較短距離無線通訊,諸如Wi-Fi及藍牙;而第二通訊晶片806可專用於較長距離無線通訊,諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其他。Communication chip 806 enables wireless communication for transfer of data to and from computing device 800 . The term "wireless" and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communication channels, etc., that communicate data through the use of modulated electromagnetic radiation through non-solid media. The term does not imply that its associated device does not contain any wiring, although in some embodiments it might not. The communication chip 806 may implement any of several wireless standards or protocols, including (but not limited to) Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, Long Term Evolution (LTE), Ev- DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, its derivatives, and any other wireless protocol designated as 3G, 4G, 5G, and above. Computing device 800 may include a plurality of communication chips 806 . For example, the first communication chip 806 can be dedicated to short-distance wireless communication, such as Wi-Fi and Bluetooth; and the second communication chip 806 can be dedicated to longer-distance wireless communication, such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE , Ev-DO and others.

計算裝置800之處理器804包括封裝於處理器804內之積體電路晶粒。於本發明之一些實施方式中,處理器之積體電路晶粒包括一或更多結構,諸如蝕刻停止層及相應的導電通孔,其係依據本發明之實施例的實施方式所建造。術語「處理器」可指稱任何裝置或裝置之部分,其處理來自暫存器及/或記憶體之電子資料以將該電子資料轉變為其可被儲存於暫存器及/或記憶體中之其他電子資料。Processor 804 of computing device 800 includes an integrated circuit die packaged within processor 804 . In some embodiments of the invention, the integrated circuit die of the processor includes one or more structures, such as etch stop layers and corresponding conductive vias, constructed in accordance with implementations of the embodiments of the invention. The term "processor" may refer to any device or portion of a device that processes electronic data from a register and/or memory to convert that electronic data into a form that can be stored in the register and/or memory other electronic materials.

通訊晶片806亦包括封裝於通訊晶片806內之積體電路晶粒。依據本發明之另一實施方式,通訊晶片之積體電路晶粒包括一或更多結構,諸如蝕刻停止層及相應的導電通孔,其係依據本發明之實施例的實施方式所建造。The communication chip 806 also includes integrated circuit die packaged within the communication chip 806 . According to another embodiment of the present invention, the IC die of the communication chip includes one or more structures, such as etch stop layers and corresponding conductive vias, which are constructed according to the implementation of the embodiments of the present invention.

於進一步實施方式中,計算裝置800內所包括之另一組件可含有積體電路晶粒,其包括一或更多結構,諸如蝕刻停止層及相應的導電通孔,其係依據本發明之實施例的實施方式所建造。In a further embodiment, another component included within computing device 800 may comprise an integrated circuit die including one or more structures, such as etch stop layers and corresponding conductive vias, in accordance with implementations of the present invention Example implementation built.

於各種實施方式中,計算裝置800可為膝上型電腦、小筆電、筆記型電腦、輕薄型筆電、智慧型手機、輸入板、個人數位助理(PDA)、超輕行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器、或數位錄影機。於進一步實施方式中,計算裝置800可為處理資料之任何其他電子裝置。In various embodiments, the computing device 800 can be a laptop computer, a small notebook computer, a notebook computer, a thin notebook computer, a smart phone, a tablet, a personal digital assistant (PDA), an ultralight mobile PC, a mobile phone , desktop computer, server, printer, scanner, monitor, set-top box, entertainment control unit, digital camera, portable music player, or digital video recorder. In further embodiments, computing device 800 may be any other electronic device that processes data.

圖9闡明其包括本發明之一或更多實施例的插入器900。插入器900為中間基底,用以橋接第一基底902至第二基底904。第一基底902可為(例如)積體電路晶粒。第二基底904可為(例如)記憶體模組、電腦主機板、或其他積體電路晶粒。通常,插入器900之目的係為了將連接延伸至較寬的節距或者將連接重新路由至不同連接。例如,插入器900可將積體電路晶粒耦合至球柵陣列(BGA)906,其可後續地被耦合至第二基底904。於某些實施例中,第一及第二基底902/904被安裝至插入器900之相反側。於其他實施例中,第一及第二基底902/904被安裝至插入器900之相同側。以及於進一步實施例中,三或更多基底係經由插入器900而被互連。Figure 9 illustrates an inserter 900 that includes one or more embodiments of the present invention. The interposer 900 is an intermediate substrate for bridging the first substrate 902 to the second substrate 904 . The first substrate 902 can be, for example, an integrated circuit die. The second substrate 904 can be, for example, a memory module, a computer motherboard, or other integrated circuit die. Typically, the purpose of the interposer 900 is to extend a connection to a wider pitch or to reroute a connection to a different connection. For example, interposer 900 may couple an integrated circuit die to a ball grid array (BGA) 906 , which may subsequently be coupled to a second substrate 904 . In some embodiments, the first and second bases 902 / 904 are mounted to opposite sides of the interposer 900 . In other embodiments, the first and second bases 902 / 904 are mounted to the same side of the interposer 900 . And in a further embodiment, three or more substrates are interconnected via the interposer 900 .

插入器900可由以下所形成:環氧樹脂、玻璃纖維強化環氧樹脂、陶瓷材料、或聚合物材料(諸如聚醯亞胺)。於進一步實施方式中,插入器可被形成以替代的堅硬或彈性材料,其可包括用於半導體基底之上述的相同材料,諸如矽、鍺、及其他III-V族或IV族材料。Interposer 900 may be formed from epoxy, glass fiber reinforced epoxy, ceramic material, or polymer material such as polyimide. In further embodiments, the interposer may be formed of alternative hard or resilient materials, which may include the same materials described above for semiconductor substrates, such as silicon, germanium, and other III-V or IV materials.

插入器可包括金屬互連908及通孔910,包括(但不限定於)穿越矽通孔(TSV)912。插入器900可進一步包括嵌入式裝置914,包括被動和主動裝置兩者。此等裝置包括(但不限定於)電容、解耦電容、電阻、電感、熔絲、二極體、變壓器、感應器、及靜電放電(ESD)裝置。諸如射頻(RF)裝置、功率放大器、功率管理裝置、天線、陣列、感應器、及MEMS裝置等更複雜的裝置亦可被形成於插入器900上。依據本發明之實施例,文中所揭露之設備或製程可被用於插入器900之製造。The interposer may include metal interconnects 908 and vias 910 including, but not limited to, through-silicon vias (TSVs) 912 . Inserter 900 may further include embedded devices 914, including both passive and active devices. Such devices include, but are not limited to, capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, inductors, and electrostatic discharge (ESD) devices. More complex devices such as radio frequency (RF) devices, power amplifiers, power management devices, antennas, arrays, sensors, and MEMS devices may also be formed on interposer 900 . According to embodiments of the present invention, the apparatus or process disclosed herein may be used in the manufacture of interposer 900 .

因此,本發明之實施例包括用於導電通孔製造之蝕刻停止層為基的方式、及所得的結構Accordingly, embodiments of the present invention include etch stop layer based approaches for conductive via fabrication, and resulting structures

範例實施例1:一種積體電路結構包括於基底之上的層間電介質(ILD)層中之複數導電線,其中該等複數導電線之各者具有包括金屬之大塊部分且具有包括金屬及非金屬之最上表面。硬遮罩層係位於該等複數導電線上以及於該ILD層之最上表面上,該硬遮罩層包括於該等複數導電線之該最上表面上並與其對準的第一硬遮罩組件、以及於該ILD層之該最上表面的區上並與其對準的第二硬遮罩組件,該第一硬遮罩組件與該第二硬遮罩組件的組成係彼此不同。導電通孔係位於該硬遮罩層中之開口中且位於該等複數導電線之一者的一部分上,該部分具有不同於其包括該金屬及該非金屬之該最上表面的組成。Example Embodiment 1: An integrated circuit structure includes a plurality of conductive lines in an interlayer dielectric (ILD) layer above a substrate, wherein each of the plurality of conductive lines has a bulk portion comprising metal and has a structure comprising metal and non-conductive lines. The top surface of the metal. A hard mask layer is located on the plurality of conductive lines and on the uppermost surface of the ILD layer, the hard mask layer includes a first hard mask component on and aligned with the uppermost surface of the plurality of conductive lines, and a second hard mask element on and aligned with the region of the uppermost surface of the ILD layer, the composition of the first hard mask element and the second hard mask element are different from each other. A conductive via is located in the opening in the hard mask layer and on a portion of one of the plurality of conductive lines that has a different composition than the uppermost surface thereof including the metal and the non-metal.

範例實施例2:範例實施例1之積體電路結構,其中該非金屬係選自由氧、矽、鍺及硼所組成之群組。Example Embodiment 2: The integrated circuit structure of Example Embodiment 1, wherein the non-metal is selected from the group consisting of oxygen, silicon, germanium and boron.

範例實施例3:範例實施例1或2之積體電路結構,其中該金屬係選自由鈷、銅、鎢及鎳所組成之群組。Example Embodiment 3: The integrated circuit structure of Example Embodiment 1 or 2, wherein the metal is selected from the group consisting of cobalt, copper, tungsten and nickel.

範例實施例4:範例實施例1、2或3之積體電路結構,其中該第一硬遮罩組件是選自由AlOx、HfOx、ZrOx及TiOx所組成之群組的金屬氧化物。Example Embodiment 4: The integrated circuit structure of Example Embodiments 1, 2 or 3, wherein the first hard mask feature is a metal oxide selected from the group consisting of AlOx, HfOx, ZrOx and TiOx.

範例實施例5:範例實施例1、2、3或4之積體電路結構,其中該等複數導電線之該一者的該部分係與包括該金屬及該非金屬之該最上表面實質上共面。Example Embodiment 5: The integrated circuit structure of Example Embodiment 1, 2, 3 or 4, wherein the portion of the one of the plurality of conductive lines is substantially coplanar with the uppermost surface comprising the metal and the non-metal .

範例實施例6:範例實施例1、2、3或4之積體電路結構,其中該等複數導電線之該一者的該部分係凹陷低於包括該金屬及該非金屬之該最上表面。Example Embodiment 6: The integrated circuit structure of Example Embodiment 1, 2, 3 or 4, wherein the portion of the one of the plurality of conductive lines is recessed below the uppermost surface including the metal and the non-metal.

範例實施例7:範例實施例1、2、3、4、5或6之積體電路結構,其中該第一硬遮罩組件被侷限於該等複數導電線之該最上表面。Example Embodiment 7: The integrated circuit structure of Example Embodiments 1, 2, 3, 4, 5 or 6, wherein the first hard mask element is confined to the uppermost surface of the plurality of conductive lines.

範例實施例8:範例實施例1、2、3、4、5或6之積體電路結構,其中該第一硬遮罩組件係延伸至該ILD層之該最上表面上。Example Embodiment 8: The integrated circuit structure of Example Embodiments 1, 2, 3, 4, 5, or 6, wherein the first hard mask element extends onto the uppermost surface of the ILD layer.

範例實施例9:範例實施例1、2、3、4、5、6、7或8之積體電路結構,其中該導電通孔之一部分係位於該硬遮罩層之該第二硬遮罩組件的一部分上。Example Embodiment 9: The integrated circuit structure of Example Embodiments 1, 2, 3, 4, 5, 6, 7, or 8, wherein a portion of the conductive via is located in the second hard mask of the hard mask layer part of the component.

範例實施例10:範例實施例1、2、3、4、5、6、7、8或9之積體電路結構,其中該第一硬遮罩組件具有與該第二硬遮罩組件之最上表面實質上共面的最上表面。Example Embodiment 10: The integrated circuit structure of Example Embodiments 1, 2, 3, 4, 5, 6, 7, 8, or 9, wherein the first hard mask element has an uppermost The uppermost surface where the surfaces are substantially coplanar.

範例實施例11:範例實施例1、2、3、4、5、6、7、8、9或10之積體電路結構,進一步包括於該硬遮罩層之上的第二ILD層,其中該導電通孔係進一步於該第二ILD層之開口中。Example Embodiment 11: The integrated circuit structure of Example Embodiments 1, 2, 3, 4, 5, 6, 7, 8, 9, or 10, further comprising a second ILD layer over the hard mask layer, wherein The conductive via is further in the opening of the second ILD layer.

範例實施例12:範例實施例1、2、3、4、5、6、7、8、9、10或11之積體電路結構,其中該等複數導電線之一係耦合至下方導電通孔結構,該下方導電通孔結構係連接至該積體電路結構之下方金屬化層。Example Embodiment 12: The integrated circuit structure of Example Embodiments 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, or 11, wherein one of the plurality of conductive lines is coupled to an underlying conductive via structure, the underlying conductive via structure is connected to the underlying metallization layer of the integrated circuit structure.

範例實施例13:一種積體電路結構包括於基底之上的層間電介質(ILD)層中之複數導電線。硬遮罩層係位於該等複數導電線上以及於該ILD層之最上表面上,該硬遮罩層包括於該等複數導電線之該最上表面上並與其對準的第一硬遮罩組件、以及於該ILD層之該最上表面的區上並與其對準的第二硬遮罩組件,該第一硬遮罩組件與該第二硬遮罩組件的組成係彼此不同,且該第一硬遮罩組件包括下蝕刻停止層及不同於該下蝕刻停止層之上層。導電通孔係位於該硬遮罩層中之開口中且位於該等複數導電線之一者的一部分上。Example Embodiment 13: An integrated circuit structure includes a plurality of conductive lines in an interlayer dielectric (ILD) layer above a substrate. A hard mask layer is located on the plurality of conductive lines and on the uppermost surface of the ILD layer, the hard mask layer includes a first hard mask component on and aligned with the uppermost surface of the plurality of conductive lines, and a second hard mask element on and aligned with the region of the uppermost surface of the ILD layer, the composition of the first hard mask element and the second hard mask element are different from each other, and the first hard mask element The mask component includes a lower etch stop layer and an upper layer different from the lower etch stop layer. A conductive via is located in the opening in the hard mask layer and over a portion of one of the plurality of conductive lines.

範例實施例14:範例實施例13之積體電路結構,其中該下蝕刻停止層係選自由SiOx及SiNx所組成的群組,及其中該第一硬遮罩組件之該上層為選自由AlOx、HfOx、ZrOx及TiOx所組成的群組之金屬氧化物。Example Embodiment 14: The integrated circuit structure of Example Embodiment 13, wherein the lower etch stop layer is selected from the group consisting of SiOx and SiNx, and wherein the upper layer of the first hard mask element is selected from the group consisting of AlOx, Metal oxides of the group consisting of HfOx, ZrOx and TiOx.

範例實施例15:範例實施例13或14之積體電路結構,其中該第一硬遮罩組件被侷限於該等複數導電線之該最上表面。Example Embodiment 15: The integrated circuit structure of Example Embodiment 13 or 14, wherein the first hard mask element is limited to the uppermost surface of the plurality of conductive lines.

範例實施例16:範例實施例13或14之積體電路結構,其中該第一硬遮罩組件係延伸至該ILD層之該最上表面上。Example Embodiment 16: The integrated circuit structure of Example Embodiment 13 or 14, wherein the first hard mask element extends onto the uppermost surface of the ILD layer.

範例實施例17:範例實施例13、14、15或16之積體電路結構,其中該導電通孔之一部分係位於該硬遮罩層之該第二硬遮罩組件的一部分上。Example Embodiment 17: The integrated circuit structure of Example Embodiment 13, 14, 15 or 16, wherein a portion of the conductive via is located on a portion of the second hard mask element of the hard mask layer.

範例實施例18:範例實施例13、14、15、16或17之積體電路結構,其中該第一硬遮罩組件具有與該第二硬遮罩組件之最上表面實質上共面的最上表面。Example Embodiment 18: The integrated circuit structure of Example Embodiments 13, 14, 15, 16, or 17, wherein the first hard mask element has an uppermost surface that is substantially coplanar with an uppermost surface of the second hard mask element .

範例實施例19:範例實施例13、14、15、16、17或18之積體電路結構,進一步包括於該硬遮罩層之上的第二ILD層,其中該導電通孔係進一步於該第二ILD層之開口中。Example Embodiment 19: The integrated circuit structure of Example Embodiments 13, 14, 15, 16, 17, or 18, further comprising a second ILD layer on the hard mask layer, wherein the conductive via is further on the In the opening of the second ILD layer.

範例實施例20:範例實施例13、14、15、16、17、18或19之積體電路結構,其中該等複數導電線之一係耦合至下方導電通孔結構,該下方導電通孔結構係連接至該積體電路結構之下方金屬化層。Example Embodiment 20: The integrated circuit structure of Example Embodiments 13, 14, 15, 16, 17, 18, or 19, wherein one of the plurality of conductive lines is coupled to an underlying conductive via structure, the underlying conductive via structure is connected to the underlying metallization layer of the integrated circuit structure.

範例實施例21:一種製造積體電路結構之方法,包括形成複數導電線於基底之上的層間電介質(ILD)層中,其中該等複數導電線之各者具有包括金屬之大塊部分。該方法亦包括處置該等複數導電線以形成包括金屬及非金屬之最上表面。該方法亦包括形成硬遮罩層於該等複數導電線上以及於該ILD層之最上表面上,該硬遮罩層包括於該等複數導電線之該最上表面上並與其對準的第一硬遮罩組件、以及於該ILD層之該最上表面的區上並與其對準的第二硬遮罩組件,該第一硬遮罩組件與該第二硬遮罩組件的組成係彼此不同。該方法亦包括形成開口於該硬遮罩層中以暴露該等複數金屬線之一者的一部分。該方法亦包括修改該等複數金屬線之該一者的該暴露部分以自該等複數金屬線之該一者的該暴露部分之該最上表面移除該非金屬。該方法亦包括形成導電通孔於該硬遮罩層中之該開口中以及於該等複數導電線之該一者的該經修改暴露部分上。Example Embodiment 21: A method of fabricating an integrated circuit structure comprising forming a plurality of conductive lines in an interlayer dielectric (ILD) layer over a substrate, wherein each of the plurality of conductive lines has a bulk portion comprising metal. The method also includes processing the plurality of conductive lines to form an uppermost surface that includes metal and non-metal. The method also includes forming a hard mask layer on the plurality of conductive lines and on the uppermost surface of the ILD layer, the hard mask layer including a first hard mask layer on and aligned with the uppermost surface of the plurality of conductive lines. A mask element, and a second hard mask element over and aligned with a region of the uppermost surface of the ILD layer, the first hard mask element and the second hard mask element are different in composition from each other. The method also includes forming an opening in the hard mask layer to expose a portion of one of the plurality of metal lines. The method also includes modifying the exposed portion of the one of the plurality of metal lines to remove the non-metal from the uppermost surface of the exposed portion of the one of the plurality of metal lines. The method also includes forming a conductive via in the opening in the hard mask layer and on the modified exposed portion of the one of the plurality of conductive lines.

範例實施例22:範例實施例21之方法,其中修改該等複數金屬線之該一者的該暴露部分包括留存該最上表面之該金屬。Example Embodiment 22: The method of Example Embodiment 21, wherein modifying the exposed portion of the one of the plurality of metal lines includes leaving the metal of the uppermost surface.

範例實施例23:範例實施例21之方法,其中修改該等複數金屬線之該一者的該暴露部分包括移除該最上表面之該金屬以形成該等複數金屬線之該一者的凹陷部分。Example Embodiment 23: The method of Example Embodiment 21, wherein modifying the exposed portion of the one of the plurality of metal lines comprises removing the metal of the uppermost surface to form a recessed portion of the one of the plurality of metal lines .

範例實施例24:範例實施例21、22或23之方法,其中處置該等複數導電線包括暴露該等複數導電線至氨以及選自由氧、矽、鍺和硼所組成之群組的非金屬之來源。Example Embodiment 24: The method of Example Embodiment 21, 22, or 23, wherein treating the plurality of conductive lines comprises exposing the plurality of conductive lines to ammonia and a nonmetal selected from the group consisting of oxygen, silicon, germanium, and boron source.

範例實施例25:範例實施例21、22、23或24之方法,其中形成該硬遮罩層包括使用定向自聚合(DSA)方式或選擇性生長方式。Example Embodiment 25: The method of Example Embodiment 21, 22, 23, or 24, wherein forming the hard mask layer includes using a Directed Self-Aggregation (DSA) method or a selective growth method.

100‧‧‧開始結構 102‧‧‧基底 104‧‧‧層間電介質(ILD)層 106‧‧‧導電線 108‧‧‧導電通孔 110、110’‧‧‧經修改的導電線 110A‧‧‧第一導電線 110B‧‧‧第二導電線 114‧‧‧最上表面 114A‧‧‧第一處置表面 114B‧‧‧第二處置表面 115‧‧‧暴露部分 115’‧‧‧凹陷部分 116‧‧‧硬遮罩層 118‧‧‧第一硬遮罩組件 120‧‧‧第二硬遮罩組件 122‧‧‧第二層間電介質(ILD)層 124‧‧‧開口 126‧‧‧硬遮罩層 127、127’‧‧‧開口 128、128’‧‧‧導電通孔 200‧‧‧開始結構 216‧‧‧硬遮罩層 218‧‧‧第二硬遮罩組件 220‧‧‧第一硬遮罩組件 222‧‧‧第二層間電介質(ILD)層 224‧‧‧開口 228‧‧‧導電通孔 300‧‧‧開口 402‧‧‧基底 404‧‧‧層間電介質(ILD)層 410‧‧‧導電線 414‧‧‧下蝕刻停止部分 416‧‧‧硬遮罩層 418‧‧‧第一硬遮罩組件 420‧‧‧第二硬遮罩組件 422‧‧‧第二層間電介質(ILD)層 424‧‧‧開口 426‧‧‧經圖案化的硬遮罩層 428‧‧‧導電通孔 500‧‧‧開始結構 502‧‧‧層間電介質(ILD)層 504‧‧‧硬遮罩材料層 506‧‧‧圖案化遮罩 508‧‧‧間隔物 510‧‧‧圖案化硬遮罩 700‧‧‧半導體結構或裝置 702‧‧‧基底 704‧‧‧突出鰭片部分 704A、704B‧‧‧源極和汲極區 705‧‧‧子鰭片區 706‧‧‧隔離區 708‧‧‧閘極線 714‧‧‧閘極接點 716‧‧‧上方閘極接點通孔 750‧‧‧閘極電極 752‧‧‧閘極電介質層 754‧‧‧電介質蓋層 760‧‧‧上方金屬互連 770‧‧‧層間電介質堆疊或層 777‧‧‧經修改部分 799‧‧‧經處置表面 800‧‧‧計算裝置 802‧‧‧電路板 804‧‧‧處理器 806‧‧‧通訊晶片 900‧‧‧插入器 902‧‧‧第一基底 904‧‧‧第二基底 906‧‧‧球柵陣列(BGA) 908‧‧‧金屬互連 910‧‧‧通孔 912‧‧‧穿越矽通孔(TSV) 914‧‧‧嵌入式裝置100‧‧‧start structure 102‧‧‧base 104‧‧‧Interlayer dielectric (ILD) layer 106‧‧‧conductive wire 108‧‧‧conductive via 110, 110'‧‧‧Modified conductive thread 110A‧‧‧The first conductive wire 110B‧‧‧Second conductive wire 114‧‧‧top surface 114A‧‧‧First Disposal Surface 114B‧‧‧Second Disposal Surface 115‧‧‧exposed part 115’‧‧‧Concave part 116‧‧‧hard mask layer 118‧‧‧The first hard mask component 120‧‧‧Second Hard Mask Assembly 122‧‧‧Second interlayer dielectric (ILD) layer 124‧‧‧opening 126‧‧‧hard mask layer 127, 127’‧‧‧opening 128, 128’‧‧‧Conductive vias 200‧‧‧start structure 216‧‧‧hard mask layer 218‧‧‧Second Hard Mask Component 220‧‧‧The first hard mask component 222‧‧‧Second interlayer dielectric (ILD) layer 224‧‧‧opening 228‧‧‧conductive via 300‧‧‧openings 402‧‧‧base 404‧‧‧interlayer dielectric (ILD) layer 410‧‧‧conductive wire 414‧‧‧Lower etching stop part 416‧‧‧hard mask layer 418‧‧‧The first hard mask component 420‧‧‧Second Hard Mask Assembly 422‧‧‧Second interlayer dielectric (ILD) layer 424‧‧‧opening 426‧‧‧patterned hard mask layer 428‧‧‧Conductive Via 500‧‧‧start structure 502‧‧‧interlayer dielectric (ILD) layer 504‧‧‧hard mask material layer 506‧‧‧patterned mask 508‧‧‧Spacer 510‧‧‧patterned hard mask 700‧‧‧semiconductor structure or device 702‧‧‧base 704‧‧‧Protruding fin part 704A, 704B‧‧‧source and drain regions 705‧‧‧sub-fin area 706‧‧‧Quarantine area 708‧‧‧gate line 714‧‧‧gate contact 716‧‧‧Upper gate contact via hole 750‧‧‧gate electrode 752‧‧‧gate dielectric layer 754‧‧‧Dielectric capping layer 760‧‧‧Metal interconnection above 770‧‧‧interlayer dielectric stack or layer 777‧‧‧Modified part 799‧‧‧treated surface 800‧‧‧computing device 802‧‧‧circuit board 804‧‧‧processor 806‧‧‧communication chip 900‧‧‧Interposer 902‧‧‧First Foundation 904‧‧‧Second Foundation 906‧‧‧Ball Grid Array (BGA) 908‧‧‧Metal interconnection 910‧‧‧through hole 912‧‧‧Through Silicon Via (TSV) 914‧‧‧Embedded device

圖1A-1F闡明積體電路層之部分的橫斷面視圖,其表示一種涉及用於後段製程(BEOL)互連製造之蝕刻停止層及自對準導電通孔形成的方法中之各個操作,依據本發明之實施例。1A-1F illustrate cross-sectional views of portions of integrated circuit layers representing operations in a method involving etch stop layer and self-aligned conductive via formation for back end of line (BEOL) interconnect fabrication, According to the embodiment of the present invention.

圖1E’-1F’闡明積體電路層之部分的橫斷面視圖,其表示另一種涉及用於後段製程(BEOL)互連製造之蝕刻停止層及自對準導電通孔形成的方法中之各個操作,依據本發明之實施例。1E'-1F' illustrate cross-sectional views of portions of integrated circuit layers showing one of another methods involving etch stop layer and self-aligned conductive via formation for back end of line (BEOL) interconnect fabrication. Each operation is according to the embodiment of the present invention.

圖2A-2C闡明積體電路層之部分的橫斷面視圖,其表示另一種涉及用於後段製程(BEOL)互連製造之蝕刻層及自對準導電通孔形成的方法中之各個操作,依據本發明之實施例。2A-2C illustrate cross-sectional views of portions of integrated circuit layers representing operations in another method involving etching layers and self-aligned conductive via formation for back-end-of-line (BEOL) interconnect fabrication, According to the embodiment of the present invention.

圖3闡明積體電路層之部分的平面視圖,其表示一種涉及用於後段製程(BEOL)互連製造之蝕刻停止層及自對準導電通孔形成的方法中之操作,依據本發明之實施例。3 illustrates a plan view of a portion of an integrated circuit layer showing operations in a method involving etch stop layer and self-aligned conductive via formation for back end of line (BEOL) interconnect fabrication, in accordance with the practice of the present invention example.

圖4A-4C闡明積體電路層之部分的橫斷面視圖,其表示另一種涉及用於後段製程(BEOL)互連製造之蝕刻層及自對準導電通孔形成的方法中之各個操作,依據本發明之實施例。4A-4C illustrate cross-sectional views of portions of integrated circuit layers representing operations in another method involving etching layers and self-aligned conductive via formation for back-end-of-line (BEOL) interconnect fabrication, According to the embodiment of the present invention.

圖5A闡明接續於層間電介質(ILD)層上所形成之硬遮罩材料層的沈積後(但在圖案化前)之開始結構的橫斷面視圖,依據本發明之實施例。5A illustrates a cross-sectional view of a starting structure after deposition (but before patterning) of a hard mask material layer formed subsequent to an interlayer dielectric (ILD) layer, in accordance with an embodiment of the present invention.

圖5B闡明接續於藉由節距減半的硬遮罩層之圖案化後的圖5A之結構的橫斷面視圖,依據本發明之實施例。5B illustrates a cross-sectional view of the structure of FIG. 5A subsequent to patterning by a half-pitch hard mask layer, in accordance with an embodiment of the present invention.

圖6闡明在一種涉及六之因數的節距分割之間隔物為基的六倍圖案化(SBSP)處理方案中之橫斷面視圖,依據本發明之實施例。6 illustrates a cross-sectional view in a spacer-based sixfold patterning (SBSP) process scheme involving factor-of-six pitch division, according to an embodiment of the present invention.

圖7A闡明一具有自對準閘極接點之非平面半導體裝置的橫斷面視圖,依據本發明之實施例。Figure 7A illustrates a cross-sectional view of a non-planar semiconductor device with self-aligned gate contacts, in accordance with an embodiment of the present invention.

圖7B闡明沿著圖7A之半導體裝置的a-a’軸所取的平面視圖,依據本發明之實施例。Figure 7B illustrates a plan view taken along the a-a' axis of the semiconductor device of Figure 7A, in accordance with an embodiment of the present invention.

圖8闡明一計算裝置,依據本發明之實施例的一實施方式。Figure 8 illustrates a computing device, according to an implementation of an embodiment of the present invention.

圖9為實施本發明之一或更多實施例的插入器。Figure 9 is an interposer implementing one or more embodiments of the present invention.

102‧‧‧基底 102‧‧‧base

104‧‧‧層間電介質(ILD)層 104‧‧‧Interlayer dielectric (ILD) layer

108‧‧‧導電通孔 108‧‧‧conductive via

110‧‧‧經修改的導電線 110‧‧‧Modified conductive thread

114‧‧‧最上表面 114‧‧‧top surface

115‧‧‧暴露部分 115‧‧‧exposed part

118‧‧‧第一硬遮罩組件 118‧‧‧The first hard mask component

120‧‧‧第二硬遮罩組件 120‧‧‧Second Hard Mask Assembly

122‧‧‧第二層間電介質(ILD)層 122‧‧‧Second interlayer dielectric (ILD) layer

126‧‧‧硬遮罩層 126‧‧‧hard mask layer

128‧‧‧導電通孔 128‧‧‧conductive vias

Claims (25)

一種積體電路結構,包含:於基底之上的層間電介質(ILD)層中之複數導電線,其中該等複數導電線之各者具有包含該金屬之大塊部分且具有包含該金屬及非金屬之最上表面;於該等複數導電線上以及於該ILD層之最上表面上的硬遮罩層,該硬遮罩層包含於該等複數導電線之該最上表面上並與其對準的第一硬遮罩組件、以及於該ILD層之該最上表面的區上並與其對準的第二硬遮罩組件,該第一硬遮罩組件與該第二硬遮罩組件的組成係彼此不同;及於該硬遮罩層中之開口中且於該等複數導電線之一者的一部分上的導電通孔,該部分具有不同於其包含該金屬及該非金屬之該最上表面的組成。 An integrated circuit structure comprising: a plurality of conductive lines in an interlayer dielectric (ILD) layer above a substrate, wherein each of the plurality of conductive lines has a bulk portion comprising the metal and has a the uppermost surface of the plurality of conductive lines and a hard mask layer on the uppermost surface of the ILD layer, the hard mask layer includes a first hard mask layer on and aligned with the uppermost surface of the plurality of conductive lines a mask element, and a second hard mask element on and aligned with a region of the uppermost surface of the ILD layer, the first hard mask element and the second hard mask element having compositions different from each other; and A conductive via in the opening in the hard mask layer and on a portion of one of the plurality of conductive lines having a composition different from the uppermost surface thereof including the metal and the non-metal. 如申請專利範圍第1項之積體電路結構,其中該非金屬係選自由氧、矽、鍺及硼所組成之群組。 Such as the integrated circuit structure of claim 1, wherein the non-metal is selected from the group consisting of oxygen, silicon, germanium and boron. 如申請專利範圍第1或2項之積體電路結構,其中該金屬係選自由鈷、銅、鎢及鎳所組成之群組。 Such as the integrated circuit structure of claim 1 or 2, wherein the metal is selected from the group consisting of cobalt, copper, tungsten and nickel. 如申請專利範圍第1或2項之積體電路結構,其中該第一硬遮罩組件是選自由AlOx、HfOx、ZrOx及TiOx所組成之群組的金屬氧化物。 The integrated circuit structure of claim 1 or 2, wherein the first hard mask element is a metal oxide selected from the group consisting of AlOx, HfOx, ZrOx and TiOx. 如申請專利範圍第1或2項之積體電路結構,其中該等複數導電線之該一者的該部分係與包含該金屬及該非金屬之該最上表面實質上共面。 As for the integrated circuit structure of claim 1 or 2, the part of the one of the plurality of conductive lines is substantially coplanar with the uppermost surface including the metal and the non-metal. 如申請專利範圍第1或2項之積體電路結構,其中該等複數導電線之該一者的該部分係凹陷低於包含該金屬及該非金屬之該最上表面。 For the integrated circuit structure of claim 1 or 2, wherein the part of the one of the plurality of conductive lines is recessed below the uppermost surface including the metal and the non-metal. 如申請專利範圍第1或2項之積體電路結構,其中該第一硬遮罩組件被侷限於該等複數導電線之該最上表面。 The integrated circuit structure of claim 1 or 2, wherein the first hard mask element is limited to the uppermost surface of the plurality of conductive lines. 如申請專利範圍第1或2項之積體電路結構,其中該第一硬遮罩組件係延伸至該ILD層之該最上表面上。 The integrated circuit structure of claim 1 or 2, wherein the first hard mask element extends to the uppermost surface of the ILD layer. 如申請專利範圍第1或2項之積體電路結構,其中該導電通孔之一部分係位於該硬遮罩層之該第二硬遮罩組件的一部分上。 According to the integrated circuit structure of claim 1 or 2, a part of the conductive via is located on a part of the second hard mask element of the hard mask layer. 如申請專利範圍第1或2項之積體電路結構,其中該第一硬遮罩組件具有與該第二硬遮罩組件之最上表面實質上共面的最上表面。 The integrated circuit structure of claim 1 or 2, wherein the first hard mask element has an uppermost surface that is substantially coplanar with the uppermost surface of the second hard mask element. 如申請專利範圍第1或2項之積體電路結構,進一步包 含:於該硬遮罩層之上的第二ILD層,其中該導電通孔係進一步於該第二ILD層之開口中。 For the integrated circuit structure of item 1 or 2 of the scope of the patent application, it further includes Including: a second ILD layer over the hard mask layer, wherein the conductive via is further in the opening of the second ILD layer. 如申請專利範圍第1或2項之積體電路結構,其中該等複數導電線之一係耦合至下方導電通孔結構,該下方導電通孔結構係連接至該積體電路結構之下方金屬化層。 Such as the integrated circuit structure of claim 1 or 2, wherein one of the plurality of conductive lines is coupled to the lower conductive via structure, and the lower conductive via structure is connected to the lower metallization of the integrated circuit structure layer. 一種積體電路結構,包含:於基底之上的層間電介質(ILD)層中之複數導電線;於該等複數導電線上以及於該ILD層之最上表面上的硬遮罩層,該硬遮罩層包含於該等複數導電線之該最上表面上並與其對準的第一硬遮罩組件、以及於該ILD層之該最上表面的區上並與其對準的第二硬遮罩組件,該第一硬遮罩組件與該第二硬遮罩組件的組成係彼此不同,且該第一硬遮罩組件包含下蝕刻停止層及不同於該下蝕刻停止層之上層,該下蝕刻停止層被侷限於該等複數導電線之該最上表面;及於該硬遮罩層中之開口中且於該等複數導電線之一者的一部分上之導電通孔。 An integrated circuit structure comprising: a plurality of conductive lines in an interlayer dielectric (ILD) layer on a substrate; a hard mask layer on the plurality of conductive lines and on the uppermost surface of the ILD layer, the hard mask layer comprising a first hard mask element on and aligned with the uppermost surface of the plurality of conductive lines, and a second hard mask element on and aligned with a region of the uppermost surface of the ILD layer, the The composition of the first hard mask element and the second hard mask element are different from each other, and the first hard mask element includes a lower etch stop layer and a layer above the lower etch stop layer, the lower etch stop layer is confined to the uppermost surface of the plurality of conductive lines; and a conductive via in an opening in the hard mask layer and over a portion of one of the plurality of conductive lines. 如申請專利範圍第13項之積體電路結構,其中該下蝕刻停止層係選自由SiOx及SiNx所組成的群組,及其中該第一硬遮罩組件之該上層為選自由AlOx、HfOx、ZrOx及 TiOx所組成的群組之金屬氧化物。 Such as the integrated circuit structure of claim 13, wherein the lower etch stop layer is selected from the group consisting of SiOx and SiNx, and wherein the upper layer of the first hard mask element is selected from the group consisting of AlOx, HfOx, ZrOx and Metal oxides of the group consisting of TiOx. 如申請專利範圍第13或14項之積體電路結構,其中該第一硬遮罩組件被侷限於該等複數導電線之該最上表面。 The integrated circuit structure of claim 13 or 14, wherein the first hard mask element is limited to the uppermost surface of the plurality of conductive lines. 如申請專利範圍第13或14項之積體電路結構,其中該第一硬遮罩組件係延伸至該ILD層之該最上表面上。 The integrated circuit structure of claim 13 or 14, wherein the first hard mask element extends to the uppermost surface of the ILD layer. 如申請專利範圍第13或14項之積體電路結構,其中該導電通孔之一部分係位於該硬遮罩層之該第二硬遮罩組件的一部分上。 The integrated circuit structure of claim 13 or 14, wherein a portion of the conductive via is located on a portion of the second hard mask element of the hard mask layer. 如申請專利範圍第13或14項之積體電路結構,其中該第一硬遮罩組件具有與該第二硬遮罩組件之最上表面實質上共面的最上表面。 The integrated circuit structure of claim 13 or 14, wherein the first hard mask element has an uppermost surface substantially coplanar with an uppermost surface of the second hard mask element. 如申請專利範圍第13或14項之積體電路結構,進一步包含:於該硬遮罩層之上的第二ILD層,其中該導電通孔係進一步於該第二ILD層之開口中。 The integrated circuit structure of claim 13 or 14 further includes: a second ILD layer on the hard mask layer, wherein the conductive via is further in the opening of the second ILD layer. 如申請專利範圍第13或14項之積體電路結構,其中該等複數導電線之一係耦合至下方導電通孔結構,該下方導電通孔結構係連接至該積體電路結構之下方金屬化層。 Such as the integrated circuit structure of claim 13 or 14, wherein one of the plurality of conductive lines is coupled to a lower conductive via structure, and the lower conductive via structure is connected to the lower metallization of the integrated circuit structure layer. 一種製造積體電路結構之方法,該方法包含:形成複數導電線於基底之上的層間電介質(ILD)層中,其中該等複數導電線之各者具有包含金屬之大塊部分;處置該等複數導電線以形成包含該金屬及非金屬之最上表面;形成硬遮罩層於該等複數導電線上以及於該ILD層之最上表面上,該硬遮罩層包含於該等複數導電線之該最上表面上並與其對準的第一硬遮罩組件、以及於該ILD層之該最上表面的區上並與其對準的第二硬遮罩組件,該第一硬遮罩組件與該第二硬遮罩組件的組成係彼此不同;形成開口於該硬遮罩層中以暴露該等複數金屬線之一者的一部分;修改該等複數金屬線之該一者的該暴露部分以自該等複數金屬線之該一者的該暴露部分之該最上表面移除該非金屬;及形成導電通孔於該硬遮罩層中之該開口中以及於該等複數導電線之該一者的該經修改暴露部分上。 A method of fabricating an integrated circuit structure, the method comprising: forming a plurality of conductive lines in an interlayer dielectric (ILD) layer over a substrate, wherein each of the plurality of conductive lines has a bulk portion comprising metal; processing the A plurality of conductive lines to form the uppermost surface comprising the metal and non-metal; forming a hard mask layer on the plurality of conductive lines and on the uppermost surface of the ILD layer, the hard mask layer included in the plurality of conductive lines a first hard mask element on and aligned with an uppermost surface, and a second hard mask element on and aligned with a region of the uppermost surface of the ILD layer, the first hard mask element and the second hard mask element The composition of the hard mask components is different from each other; forming an opening in the hard mask layer to expose a portion of one of the plurality of metal lines; modifying the exposed portion of the one of the plurality of metal lines to obtain from the removing the non-metal from the uppermost surface of the exposed portion of the one of the plurality of metal lines; and forming a conductive via in the opening in the hard mask layer and in the via of the one of the plurality of conductive lines Modify the exposed part. 如申請專利範圍第21項之方法,其中修改該等複數金屬線之該一者的該暴露部分包含留存該最上表面之該金屬。 The method of claim 21, wherein modifying the exposed portion of the one of the plurality of metal lines includes leaving the metal of the uppermost surface. 如申請專利範圍第21項之方法,其中修改該等複數金屬線之該一者的該暴露部分包含移除該最上表面之該金屬以形成該等複數金屬線之該一者的凹陷部分。 The method of claim 21, wherein modifying the exposed portion of the one of the plurality of metal lines includes removing the metal of the uppermost surface to form a recessed portion of the one of the plurality of metal lines. 如申請專利範圍第21、22或23項之方法,其中處置該等複數導電線包含將該等複數導電線暴露至氨以及選自由氧、矽、鍺和硼所組成之群組的非金屬之來源。 The method of claim 21, 22 or 23, wherein treating the plurality of conductive wires includes exposing the plurality of conductive wires to ammonia and a nonmetal selected from the group consisting of oxygen, silicon, germanium and boron source. 如申請專利範圍第21、22或23項之方法,其中形成該硬遮罩層包含使用定向自聚合(DSA)方式或選擇性生長方式。 The method of claim 21, 22 or 23, wherein forming the hard mask layer includes using Directed Self-Aggregation (DSA) or selective growth.
TW108105283A 2018-03-28 2019-02-18 Etch stop layer-based approaches for conductive via fabrication and structures resulting therefrom TWI805691B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/US18/24827 2018-03-28
PCT/US2018/024827 WO2019190499A1 (en) 2018-03-28 2018-03-28 Etch stop layer-based approaches for conductive via fabrication and structures resulting therefrom

Publications (2)

Publication Number Publication Date
TW201946223A TW201946223A (en) 2019-12-01
TWI805691B true TWI805691B (en) 2023-06-21

Family

ID=68060268

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108105283A TWI805691B (en) 2018-03-28 2019-02-18 Etch stop layer-based approaches for conductive via fabrication and structures resulting therefrom

Country Status (5)

Country Link
US (1) US11456248B2 (en)
JP (1) JP7222584B2 (en)
DE (1) DE112018007152T5 (en)
TW (1) TWI805691B (en)
WO (1) WO2019190499A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102582668B1 (en) * 2018-10-01 2023-09-25 삼성전자주식회사 Method of manufacturing integrated circuit device
US11069610B2 (en) 2019-10-15 2021-07-20 Micron Technology, Inc. Methods for forming microelectronic devices with self-aligned interconnects, and related devices and systems
US20220199420A1 (en) * 2020-12-17 2022-06-23 Intel Corporation Colored gratings in microelectronic structures

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170250104A1 (en) * 2014-12-22 2017-08-31 Intel Corporation Via self alignment and shorting improvement with airgap integration capacitance benefit
WO2018004673A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Dielectric helmet-based approaches for back end of line (beol) interconnect fabrication and structures resulting therefrom

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3036757A4 (en) * 2013-08-21 2017-03-29 Intel Corporation Method and structure to contact tight pitch conductive layers with guided vias
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US9041217B1 (en) * 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
US9385028B2 (en) * 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
KR102304792B1 (en) 2014-12-22 2021-09-27 인텔 코포레이션 Method and structure to contact tight pitch conductive layers with guided vias using alternating hardmasks and encapsulating etchstop liner scheme
EP3238247A4 (en) 2014-12-24 2018-08-22 Intel Corporation Novel method for creating alternate hardmask cap interconnect structure with increased overlay margin
US9548243B1 (en) * 2015-06-30 2017-01-17 International Business Machines Corporation Self aligned via and pillar cut for at least a self aligned double pitch
EP3559983B1 (en) * 2016-12-23 2022-04-13 INTEL Corporation Conductive cap-based approaches for conductive via fabrication and structures resulting therefrom
US11239112B2 (en) * 2017-06-20 2022-02-01 Intel Corporation Passivating silicide-based approaches for conductive via fabrication and structures resulting therefrom
DE112017007857T5 (en) * 2017-09-30 2020-04-30 Intel Corporation MANUFACTURE OF CONDUCTIVE VACUUM CONTACTS AND METAL LINE END AND RESULTING STRUCTURES
US10930555B2 (en) * 2018-09-05 2021-02-23 Applied Materials, Inc. Contact over active gate structure
US11004740B2 (en) * 2018-09-27 2021-05-11 Taiwan Semicondctor Manufacturing Co., Ltd. Structure and method for interconnection with self-alignment
US10629484B1 (en) * 2018-11-01 2020-04-21 Applied Materials, Inc. Method of forming self-aligned via

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170250104A1 (en) * 2014-12-22 2017-08-31 Intel Corporation Via self alignment and shorting improvement with airgap integration capacitance benefit
WO2018004673A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Dielectric helmet-based approaches for back end of line (beol) interconnect fabrication and structures resulting therefrom

Also Published As

Publication number Publication date
US20210013145A1 (en) 2021-01-14
JP7222584B2 (en) 2023-02-15
DE112018007152T5 (en) 2020-11-26
US11456248B2 (en) 2022-09-27
WO2019190499A1 (en) 2019-10-03
JP2021524997A (en) 2021-09-16
TW201946223A (en) 2019-12-01

Similar Documents

Publication Publication Date Title
US11990403B2 (en) Dielectric helmet-based approaches for back end of line (BEOL) interconnect fabrication and structures resulting therefrom
CN109964311B (en) Conductive cap-based method for conductive via fabrication and resulting structure
US11239112B2 (en) Passivating silicide-based approaches for conductive via fabrication and structures resulting therefrom
KR102167352B1 (en) Self-aligned via and plug patterning for back end of line(beol) interconnects
TWI705482B (en) Self-aligned isotropic etch of pre-formed vias and plugs for back end of line (beol) interconnects
US10886175B2 (en) Differentiated molecular domains for selective hardmask fabrication and structures resulting therefrom
US20230307298A1 (en) Aligned pitch-quartered patterning for lithography edge placement error advanced rectification
KR20180019523A (en) Congenerally selective precursors for the deposition of the second or third row transition metal thin films
US10615117B2 (en) Self-aligned via
TWI805691B (en) Etch stop layer-based approaches for conductive via fabrication and structures resulting therefrom
CN110024105B (en) Hardened plug for improving short circuit margin
US20200395223A1 (en) Selective etching and controlled atomic layer etching of transition metal oxide films for device fabrication
US11894270B2 (en) Grating replication using helmets and topographically-selective deposition
US20210057230A1 (en) Carbon-based dielectric materials for semiconductor structure fabrication and the resulting structures
US20200066897A1 (en) Ultra-scaled fin pitch processes having dual gate dielectrics and the resulting structures
WO2018125109A1 (en) Subtractive plug etching
EP3549163A1 (en) Surface-aligned lithographic patterning approaches for back end of line (beol) interconnect fabrication