TWI805524B - Semiconductor device and the method for forming the same - Google Patents

Semiconductor device and the method for forming the same Download PDF

Info

Publication number
TWI805524B
TWI805524B TW111143351A TW111143351A TWI805524B TW I805524 B TWI805524 B TW I805524B TW 111143351 A TW111143351 A TW 111143351A TW 111143351 A TW111143351 A TW 111143351A TW I805524 B TWI805524 B TW I805524B
Authority
TW
Taiwan
Prior art keywords
region
doped region
sidewall
conductivity type
electrode structure
Prior art date
Application number
TW111143351A
Other languages
Chinese (zh)
Other versions
TW202420589A (en
Inventor
李文山
李宗曄
陳富信
Original Assignee
世界先進積體電路股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 世界先進積體電路股份有限公司 filed Critical 世界先進積體電路股份有限公司
Priority to TW111143351A priority Critical patent/TWI805524B/en
Application granted granted Critical
Publication of TWI805524B publication Critical patent/TWI805524B/en
Publication of TW202420589A publication Critical patent/TW202420589A/en

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

A semiconductor structure is provided. The semiconductor structure includes a substrate, an epitaxy layer, an electrode structure, a first sidewall doping region and a second sidewall doping region, and a bottom doping region. The substrate has a first conductive type. The epitaxy layer has a first conductive type and is disposed on the substrate. The electrode structure is disposed in the epitaxy layer. The electrode structure extends along a first direction. The first sidewall doping region has the first conductive type and is disposed on a side of the electrode structure. The second sidewall doping region has a second conductive type different than the first conductive type and is disposed on another side of the electrode structure. The bottom doping region has the second conductive type and is disposed under the electrode structure. The second sidewall doping region is connected with the bottom doping region.

Description

半導體裝置及其形成方法Semiconductor device and method of forming the same

本揭露係有關於一種半導體裝置,且特別是有關於具有垂直的降低表面電場(reduced surface field;RESURF)與非對稱摻雜區之半導體裝置及其形成方法。The present disclosure relates to a semiconductor device, and more particularly, to a semiconductor device having vertical reduced surface field (RESURF) and asymmetric doped regions and a method for forming the same.

高壓元件技術一般應用於高電壓與高功率電路或驅動電路,傳統的功率電晶體為了達到高耐壓及高電流及高功率密度之性能要求,功率元件的結構由平面方向發展為垂直方向。目前發展出垂直型溝槽式閘極金屬氧化物半導體場效電晶體等結構。High-voltage component technology is generally used in high-voltage and high-power circuits or drive circuits. In order to meet the performance requirements of high withstand voltage, high current and high power density for traditional power transistors, the structure of power components has developed from a plane direction to a vertical direction. At present, structures such as vertical trench gate metal oxide semiconductor field effect transistors have been developed.

然而,由於功率元件在底部角落處容易產生過大的電場導致電流集中,進而使得功率元件溫度上升產生過熱(thermal runaway)、通道區電阻過高等等的問題。現有的高壓半導體裝置並非在各方面皆令人滿意,仍需進一步改良以符合實務上的需求。However, because the power element easily generates an excessive electric field at the corner of the bottom, which leads to current concentration, which in turn causes problems such as thermal runaway and high resistance of the channel area due to temperature rise of the power element. Existing high-voltage semiconductor devices are not satisfactory in all aspects, and further improvements are still needed to meet practical requirements.

因此,有必要尋求新穎的金屬氧化物半導體場效電晶體及其形成方法,來解決或改善上述的問題。Therefore, it is necessary to find a novel metal oxide semiconductor field effect transistor and its forming method to solve or improve the above-mentioned problems.

本發明實施例提供半導體裝置,包含基板、磊晶層、電極結構、第一側壁摻雜區、第二側壁摻雜區與底部摻雜區。基板具有第一導電型。磊晶層具有第一導電型並設置於基板上。電極結構設置於磊晶層中。電極結構沿著一第一方向延伸。第一側壁摻雜區具有第一導電型並設置於電極結構的一側。第二側壁摻雜區具有不同於第一導電型的一第二導電型並設置於電極結構的另一側。底部摻雜區具有第二導電型並設置於電極結構下。第二側壁摻雜區與底部摻雜區連接。An embodiment of the present invention provides a semiconductor device, including a substrate, an epitaxial layer, an electrode structure, a first sidewall doped region, a second sidewall doped region, and a bottom doped region. The substrate has a first conductivity type. The epitaxial layer has a first conductivity type and is disposed on the substrate. The electrode structure is disposed in the epitaxial layer. The electrode structure extends along a first direction. The first sidewall doped region has a first conductivity type and is disposed on one side of the electrode structure. The second sidewall doped region has a second conductivity type different from the first conductivity type and is disposed on the other side of the electrode structure. The bottom doped region has the second conductivity type and is disposed under the electrode structure. The second side wall doped region is connected with the bottom doped region.

本發明實施例提供半導體裝置的形成方法,包含提供基板,其中基板具有第一導電型;形成磊晶層於基板上,其中磊晶層具有第一導電型;沿著第一方向形成溝槽於磊晶層中;形成摻雜區圍繞溝槽。形成摻雜區包括:分別對溝槽的兩側側壁進行第一離子佈植與第二離子佈植以形成第一側壁摻雜區與第二側壁摻雜區。第一側壁摻雜區與第二側壁摻雜區分別具有不同的導電型。第一離子佈植與第二離子佈植與基板不垂直。此形成方法更包括:形成電極結構於溝槽中。An embodiment of the present invention provides a method for forming a semiconductor device, including providing a substrate, wherein the substrate has a first conductivity type; forming an epitaxial layer on the substrate, wherein the epitaxial layer has a first conductivity type; forming a trench along a first direction on the substrate. In the epitaxial layer; forming a doped region around the trench. Forming the doped region includes: respectively performing first ion implantation and second ion implantation on two sidewalls of the trench to form a first sidewall doped region and a second sidewall doped region. The first sidewall doped region and the second sidewall doped region have different conductivity types respectively. The first ion implantation and the second ion implantation are not perpendicular to the substrate. The forming method further includes: forming an electrode structure in the trench.

以下揭露提供了很多不同的實施例或範例,用於實施所提供的半導體裝置的不同部件。各部件及其配置的具體範例描述如下,以簡化本揭露實施例。當然,這些僅僅是範例,並非用以限定本揭露。舉例而言,敘述中若提及第一部件形成在第二部件之上,可能包括第一部件及第二部件直接接觸的實施例,也可能包括額外的部件形成在第一部件及第二部件之間,使得它們不直接接觸的實施例。此外,本揭露實施例可能在不同的範例中重複元件符號及/或字符。如此重複是為了簡明及清楚,而非用以表示所討論的不同實施例及/或態樣之間的關係。The following disclosure provides many different embodiments or examples for implementing different components of the provided semiconductor devices. Specific examples of each component and its configuration are described below to simplify the embodiments of the present disclosure. Of course, these are just examples, not intended to limit the present disclosure. For example, if it is mentioned in the description that the first component is formed on the second component, it may include an embodiment in which the first component and the second component are in direct contact, and may also include an additional component formed on the first component and the second component between them so that they are not in direct contact with each other. In addition, the embodiments of the present disclosure may repeat element symbols and/or characters in different examples. This repetition is for brevity and clarity and is not intended to represent a relationship between the different embodiments and/or aspects discussed.

以下描述實施例的一些變化。在不同圖式及說明的實施例中,相似的元件符號被用來標明相似的元件。可以理解的是,在方法的之前、期間中、之後可以提供額外的操作,且一些敘述的操作可為了前述方法的其他實施例被取代或刪除。Some variations of the embodiment are described below. In the different drawings and described embodiments, similar reference numerals are used to designate similar components. It can be understood that additional operations may be provided before, during, and after the method, and some described operations may be replaced or deleted for other embodiments of the foregoing method.

再者,空間上的相關用語,例如「在…上」、「在…下」、「在…上方」、「在…下方」及類似的用詞,除了包括圖式繪示的方位外,也包括使用或操作中的裝置的不同方位。當裝置被轉向至其他方位時(旋轉90度或其他方位),則在此所使用的空間相對描述可同樣依旋轉後的方位來解讀。Furthermore, terms related to space, such as "on", "under", "above", "below" and similar expressions, in addition to including the orientation shown in the diagram, also include Including different orientations of the device in use or operation. When the device is turned to another orientation (rotated 90 degrees or otherwise), the spatially relative descriptions used herein can also be read in terms of the rotated orientation.

本發明實施例藉由圍繞電極結構的兩側壁摻雜區具有不同導電型,可在不影響崩潰電壓的情況下,降低表面電場並降低汲極對源極導通電阻(Rdson)(後續也簡稱導通電阻)。具體來說,具有n型導電型的側壁摻雜區可以減少因寄生接面場效電晶體(junction gate field-effect transistor,JFET)產生的電阻,具有p型導電型的側壁摻雜區可以在裝置關閉(off)時降低超接面(super junction)的表面電場。In the embodiment of the present invention, the doped regions on both side walls surrounding the electrode structure have different conductivity types, which can reduce the surface electric field and reduce the drain-to-source on-resistance (Rdson) (hereinafter also referred to as conduction) without affecting the breakdown voltage. resistance). Specifically, the sidewall doped region with n-type conductivity can reduce the resistance caused by the parasitic junction gate field-effect transistor (JFET), and the sidewall doped region with p-type conductivity can be in The surface electric field at the superjunction is reduced when the device is turned off.

此外,本發明實施例也藉由包覆電極結構的底部之底部摻雜區可更降低電場並提升崩潰電壓。並且藉由具有p型導電型的底部摻雜區與側壁摻雜區,可進一步降低閘極對源極電容(Cgs)與汲極對源極電容(Cds)。In addition, the embodiments of the present invention can further reduce the electric field and increase the breakdown voltage through the bottom doped region covering the bottom of the electrode structure. Moreover, the gate-to-source capacitance (Cgs) and the drain-to-source capacitance (Cds) can be further reduced by the bottom doped region and the sidewall doped region having p-type conductivity.

此外,本發明實施例藉由分離溝槽式電極結構取代傳統的電極結構,可更進一步減少閘極電極與飄移區的接觸面積,更有效降低閘極對汲極電容(Cgd)。In addition, the embodiment of the present invention replaces the traditional electrode structure with the separated trench electrode structure, which can further reduce the contact area between the gate electrode and the drift region, and more effectively reduce the gate-to-drain capacitance (Cgd).

以下描述實施例的一些變化。在不同圖式和說明的實施例中,相似的參考數字被用來標明相似的元件。Some variations of the embodiment are described below. In the different drawings and described embodiments, like reference numerals have been used to designate like elements.

第1-17圖是根據本發明的一些實施例,繪示形成半導體裝置在不同階段的剖面示意圖。可在第1-17圖所述的階段之前、期間、及/或之後提供額外的操作。在不同的實施例中,可移動、刪除或置換前述的一些操作。可加入額外的部件到半導體裝置。在不同的實施例中,可移動、刪除或置換以下所述的一些部件。1-17 are schematic cross-sectional views illustrating different stages of forming a semiconductor device according to some embodiments of the present invention. Additional operations may be provided before, during, and/or after the stages described in FIGS. 1-17. In various embodiments, some of the aforementioned operations may be moved, deleted or replaced. Additional components may be added to the semiconductor device. In various embodiments, some of the components described below may be removed, deleted or substituted.

應注意的是,下文以形成單一電晶體(包含單一電極結構)的結構進行說明,然而也可以同時形成複數個電晶體(包含複數個電極結構)的結構如圖式所示。 It should be noted that the structure of forming a single transistor (including a single electrode structure) is described below, however, multiple transistors (including a plurality of electrode structures) can also be formed simultaneously as shown in the figure.

參照第1圖,提供具有第一導電型的基板100。在此,基板100定義為沿著方向X與方向Y所形成的平面延伸,而沿著Z方向,在基板100上形成膜層。在本文中所示的剖面圖皆顯示為方向X與方向Z所形成的平面。 Referring to FIG. 1 , a substrate 100 having a first conductivity type is provided. Here, the substrate 100 is defined as extending along the plane formed by the direction X and the direction Y, and a film layer is formed on the substrate 100 along the Z direction. The cross-sectional views shown in this document are all shown as the plane formed by the direction X and the direction Z.

在一些實施例中,基板100可由矽或其他半導體材料製成,例如矽晶圓(silicon wafer)、塊材(bulk)半導體或寬能隙半導體。在一些實施例中,基板100可為元素半導體,例如,矽基板;基板100亦可為化合物半導體,例如,碳化矽、氮化鎵。在一些實施例中,基板100也可包括絕緣層上覆矽(silicon on insulator;SOI)或其他合適的基底。在本發明實施例中,基板100例如是摻雜有第一導電型的碳化矽。在垂直型溝槽式閘極金屬氧化物半導體場效電晶體(vertical trench-gate MOSFET)的應用中,具有第一導電型的基板100可作為半導體裝置的汲極區域(drain region)。在本發明實施例中,第一導電型為n型,但並不限定於此。在一些其他實施例中,第一導電型也可為p型。 In some embodiments, the substrate 100 may be made of silicon or other semiconductor materials, such as silicon wafers, bulk semiconductors or wide-gap semiconductors. In some embodiments, the substrate 100 can be an elemental semiconductor, such as a silicon substrate; the substrate 100 can also be a compound semiconductor, such as silicon carbide or gallium nitride. In some embodiments, the substrate 100 may also include silicon on insulator (SOI) or other suitable substrates. In the embodiment of the present invention, the substrate 100 is, for example, silicon carbide doped with the first conductivity type. In the application of a vertical trench-gate MOSFET, the substrate 100 with the first conductivity type can be used as a drain region of the semiconductor device. In the embodiment of the present invention, the first conductivity type is n-type, but it is not limited thereto. In some other embodiments, the first conductivity type may also be p-type.

繼續參照第1圖,在基板100上形成具有第一導電型的磊晶層200。即,基板100與磊晶層200具有相同的導電型。在本實施例中,磊晶層200例如為n型。在一些實施例中,磊晶層200的摻雜濃度(例如約1015-1016atoms/cm3)小於基板100的摻雜濃度(10 19-10 21atoms/cm 3)。在一些實施例中,磊晶層200的形成可包含磊晶成長製程等,例如金屬有機物化學氣相沉積(metal organic chemical vapor deposition,MOCVD)、電漿輔助化學氣相沉積(plasma-enhanced CVD,PECVD)、分子束磊晶(molecular beam epitaxy,MBE)、氫化物氣相磊晶(hydride vapour phase epitaxy,HVPE)、液相磊晶(liquid phase epitaxy,LPE)、氯化物氣相磊晶(Cl-VPE)、其他合適的製程方法或前述之組合。在垂直型溝槽式閘極金屬氧化物半導體場效電晶體的應用中,具有第一導電類型的磊晶層200可作為半導體裝置的漂移區(drift region)。 Continuing to refer to FIG. 1 , an epitaxial layer 200 of the first conductivity type is formed on the substrate 100 . That is, the substrate 100 and the epitaxial layer 200 have the same conductivity type. In this embodiment, the epitaxial layer 200 is, for example, n-type. In some embodiments, the doping concentration of the epitaxial layer 200 (eg, about 10 15 -10 16 atoms/cm 3 ) is smaller than that of the substrate 100 (10 19 -10 21 atoms/cm 3 ). In some embodiments, the formation of the epitaxial layer 200 may include epitaxial growth processes, such as metal organic chemical vapor deposition (metal organic chemical vapor deposition, MOCVD), plasma-enhanced chemical vapor deposition (plasma-enhanced CVD, PECVD), molecular beam epitaxy (MBE), hydride vapor phase epitaxy (HVPE), liquid phase epitaxy (LPE), chloride vapor phase epitaxy (Cl -VPE), other suitable process methods, or a combination of the foregoing. In the application of the vertical trench gate MOSFET, the epitaxial layer 200 having the first conductivity type can be used as a drift region of the semiconductor device.

接著,參照第2圖,在磊晶層200中形成具有第二導電型的井區300。即,井區300與磊晶層200具有不同的導電型。在本發明實施例中,井區300為p型,其摻質例如為鋁(Al)、硼(B) 或其他合適的摻質。在一些實施例中,井區300的摻雜濃度(例如約10 17-10 18atoms/cm 3)大於磊晶層200的摻雜濃度。在一些實施例中,井區300的形成可包含離子佈植製程(ion implantation process)等,例如沿著Z方向(例如與基板100呈90゚的方向)對磊晶層200進行全面性(blanket)離子佈植製程。在垂直型溝槽式閘極金屬氧化物半導體場效電晶體的應用中,具有第二導電型的井區300可作為半導體裝置的通道區(channel region)。 Next, referring to FIG. 2 , a well region 300 having a second conductivity type is formed in the epitaxial layer 200 . That is, the well region 300 and the epitaxial layer 200 have different conductivity types. In the embodiment of the present invention, the well region 300 is p-type, and its dopant is, for example, aluminum (Al), boron (B) or other suitable dopant. In some embodiments, the doping concentration of the well region 300 (eg, about 10 17 -10 18 atoms/cm 3 ) is greater than that of the epitaxial layer 200 . In some embodiments, the formation of the well region 300 may include an ion implantation process, such as blanketing the epitaxial layer 200 along the Z direction (eg, a direction at 90° to the substrate 100 ). ) ion implantation process. In the application of the vertical trench gate MOSFET, the well region 300 with the second conductivity type can be used as a channel region of the semiconductor device.

接著,參照第3-4圖,在井區300中形成接觸件摻雜區400。接觸件摻雜區400包含彼此相鄰且具有不同導電型的第一接觸件摻雜區410與第二接觸件摻雜區420,藉此後續可使井區300經由良好歐姆接觸連接至源極,以減少本體效應(body effect)之影響而使起始電壓穩定。其形成詳細說明如下所示。Next, referring to FIGS. 3-4 , a doped contact region 400 is formed in the well region 300 . The contact doped region 400 includes a first contact doped region 410 and a second contact doped region 420 adjacent to each other and having different conductivity types, so that the well region 300 can be subsequently connected to the source through a good ohmic contact. , to reduce the body effect (body effect) and stabilize the initial voltage. Its formation is detailed as follows.

如第3圖所示,在非預定摻雜位置處設置遮罩M1,並藉由離子佈植對井區300進行全面性離子佈植,以在遮罩M1之間的井區300中形成第一接觸件摻雜區410。接著,去除遮罩M1。在一些實施例中,離子佈植可以為直離子佈植或斜離子佈植等,而本發明不以此為限。As shown in FIG. 3 , a mask M1 is set at the non-predetermined doping position, and ion implantation is performed on the well region 300 by ion implantation to form a first hole in the well region 300 between the masks M1. A contact doped region 410 . Next, the mask M1 is removed. In some embodiments, the ion implantation can be straight ion implantation or oblique ion implantation, etc., but the invention is not limited thereto.

可藉由調整佈植能量或其他合適的方式,來控制第一接觸件摻雜區410之深度。在本發明實施例中,第一接觸件摻雜區410之深度不超過井區300的深度(即,井區300包覆第一接觸件摻雜區410的底表面),以減少本體效應(body effect)之影響。遮罩M1的去除可以包含灰化製程(ashing process)製程、濕式蝕刻製程(例如酸蝕)、或是其他適合的製程等。The depth of the doped region 410 of the first contact can be controlled by adjusting the implantation energy or other suitable methods. In the embodiment of the present invention, the depth of the first contact doped region 410 does not exceed the depth of the well region 300 (that is, the well region 300 covers the bottom surface of the first contact doped region 410), so as to reduce the body effect ( body effect). The removal of the mask M1 may include an ashing process, a wet etching process (such as acid etching), or other suitable processes.

如第4圖所示,在非預定摻雜位置處(例如第一接觸件摻雜區410的正上方)設置遮罩M2,並藉由離子佈植對井區300進行全面性離子佈植,以在遮罩M2之間的井區300中形成第二接觸件摻雜區420。接著,去除遮罩M2。在一些實施例中,離子佈植可以為直離子佈植或斜離子佈植等,而本發明不以此為限。As shown in FIG. 4, a mask M2 is set at a non-predetermined doping position (for example, directly above the first contact doped region 410), and ion implantation is performed on the well region 300 through ion implantation, The second contact doped region 420 is formed in the well region 300 between the masks M2. Next, the mask M2 is removed. In some embodiments, the ion implantation can be straight ion implantation or oblique ion implantation, etc., but the invention is not limited thereto.

類似地,也可藉由調整佈植能量或其他合適的方式,來控制第二接觸件摻雜區420之深度。在本發明實施例中,第二接觸件摻雜區420之深度一般不超過井區300的深度(即,井區300包覆第二接觸件摻雜區420底表面)。遮罩M2的去除類似於上述,在此不再贅述。Similarly, the depth of the second contact doped region 420 can also be controlled by adjusting the implantation energy or other suitable methods. In the embodiment of the present invention, the depth of the second contact doped region 420 generally does not exceed the depth of the well region 300 (that is, the well region 300 covers the bottom surface of the second contact doped region 420 ). The removal of the mask M2 is similar to the above, and will not be repeated here.

在一些實施例中,遮罩M1與遮罩M2之設置位置與形狀可以依設計需求適當調整,例如可列舉遮罩M1與遮罩M2可以依序以位置互補的方式(位置完全不重複)設置,或者,遮罩M1與遮罩M2可以以位置部分重複的方式設置等等的情況。In some embodiments, the positions and shapes of the mask M1 and the mask M2 can be appropriately adjusted according to design requirements. For example, the mask M1 and the mask M2 can be set in a complementary manner (the positions are not repeated at all). , or, the mask M1 and the mask M2 can be set in a manner of partially overlapping positions, and so on.

第一接觸件摻雜區410與第二接觸件摻雜區420的形成順序並不特別限定,也可以在形成第二接觸件摻雜區420才形成第一接觸件摻雜區。只要第一接觸件摻雜區410與第二接觸件摻雜區420的導電型不同,則不特別限定,例如在本發明實施例中,第一接觸件摻雜區410與第二接觸件摻雜區420可以分別為第二導電型與第一導電型,即,p型與n型,其摻質例如分別為鋁(Al)與氮(N)。在一些實施例中,第一接觸件摻雜區410與第二接觸件摻雜區420的摻雜濃度(約10 19-10 20atoms/cm 3)大於井區300的摻雜濃度,以降低接觸電阻因而減少導通電阻(Rdson)。 The order of forming the first contact doped region 410 and the second contact doped region 420 is not particularly limited, and the first contact doped region may also be formed after the second contact doped region 420 is formed. As long as the conductivity types of the first contact doped region 410 and the second contact doped region 420 are different, there is no particular limitation. For example, in the embodiment of the present invention, the first contact doped region 410 and the second contact doped region 420 The impurity region 420 may be of the second conductivity type and the first conductivity type, ie, p-type and n-type, and the dopants thereof are, for example, aluminum (Al) and nitrogen (N), respectively. In some embodiments, the doping concentration of the first contact doped region 410 and the second contact doped region 420 (about 10 19 -10 20 atoms/cm 3 ) is greater than that of the well region 300 to reduce The contact resistance thus reduces the on-resistance (Rdson).

接著,參照第5圖,形成溝槽O於磊晶層200中。具體來說,將遮罩M3作為蝕刻遮罩,沿著方向Z藉由蝕刻製程蝕刻穿過接觸件摻雜區400、井區300並接觸磊晶層200而形成溝槽O,而剩餘的第一接觸件摻雜區410與第二接觸件摻雜區420分別標示為第一接觸件摻雜區410’與第二接觸件摻雜區420’。在一些實施例中,溝槽O沿著方向Y延伸。Next, referring to FIG. 5 , a trench O is formed in the epitaxial layer 200 . Specifically, using the mask M3 as an etching mask, the trench O is formed by etching through the contact doped region 400, the well region 300 and the contact epitaxial layer 200 by an etching process along the direction Z, and the remaining first A contact doped region 410 and a second contact doped region 420 are respectively denoted as a first contact doped region 410 ′ and a second contact doped region 420 ′. In some embodiments, trench O extends along direction Y.

在一些實施例中,在非預定蝕刻位置處設置遮罩M3,以保護下方膜層及/或摻雜區。在一些實施例中,只要遮罩M3同時設置(覆蓋)於第一接觸件摻雜區410’與第二接觸件摻雜區420’上以於後續形成良好的歐姆接觸,則沒有特別限定兩者面積大小,例如在本發明實施例中,第一接觸件摻雜區410’與第二接觸件摻雜區420’的頂表面面積分別佔有遮罩M3的底表面面積的約各50%,然本發明並不以此為限。In some embodiments, a mask M3 is provided at a non-predetermined etching position to protect the underlying film layer and/or the doped region. In some embodiments, as long as the mask M3 is disposed (covered) on the first contact doped region 410 ′ and the second contact doped region 420 ′ at the same time to form a good ohmic contact later, there is no special limitation on the two. For example, in the embodiment of the present invention, the top surface areas of the first contact doped region 410' and the second contact doped region 420' occupy approximately 50% of the bottom surface area of the mask M3 respectively, However, the present invention is not limited thereto.

在垂直型溝槽式閘極金屬氧化物半導體場效電晶體的應用中,具有第二導電型的第一接觸件摻雜區410’與具有第一導電型的第二接觸件摻雜區420’可分別作為半導體裝置的源極接觸件(source contact)與本體接觸件(body contact),並且皆為歐姆接觸(ohmic contact)。In the application of the vertical trench gate metal oxide semiconductor field effect transistor, the first contact doped region 410 ′ having the second conductivity type and the second contact doped region 420 having the first conductivity type 'Can be used as a source contact and a body contact of a semiconductor device respectively, and both are ohmic contacts.

在一些實施例中,蝕刻製程可包含乾蝕刻製程、濕蝕刻製程、或其他合適的蝕刻製程。乾蝕刻可包含電漿蝕刻、無電漿氣體蝕刻、濺射蝕刻(sputter etching)、離子研磨(ion milling)、反應性離子蝕刻(reactive ion etching,RIE) 、中性粒子束蝕刻(neutral beam etch,NBE)、感應耦合電漿蝕刻(inductive coupled plasma etch)。濕蝕刻可包含使用酸性溶液、鹼性溶液或是溶劑來移除待移除結構的至少一部分。此外,蝕刻製程也可以是純化學蝕刻、純物理蝕刻、或其任意組合。In some embodiments, the etching process may include a dry etching process, a wet etching process, or other suitable etching processes. Dry etching may include plasma etching, plasma-free gas etching, sputter etching, ion milling, reactive ion etching (RIE), neutral beam etching (neutral beam etch, NBE), inductive coupled plasma etch (inductive coupled plasma etch). Wet etching may include using an acidic solution, an alkaline solution, or a solvent to remove at least a portion of the structure to be removed. In addition, the etching process can also be pure chemical etching, pure physical etching, or any combination thereof.

接著,參照第6-8圖,在溝槽O的周圍形成摻雜區500。摻雜區500包含在溝槽O兩側且具有不同導電型的第一側壁摻雜區510與第二側壁摻雜區520、以及在溝槽O底部的底部摻雜區530,藉此降低表面電場並降低閘極對汲極的電容(Cgd)。其形成詳細說明如下所示。Next, referring to FIGS. 6-8 , a doped region 500 is formed around the trench O. Referring to FIGS. The doped region 500 includes a first sidewall doped region 510 and a second sidewall doped region 520 of different conductivity types on both sides of the trench O, and a bottom doped region 530 at the bottom of the trench O, thereby reducing the surface electric field and reduces the gate-to-drain capacitance (Cgd). Its formation is detailed as follows.

如第6-7圖所示,藉由第一離子佈植im1對溝槽O的一側進行離子佈植,再藉由第二離子佈植im2對溝槽O的另一側進行離子佈植,以分別形成具有不同導電型的側壁摻雜區510與側壁摻雜區520。As shown in Figures 6-7, ion implantation is performed on one side of the trench O by the first ion implantation im1, and then ion implantation is performed on the other side of the trench O by the second ion implantation im2 , so as to respectively form the sidewall doped region 510 and the sidewall doped region 520 having different conductivity types.

在一些實施例中,第一離子佈植im1與第二離子佈植im2包含以不垂直基板100的方式(不沿著方向Z)對溝槽O的側壁進行離子佈植,而不對溝槽O的底部進行離子佈植。即,只要第一離子佈植製程im1與第二離子佈植製程im2之佈植角度不與基板100的法線平行且可佈植於溝槽O的側壁,則不特別限定。在一些實施例中,第一離子佈植im1與第二離子佈植im2可以例如為斜離子佈植。In some embodiments, the first ion implantation im1 and the second ion implantation im2 include performing ion implantation on the sidewall of the trench O in a manner that is not perpendicular to the substrate 100 (not along the direction Z), but not on the trench O ion implantation at the bottom. That is, as long as the implantation angles of the first ion implantation process im1 and the second ion implantation process im2 are not parallel to the normal of the substrate 100 and can be implanted on the sidewall of the trench O, there is no particular limitation. In some embodiments, the first ion implantation im1 and the second ion implantation im2 may be oblique ion implantation, for example.

在一些實施例中,只要第一離子佈植im1與第二離子佈植im2的摻質導電型不同,則不特別限定,例如在本發明實施例中,第一離子佈植im1與第二離子佈植im2可以分別使用為第一導電型與第二導電型的摻質,即,n型與p型,因此側壁摻雜區510與側壁摻雜區520可以分別為第一導電型與第二導電型,即,n型與p型,其摻質例如分別為氮(N)與鋁(Al),然本發明並不以此為限。In some embodiments, as long as the dopant conductivity types of the first ion implantation im1 and the second ion implantation im2 are different, there is no particular limitation. For example, in the embodiment of the present invention, the first ion implantation im1 and the second ion implantation im1 The implant im2 can use dopants of the first conductivity type and the second conductivity type respectively, that is, n-type and p-type, so the sidewall doped region 510 and the sidewall doped region 520 can be respectively the first conductivity type and the second conductivity type. The dopants of the conductivity type, ie n-type and p-type, are respectively nitrogen (N) and aluminum (Al), but the present invention is not limited thereto.

在一些實施例中,側壁摻雜區510藉由井區300與接觸件摻雜區400分開,而側壁摻雜區520穿過井區300與接觸件摻雜區400接觸。詳細來說,側壁摻雜區520與第二接觸件摻雜區420’接觸。 In some embodiments, the sidewall doped region 510 is separated from the contact doped region 400 by the well region 300 , and the sidewall doped region 520 contacts the contact doped region 400 through the well region 300 . In detail, the sidewall doped region 520 is in contact with the second contact doped region 420'.

在本發明實施例中,在經第一導電型摻質的第一離子佈植im1與經第二導電型摻質的第二離子佈植im2之後,井區300的兩側具有不同摻雜濃度。詳細來說,井區300的一側具有較井區300的中間部分濃的第二導電型濃度,井區300的另一側具有較井區300的中間部分淡的第二導電型濃度,因而在方向X上形成由淡到濃的第二導電型的摻雜濃度梯度。藉此,可在摻雜濃度較濃處防止打穿(punch through)的情形,並在摻雜濃度較淡處降低起始電壓(threshold voltage)。 In the embodiment of the present invention, after the first ion implantation im1 of dopants of the first conductivity type and the second ion implantation im2 of dopants of the second conductivity type, the two sides of the well region 300 have different doping concentrations . In detail, one side of the well region 300 has a concentration of the second conductivity type that is thicker than the middle portion of the well region 300, and the other side of the well region 300 has a concentration of the second conductivity type that is lighter than the middle portion of the well region 300, thus A doping concentration gradient of the second conductivity type from light to rich is formed in the direction X. Thereby, the situation of punch through can be prevented at the higher doping concentration, and the threshold voltage can be lowered at the lower doping concentration.

如第8圖所示,對溝槽O的底部進行第三離子佈植im3,以形成底部摻雜區530。即,底部摻雜區530包覆整個溝槽O的底部。藉此,可有效降低溝槽O角落的電場。 As shown in FIG. 8 , a third ion implantation im3 is performed on the bottom of the trench O to form a bottom doped region 530 . That is, the bottom doped region 530 covers the entire bottom of the trench O. As shown in FIG. Thereby, the electric field at the corner of the trench O can be effectively reduced.

在一些實施例中,第三離子佈植im3以垂直基板100(沿著方向Z)的方式對溝槽O的底部進行離子佈植。在一些實施例中,第三離子佈植im3可以例如為直離子佈植(straight ion implantation),但本發明不以此為限。在一些實施例中,第三離子佈植im3使用第二導電型的摻質,即,p型,因此底部摻雜區530可以為第二導電型,即,p型,其摻質例如為鋁(Al)。 In some embodiments, the third ion implantation im3 performs ion implantation on the bottom of the trench O in a manner perpendicular to the substrate 100 (along the direction Z). In some embodiments, the third ion implantation im3 may be, for example, straight ion implantation, but the invention is not limited thereto. In some embodiments, the third ion implantation im3 uses dopants of the second conductivity type, that is, p-type, so the bottom doped region 530 can be of the second conductivity type, that is, p-type, and its dopant is, for example, aluminum (Al).

在一些實施例中,底部摻雜區530的摻雜濃度(約1016-1019atoms/cm3)大於或等於側壁摻雜區510或側壁摻雜區520的摻雜濃度(約1015-1018atoms/cm3)。藉此,以在減少對通道區的影響下更降低溝槽O底部與角落的電場。 In some embodiments, the doping concentration of the bottom doped region 530 (about 10 16 -10 19 atoms/cm 3 ) is greater than or equal to the doping concentration of the sidewall doped region 510 or the sidewall doped region 520 (about 10 15 - 10 18 atoms/cm 3 ). Thereby, the electric field at the bottom and corner of the trench O can be further reduced while reducing the impact on the channel region.

應注意的是,本案第8圖所繪示的第一接觸件摻雜區410’、側壁摻雜區520與底部摻雜區530僅表示相同導電型,因此三者之間並無明顯分界,然而實際摻雜濃度可能因產品需求而有所不同。It should be noted that the first contact doped region 410 ′, the sidewall doped region 520 and the bottom doped region 530 shown in FIG. 8 of this application only represent the same conductivity type, so there is no clear boundary between the three. However, actual doping levels may vary depending on product requirements.

應注意的是,在進行如第6-8圖的離子佈植時,遮罩M3保持設置於接觸件摻雜區400上,以防止接觸件摻雜區400受到影響而改變其導電型。並且,在完成佈植製程之後,去除遮罩M3。遮罩M3的去除方法類似於上方所述,在此不再贅述。It should be noted that during the ion implantation as shown in FIGS. 6-8 , the mask M3 remains disposed on the contact doped region 400 to prevent the contact doped region 400 from being affected and changing its conductivity type. And, after the implantation process is completed, the mask M3 is removed. The method for removing the mask M3 is similar to that described above, and will not be repeated here.

應注意的是,雖然本發明實施例以第6-8圖的順序說明形成摻雜區500,然而本發明所屬技術領域中具有通常知識者也可以依實際需求適當調整步驟,例如也可以先進行第三離子佈植製程形成底部摻雜區之後,再進行第一與第二離子佈植製程形成側壁摻雜區。It should be noted that although the embodiment of the present invention illustrates the formation of the doped region 500 in the order of FIGS. After the bottom doped region is formed by the third ion implantation process, the first and second ion implantation processes are performed to form the side wall doped region.

本發明實施例藉由形成摻雜區圍繞溝槽,可防止後續形成的電極結構直接接觸磊晶層,藉以降低閘極對汲極電容(Cgd)與汲極對源極電容(Cds)。並且,本發明實施例藉由底部摻雜區可更進一步降低表面電場,並提升崩潰電壓。In the embodiment of the present invention, by forming a doped region to surround the trench, the subsequently formed electrode structure can be prevented from directly contacting the epitaxial layer, thereby reducing the gate-to-drain capacitance (Cgd) and the drain-to-source capacitance (Cds). Moreover, the embodiment of the present invention can further reduce the surface electric field and increase the breakdown voltage by using the bottom doped region.

接著,參照第9圖,在去除遮罩M3之後,對接觸件摻雜區400與摻雜區500進行活化。Next, referring to FIG. 9 , after removing the mask M3 , the contact doped region 400 and the doped region 500 are activated.

在一些實施例中,可依據基板材料在形成電極結構前或後進行活化。舉例來說,在基板材料為矽的情況下,由於其活化(或退火)的溫度例如為900゚C,因此可以在形成電極結構之後進行活化不影響電極結構;在基板材料為碳化矽的情況下,由於其活化的溫度例如為1800゚C,後續欲形成的電極結構的元件有熔掉的風險,因此需在在形成電極結構之前進行活化。此外,在基板材料為碳化矽的情況下,可在活化之前先形成碳覆蓋層(graphite cap),並在活化之後去除碳蓋層,以在活化期間維持碳化矽表面粗糙度。In some embodiments, the activation can be performed before or after forming the electrode structure depending on the substrate material. For example, in the case where the substrate material is silicon, since the activation (or annealing) temperature is, for example, 900゚C, activation can be performed after the electrode structure is formed without affecting the electrode structure; in the case of the substrate material being silicon carbide In this case, since the activation temperature is, for example, 1800゚C, the elements of the electrode structure to be formed later may melt away, so activation must be performed before forming the electrode structure. In addition, if the substrate material is SiC, a graphite cap can be formed before activation and removed after activation to maintain the surface roughness of SiC during activation.

接著,參照第10-14圖,在溝槽O中形成電極結構600。電極結構600可以為一般型閘極溝槽式結構或分離閘極(split gate trench)溝槽式結構。在本發明實施例中,電極結構600為分離閘極溝槽式結構,其包含頂部電極、底部電極與圍繞頂部電極的與圍繞底部電極的介電層。在一些實施例中,電極結構600沿著方向Y延伸。電極結構600的細節將說明如下。Next, referring to FIGS. 10-14 , an electrode structure 600 is formed in the trench O. Referring to FIGS. The electrode structure 600 may be a general gate trench structure or a split gate trench structure. In an embodiment of the present invention, the electrode structure 600 is a split-gate trench structure, which includes a top electrode, a bottom electrode, and a dielectric layer surrounding the top electrode and surrounding the bottom electrode. In some embodiments, the electrode structure 600 extends along the direction Y. The details of the electrode structure 600 will be explained as follows.

如第10圖所示,全面性形成遮蔽介電層610於磊晶層200上。詳細來說,在溝槽O的底部與側壁上形成遮蔽介電層610。在一些實施例中,遮蔽介電層610可為氧化矽、其它適合的半導體氧化物材料、或前述材料的組合。在一些實施例中,遮蔽介電層610的形成包含順應性(conformably)沉積製程、氧化製程(oxidation process)、其他適合的形成製程等。As shown in FIG. 10 , a shielding dielectric layer 610 is formed on the epitaxial layer 200 entirely. In detail, a shielding dielectric layer 610 is formed on the bottom and sidewalls of the trench O. Referring to FIG. In some embodiments, the shielding dielectric layer 610 can be silicon oxide, other suitable semiconductor oxide materials, or a combination of the aforementioned materials. In some embodiments, the formation of the masking dielectric layer 610 includes a conformably deposition process, an oxidation process, other suitable formation processes, and the like.

在一些實施例中,氧化製程可以為熱氧化法(thermal oxidation)、或是其他合適的製程。在一些實施例中,沉積製程可以為物理氣相沉積(physical vapor deposition;PVD)製程、化學氣相沉積(CVD)製程、電漿輔助化學氣相沉積法(PECVD)、其他合適的製程、或是前述製程之組合。In some embodiments, the oxidation process may be thermal oxidation, or other suitable processes. In some embodiments, the deposition process may be a physical vapor deposition (physical vapor deposition; PVD) process, a chemical vapor deposition (CVD) process, a plasma-assisted chemical vapor deposition (PECVD), other suitable processes, or It is a combination of the aforementioned processes.

在一些實施例中,可以選擇性的對遮蔽介電層610進行熱製程,以增加遮蔽介電層610的緻密度。在一些實施例中,熱製程可以是快速熱退火(rapid thermal annealing;RTA)製程。In some embodiments, a thermal process may be optionally performed on the shielding dielectric layer 610 to increase the density of the shielding dielectric layer 610 . In some embodiments, the thermal process may be a rapid thermal annealing (RTA) process.

如第11圖所示,在溝槽O中形成底部電極(bottom gate)620。在一些實施例中,底部電極620形成於遮蔽介電層610上。在一些實施例中,底部電極620的頂表面低於井區300的底表面。底部電極620與磊晶層200之間藉由遮蔽介電層610分開。As shown in FIG. 11 , a bottom gate 620 is formed in trench O. As shown in FIG. In some embodiments, the bottom electrode 620 is formed on the shielding dielectric layer 610 . In some embodiments, the top surface of the bottom electrode 620 is lower than the bottom surface of the well region 300 . The bottom electrode 620 is separated from the epitaxial layer 200 by a shielding dielectric layer 610 .

在一些實施例中,底部電極620可以是單層或多層結構,其由非晶矽、多晶矽、一或多種金屬、金屬氮化物、金屬矽化物、導電金屬氧化物、或前述材料之組合所形成。在一些實施例中,金屬可包含但不限於鎢(W)、鈦(Ti)、鉭(Ta)、鉑(Pt)。在一些實施例中,金屬氮化物可包括但不限於氮化鈦(TiN)以及氮化鉭(TaN)。在一些實施例中,金屬矽化物可包含但不限於矽化鎢(WSi x)。在一些實施例中,底部電極620可以選擇性包含第二導電型的摻質,即,p型,其可為鋁(Al)、硼(B)、二氟化硼(BF 2)或其他合適的摻質。 In some embodiments, the bottom electrode 620 can be a single-layer or multi-layer structure formed of amorphous silicon, polysilicon, one or more metals, metal nitrides, metal silicides, conductive metal oxides, or a combination of the foregoing materials. . In some embodiments, metals may include, but are not limited to, tungsten (W), titanium (Ti), tantalum (Ta), platinum (Pt). In some embodiments, metal nitrides may include, but are not limited to, titanium nitride (TiN) and tantalum nitride (TaN). In some embodiments, the metal silicide may include, but is not limited to, tungsten silicide ( WSix ). In some embodiments, the bottom electrode 620 may optionally contain dopants of the second conductivity type, ie, p-type, which may be aluminum (Al), boron (B), boron difluoride (BF 2 ) or other suitable doping.

在一些實施例中,底部電極620的形成包含藉由沉積製程沉積底部電極材料(未示出)於遮蔽介電層610與磊晶層200上,選擇性對底部電極材料進行熱製程(例如退火製程),接著藉由去除製程去除部分的底部電極材料,使得底部電極620未填滿整個溝槽O(或底部電極下凹至特定的深度)。在一些實施例中,上述沉積製程可包含金屬有機物化學氣相沉積(metal organic chemical vapor deposition;MOCVD)、濺鍍法、電阻加熱蒸鍍法、電子束蒸鍍法、適合的方法等。在一些實施例中,去除製程可以包含平坦化製程、蝕刻製程等,例如化學機械研磨(CMP)製程、乾蝕刻製程等。In some embodiments, the formation of the bottom electrode 620 includes depositing a bottom electrode material (not shown) on the masking dielectric layer 610 and the epitaxial layer 200 by a deposition process, and selectively performing a thermal process (such as annealing) on the bottom electrode material. process), and then a part of the bottom electrode material is removed by a removal process, so that the bottom electrode 620 does not fill the entire trench O (or the bottom electrode is recessed to a specific depth). In some embodiments, the above-mentioned deposition process may include metal organic chemical vapor deposition (MOCVD), sputtering, resistance heating evaporation, electron beam evaporation, suitable methods, and the like. In some embodiments, the removal process may include a planarization process, an etching process, etc., such as a chemical mechanical polishing (CMP) process, a dry etching process, and the like.

在一些實施例中,底部電極620除了可以減少閘極對汲極電容(Cgd)來改善半導體裝置的開關特性,且其具有場板(Field Plate)功能而可以更進一步加強降低表面電場(RESURF)的效果。In some embodiments, the bottom electrode 620 can reduce the gate-to-drain capacitance (Cgd) to improve the switching characteristics of the semiconductor device, and it has a field plate (Field Plate) function to further enhance the reduction of the surface electric field (RESURF) Effect.

如第12圖所示,去除部分的遮蔽介電層610。具體來說,藉由濕蝕刻製程去除遮蔽介電層610未被底部電極620覆蓋的部分,而剩下的遮蔽介電層610標示為遮蔽介電層610’。 遮蔽介電層610’位於溝槽O下部的側壁和底部上。在一些實施例中,遮蔽介電層610’的頂表面可以高於(未示出)、低於、大致上共平面於底部電極620的頂表面。在第12圖的實施例中,遮蔽介電層610’的頂表面大致上與底部電極620的頂表面共平面,且有輕微凹陷(dished)現象。As shown in FIG. 12, a portion of the masking dielectric layer 610 is removed. Specifically, the portion of the shielding dielectric layer 610 not covered by the bottom electrode 620 is removed by a wet etching process, and the remaining shielding dielectric layer 610 is denoted as a shielding dielectric layer 610'. A shielding dielectric layer 610' is located on the sidewalls and bottom of the trench O lower portion. In some embodiments, the top surface of the masking dielectric layer 610' may be above (not shown), below, or substantially coplanar with the top surface of the bottom electrode 620. In the embodiment of FIG. 12, the top surface of the masking dielectric layer 610' is substantially coplanar with the top surface of the bottom electrode 620 and is slightly dished.

如第13圖所示,在磊晶層200、遮蔽介電層610’和底部電極620上形成介電層630。介電層630可作為後續形成的頂部電極的閘極介電層(gate dielectric layer)。As shown in FIG. 13 , a dielectric layer 630 is formed on the epitaxial layer 200 , the masking dielectric layer 610 ′, and the bottom electrode 620 . The dielectric layer 630 may serve as a gate dielectric layer for a subsequently formed top electrode.

在一些實施例中,介電層630從磊晶層200的頂表面延伸至溝槽O中,並覆蓋遮蔽介電層610’的頂表面以及底部電極630的頂表面。在本發明實施例中,介電層630並未填滿溝槽O。即,在形成介電層630之後,在溝槽O中的介電層630上具有一空間。再者,在一些實施例中,在溝槽O的介電層630的厚度小於在溝槽O的遮蔽介電層610’的厚度。In some embodiments, the dielectric layer 630 extends from the top surface of the epitaxial layer 200 into the trench O and covers the top surface of the shielding dielectric layer 610' and the top surface of the bottom electrode 630. In the embodiment of the present invention, the dielectric layer 630 does not fill the trench O. That is, there is a space on the dielectric layer 630 in the trench O after the dielectric layer 630 is formed. Furthermore, in some embodiments, the thickness of the dielectric layer 630 in the trench O is less than the thickness of the shielding dielectric layer 610' in the trench O.

在一些實施例中,介電層630可為氧化矽、其它合適的介電材料、或前述材料的組合。在一些實施例中,可依據實際需求選擇與遮蔽介電層610’的材料相同或不同之介電層630的材料。In some embodiments, the dielectric layer 630 can be silicon oxide, other suitable dielectric materials, or a combination of the aforementioned materials. In some embodiments, the material of the dielectric layer 630 that is the same as or different from the material of the shielding dielectric layer 610' can be selected according to actual requirements.

在一些實施例中,介電層630的形成可包含類似於上方所述氧化製程等,在此不再贅述。應注意的是,在形成介電層630的期間,由於底部電極620也會氧化,因此底部電極630上方形成較厚的絕緣部640。絕緣部640位於底部電極620與後續形成的頂部電極(未繪示)之間,可用於電性隔絕。絕緣部640也可包含類似於介電層630的材料,在此不再贅述。In some embodiments, the formation of the dielectric layer 630 may include an oxidation process similar to that described above, which will not be repeated here. It should be noted that during the formation of the dielectric layer 630 , since the bottom electrode 620 is also oxidized, a thicker insulating portion 640 is formed above the bottom electrode 630 . The insulating portion 640 is located between the bottom electrode 620 and a subsequently formed top electrode (not shown), and can be used for electrical isolation. The insulating portion 640 may also include materials similar to the dielectric layer 630 , which will not be repeated here.

如第14圖所示,在溝槽O中形成頂部電極(top gate)650。在一些實施例中,頂部電極650位於介電層630上,並與底部電極620藉由絕緣部640分開。在一些實施例中,頂部電極650的頂表面與介電層630的頂表面共平面。在一些實施例中,具有第二導電型的側壁摻雜區520覆蓋底部電極620的整個側壁。As shown in FIG. 14 , a top gate 650 is formed in the trench O . In some embodiments, the top electrode 650 is located on the dielectric layer 630 and separated from the bottom electrode 620 by the insulating portion 640 . In some embodiments, the top surface of top electrode 650 is coplanar with the top surface of dielectric layer 630 . In some embodiments, the sidewall doped region 520 of the second conductivity type covers the entire sidewall of the bottom electrode 620 .

在一些實施例中,頂部電極650可以是單層或多層結構,可選自類似於底部電極620的材料,因此在此不再贅述。在一些實施例中,可依據實際需求選擇與底部電極620的材料相同或不同之頂部電極650的材料。在一些實施例中,頂部電極650可以選擇性包含第二導電型的摻質,即,p型,其可為硼(B)、二氟化硼(BF 2)或其他合適的摻質。 In some embodiments, the top electrode 650 can be a single-layer or multi-layer structure, and can be selected from materials similar to the bottom electrode 620, so details will not be repeated here. In some embodiments, the material of the top electrode 650 that is the same as or different from that of the bottom electrode 620 can be selected according to actual needs. In some embodiments, the top electrode 650 may optionally contain dopants of the second conductivity type, ie, p-type, which may be boron (B), boron difluoride (BF 2 ) or other suitable dopants.

在一些實施例中,頂部電極650的形成包含藉由沉積製程沉積頂部電極材料(未示出)於介電層630與絕緣部640上,選擇性對頂部電極材料進行熱製程,接著藉由去除製程去除部分的頂部電極材料,使得頂部電極650的頂表面大致上與介電層630共平面。相關製程類似於上方所述,在此不再贅述。In some embodiments, the formation of the top electrode 650 includes depositing a top electrode material (not shown) on the dielectric layer 630 and the insulating portion 640 by a deposition process, selectively performing a thermal process on the top electrode material, and then removing The process removes a portion of the top electrode material such that the top surface of the top electrode 650 is substantially coplanar with the dielectric layer 630 . The relevant manufacturing process is similar to the above, and will not be repeated here.

在一些實施例中,頂部電極650與底部電極620可以分別電性連接於閘極與源極,更有效減少閘極與飄移區(例如磊晶層)的接觸面積,因此能夠更降低閘極對汲極電容(Cgd)並改善半導體裝置的開關特性。In some embodiments, the top electrode 650 and the bottom electrode 620 can be electrically connected to the gate and the source respectively, which can more effectively reduce the contact area between the gate and the drift region (such as the epitaxial layer), thus reducing the gate pair. Drain capacitance (Cgd) and improve switching characteristics of semiconductor devices.

在一些實施例中,摻雜區500圍繞電極結構600。在一些實施例中,電極結構600的兩側分別設置具有第一導電型與第二導電型的側壁摻雜區510與520,電極結構的底部設置有具有第二導電型的底部摻雜區530。並且,側壁摻雜區510與520藉由底部摻雜區530連接。In some embodiments, the doped region 500 surrounds the electrode structure 600 . In some embodiments, sidewall doped regions 510 and 520 of the first conductivity type and the second conductivity type are provided on both sides of the electrode structure 600 respectively, and a bottom doped region 530 of the second conductivity type is provided at the bottom of the electrode structure. . Moreover, the sidewall doped regions 510 and 520 are connected by the bottom doped region 530 .

在欲形成有複數個電晶體的情況下,可在形成溝槽O的同時,在溝槽O的一側(或在溝槽O的X方向)形成另一溝槽(如第5圖);在形成摻雜區500的同時,在另一溝槽的周圍形成另一摻雜區(如第6-8圖);在形成電極結構600的同時,在另一溝槽中形成另一電極結構(如第10-14圖)。在一些實施例中,在電極結構600與另一電極結構之間,藉由磊晶層200互相分開。In the case where a plurality of transistors are to be formed, another trench can be formed on one side of the trench O (or in the X direction of the trench O) while forming the trench O (as shown in Figure 5); While forming the doped region 500, another doped region is formed around another trench (as shown in Figures 6-8); while the electrode structure 600 is formed, another electrode structure is formed in another trench (as in Figures 10-14). In some embodiments, the electrode structure 600 is separated from another electrode structure by the epitaxial layer 200 .

在一些實施例中,另一摻雜區設置於磊晶層200中並圍繞另一電極結構。在一些實施例中,另一摻雜區類似於摻雜區500,包含具有第一導電型的另一第一側壁摻雜區、具有第二導電型的另一第二側壁摻雜區與具有第二導電型的另一底部摻雜區,其中另一第一側壁摻雜區與另一第二側壁摻雜區藉由另一底部摻雜區連接。在一些實施例中,具有不同導電型的電極結構600的側壁摻雜區510與另一電極結構的另一側壁摻雜區,藉由磊晶層200分開。並且,具有不同導電型的電極結構600的側壁摻雜區與另一電極結構的側壁摻雜區與其之間的磊晶層200可視為超接面(super junction)。藉此,可在不影響崩潰電壓的情況下增加第一導電型摻質濃度。In some embodiments, another doped region is disposed in the epitaxial layer 200 and surrounds another electrode structure. In some embodiments, the other doped region is similar to the doped region 500, including another first sidewall doped region with the first conductivity type, another second sidewall doped region with the second conductivity type, and another doped sidewall region with the second conductivity type. Another bottom doped region of the second conductivity type, wherein another first sidewall doped region is connected to another second sidewall doped region through another bottom doped region. In some embodiments, the sidewall doped region 510 of the electrode structure 600 with different conductivity types is separated from the other sidewall doped region of another electrode structure by the epitaxial layer 200 . Furthermore, the doped sidewall region of the electrode structure 600 with different conductivity types and the doped sidewall region of another electrode structure and the epitaxial layer 200 therebetween can be regarded as a super junction. Thereby, the dopant concentration of the first conductivity type can be increased without affecting the breakdown voltage.

接著,參照第15-17圖,在電極結構600上形成源極接觸件800、源極電極900與鈍化層1000。Next, referring to FIGS. 15-17 , a source contact 800 , a source electrode 900 and a passivation layer 1000 are formed on the electrode structure 600 .

如第15圖所示,在頂部電極650與介電層630上形成層間介電層700。在一些實施例中,層間介電層700可包含類似於介電層630的材料,在此不再贅述。在一些實施例中,層間介電層700的形成可包含類似上述的沉積製程,在此不再贅述。As shown in FIG. 15 , an interlayer dielectric layer 700 is formed on the top electrode 650 and the dielectric layer 630 . In some embodiments, the interlayer dielectric layer 700 may include materials similar to the dielectric layer 630 , which will not be repeated here. In some embodiments, the formation of the interlayer dielectric layer 700 may include a deposition process similar to that described above, which will not be repeated here.

如第16圖所示,形成接觸孔H於層間介電層700中。在一些實施例中,接觸孔H的形成可包含藉由遮罩(或光阻)作為蝕刻遮罩,並藉由一個或多個蝕刻製程來蝕刻一部分的層間介電層700,接著去除遮罩(或光阻)。As shown in FIG. 16 , a contact hole H is formed in the interlayer dielectric layer 700 . In some embodiments, the formation of the contact hole H may include using a mask (or photoresist) as an etching mask, and etching a part of the interlayer dielectric layer 700 by one or more etching processes, and then removing the mask. (or photoresist).

如第17圖所示,於接觸孔H中形成接觸件800。在一些實施例中,接觸件800可以包含接觸金屬矽化物、接觸金屬(未繪示)、阻障層等。阻障層可用於防止後續形成的接觸金屬擴散到層間介電層700中。矽化物可用於降低接觸電阻。As shown in FIG. 17, a contact 800 is formed in the contact hole H. Referring to FIG. In some embodiments, the contact 800 may include a contact metal silicide, a contact metal (not shown), a barrier layer, and the like. The barrier layer may serve to prevent the subsequently formed contact metal from diffusing into the interlayer dielectric layer 700 . Silicides can be used to reduce contact resistance.

在一些實施例中,接觸件800可以包含導電材料,其包含金屬、金屬氮化物等,例如銅、銀、金、鋁、鎢、鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭 (TaN)、鈷(Co)、矽化鎳(nickel silicide;NiSi)、矽化鈷(cobalt silicide;CoSi)、其他合適的材料、或是前述材料之組合。In some embodiments, the contact 800 may comprise a conductive material comprising metal, metal nitride, etc., such as copper, silver, gold, aluminum, tungsten, titanium (Ti), titanium nitride (TiN), tantalum (Ta) , tantalum nitride (TaN), cobalt (Co), nickel silicide (nickel silicide; NiSi), cobalt silicide (cobalt silicide; CoSi), other suitable materials, or a combination of the aforementioned materials.

在一些實施例中,接觸件800的形成可以包含沉積製程、矽化製程(例如快速熱製程(Rapid Thermal Processing, RTP))、去除製程(例如蝕刻製程或平坦化製程)等,相關製程類似於上述,在此不再贅述。In some embodiments, the formation of the contact 800 may include a deposition process, a silicidation process (such as a rapid thermal processing (Rapid Thermal Processing, RTP)), a removal process (such as an etching process or a planarization process), and the like, and the related processes are similar to those described above. , which will not be repeated here.

如第17圖所示,在接觸件800與層間介電層700上形成源極電極900與鈍化層1000。在一些實施例,鈍化層1000用於保護與隔絕連接到外部電路之表面金屬(例如源極與閘極金屬)。As shown in FIG. 17 , a source electrode 900 and a passivation layer 1000 are formed on the contact 800 and the interlayer dielectric layer 700 . In some embodiments, the passivation layer 1000 is used to protect and isolate surface metals (such as source and gate metals) connected to external circuits.

在一些實施例,源極電極900可包含導電材料,其包含金屬、金屬合金等,例如銅、銀、金、鋁、鎢、鋁銅、其他合適的材料、或前述材料之組合。在一些實施例中,可藉由類似於上述的沉積製程分別形成源極電極900與鈍化層1000,在此不再贅述。In some embodiments, the source electrode 900 may comprise a conductive material comprising metal, metal alloy, etc., such as copper, silver, gold, aluminum, tungsten, aluminum copper, other suitable materials, or combinations thereof. In some embodiments, the source electrode 900 and the passivation layer 1000 can be formed respectively by a deposition process similar to the above, which will not be repeated here.

接著,參照第18圖,其為對應於第17圖中剖線A-A’之由上而下俯視的上視圖。由第18圖可知,具有第一導電型的側壁摻雜區510與具有第二導電型的側壁摻雜區520分別沿著電極結構600的兩側連續延伸。即,側壁摻雜區510與側壁摻雜區520皆沿著方向Y延伸。Next, referring to Fig. 18, it is a top view corresponding to the section line A-A' in Fig. 17 viewed from top to bottom. It can be seen from FIG. 18 that the sidewall doped region 510 of the first conductivity type and the sidewall doped region 520 of the second conductivity type extend continuously along two sides of the electrode structure 600 respectively. That is, both the sidewall doped region 510 and the sidewall doped region 520 extend along the direction Y.

第19-32圖是根據本發明的另一些實施例,繪示出的示意圖。19-32 are schematic diagrams according to other embodiments of the present invention.

第19圖與第20圖為另一些實施例中一種態樣,兩者分別繪示出由上而下俯視與由下而上仰視的上視圖。應注意的是,由上而下俯視指的是沿著方向Z往基板100方向的視角;由下而上仰視指的是從基板100沿著方向Z的視角。Fig. 19 and Fig. 20 are a kind of aspect in some other embodiments, and both of them respectively depict the top view viewed from top to bottom and the top view from bottom to top. It should be noted that the top-down view refers to the viewing angle along the direction Z toward the substrate 100 ; the bottom-up viewing refers to the viewing angle along the direction Z from the substrate 100 .

第19圖類似於第18圖,其差異在於:在電極結構600的兩側具有不同導電型交錯排列的側壁摻雜區。具體來說,具有第一導電型的側壁摻雜區5101與具有第二導電型的側壁摻雜區5201分別沿著電極結構600的兩側延伸並分別連接到具有第二導電型的側壁摻雜區5202與具有第一導電型的側壁摻雜區5102。即,側壁摻雜區5101連接側壁摻雜區5202;側壁摻雜區5201連接側壁摻雜區5102。在第20圖中,可見具有第二導電型的底部摻雜區5301與5302沿著方向Y延伸,並且完全覆蓋電極結構。並且,在第20圖中,具有第一導電型的側壁摻雜區5101與5102在方向Y上不重疊。 FIG. 19 is similar to FIG. 18 , the difference is that there are sidewall doped regions of different conductivity types alternately arranged on both sides of the electrode structure 600 . Specifically, the sidewall doped region 5101 with the first conductivity type and the sidewall doped region 5201 with the second conductivity type respectively extend along both sides of the electrode structure 600 and are respectively connected to the sidewall doped regions with the second conductivity type. The region 5202 and the sidewall doped region 5102 of the first conductivity type. That is, the sidewall doped region 5101 is connected to the sidewall doped region 5202 ; the sidewall doped region 5201 is connected to the sidewall doped region 5102 . In FIG. 20, it can be seen that the bottom doped regions 5301 and 5302 of the second conductivity type extend along the direction Y and completely cover the electrode structure. Moreover, in FIG. 20 , the sidewall doped regions 5101 and 5102 of the first conductivity type do not overlap in the direction Y.

第21圖與第22圖為另一些實施例中另一種態樣,兩者分別繪示出由上而下俯視與由下而上仰視的上視圖。第21圖類似於第19圖,其差異在於:沿著電極結構600的一側,複數個具有第一導電型的側壁摻雜區5101與複數個具有第二導電型的側壁摻雜區5202交錯排列;沿著電極結構600的另一側,複數個具有第二導電型的側壁摻雜區5201與具有第一導電型的側壁摻雜區5102交錯排列。即,一個側壁摻雜區5101沿著其Y方向(圖式中的上下方位)連接到兩個側壁摻雜區5202;一個側壁摻雜區5201沿著其Y方向(圖式中的上下方位)連接兩個側壁摻雜區5102。在第22圖中,可見具有第二導電型的底部摻雜區5301與5302彼此連接,沿著方向Y延伸,並且完全覆蓋電極結構。並且,在第22圖中,具有第一導電型的側壁摻雜區5102與5101在方向Y上不重疊。 Fig. 21 and Fig. 22 are another form in some other embodiments, and both of them respectively depict the top view from top to bottom and bottom to top. Fig. 21 is similar to Fig. 19, the difference is that along one side of the electrode structure 600, a plurality of sidewall doped regions 5101 of the first conductivity type are interlaced with a plurality of sidewall doped regions 5202 of the second conductivity type Arrangement: Along the other side of the electrode structure 600 , a plurality of sidewall doped regions 5201 of the second conductivity type and sidewall doped regions 5102 of the first conductivity type are alternately arranged. That is, one sidewall doped region 5101 is connected to two sidewall doped regions 5202 along its Y direction (upper and lower directions in the drawing); one sidewall doped region 5201 is connected along its Y direction (upper and lower directions in the drawing) The two sidewall doped regions 5102 are connected. In FIG. 22, it can be seen that the bottom doped regions 5301 and 5302 of the second conductivity type are connected to each other, extend along the direction Y, and completely cover the electrode structure. Moreover, in FIG. 22 , the sidewall doped regions 5102 and 5101 of the first conductivity type do not overlap in the direction Y.

為方便說明後續形成製程,將第19圖或第21圖中的方框的放大示意圖繪示為第23圖。在第23圖中,沿著電極結構600的延伸方向(或方向Y)將摻雜區500與電極結構600分成第一區R1與第二區R2。即,第一區R1中具有分別為第一導電型與第二導電型的側壁摻雜區5101與5201;第二區R2中具有分別為 第一導電型與第二導電型的側壁摻雜區5102與5202。換言之,在第23圖中,具有不同導電型的側壁摻雜區5101與5201沿著同一電極結構600的兩側延伸。在第23圖中,側壁摻雜區5101連接側壁摻雜區5202;側壁摻雜區5201連接側壁摻雜區5102。 For the convenience of explaining the subsequent forming process, the enlarged schematic diagram of the box in FIG. 19 or FIG. 21 is shown as FIG. 23 . In FIG. 23 , the doped region 500 and the electrode structure 600 are divided into a first region R1 and a second region R2 along the extension direction (or direction Y) of the electrode structure 600 . That is, the first region R1 has sidewall doped regions 5101 and 5201 of the first conductivity type and the second conductivity type respectively; The sidewall doped regions 5102 and 5202 of the first conductivity type and the second conductivity type. In other words, in FIG. 23 , sidewall doped regions 5101 and 5201 with different conductivity types extend along two sides of the same electrode structure 600 . In FIG. 23 , the sidewall doped region 5101 is connected to the sidewall doped region 5202 ; the sidewall doped region 5201 is connected to the sidewall doped region 5102 .

可參照第24-32圖,其為對應於第23圖的剖線A1-A1’(第一區R1)與剖線B-B’(第二區R2)繪示出在不同階段的剖面示意圖。可在第24-32圖所述的階段之前、期間、及/或之後提供額外的操作。在不同的實施例中,可移動、刪除或置換前述的一些操作。可加入額外的部件到半導體裝置。在不同的實施例中,可移動、刪除或置換以下所述的一些部件。 Refer to Figures 24-32, which are schematic cross-sectional views at different stages for the section line A1-A1' (first region R1) and section line BB' (second region R2) corresponding to Fig. 23 . Additional operations may be provided before, during, and/or after the stages described in FIGS. 24-32. In various embodiments, some of the aforementioned operations may be moved, deleted or replaced. Additional components may be added to the semiconductor device. In various embodiments, some of the components described below may be removed, deleted or substituted.

應注意的是,在此實施例中,為簡化圖式,後續將繪示為單一電晶體(包含單一電極結構)的結構進行說明。並且,在第一區R1的結構類似於第1~17圖的實施例,因此後方將著重在第二區R2的結構進行說明。 It should be noted that, in this embodiment, in order to simplify the diagram, the structure of a single transistor (including a single electrode structure) will be shown later for description. Moreover, the structure of the first region R1 is similar to the embodiment shown in FIGS. 1-17 , so the description will focus on the structure of the second region R2 later.

參照第24圖,其類似於第3圖,其差異在於:在第二區R2中,在非預定摻雜位置處設置遮罩M1,並藉由離子佈植製程對井區300進行全面性離子佈植,以在未被遮罩M1覆蓋的井區300中形成第一接觸件摻雜區410。接著,去除遮罩M1。在第24圖的實施例中,離子佈植包含第二導電型摻質,即,p型摻質(例如鋁),因此第一接觸件摻雜區410具有第二導電型。 Referring to Fig. 24, it is similar to Fig. 3, the difference is that in the second region R2, a mask M1 is set at an unintended doping position, and the well region 300 is fully ionized by an ion implantation process. implanted to form a first contact doped region 410 in the well region 300 not covered by the mask M1. Next, the mask M1 is removed. In the embodiment of FIG. 24 , the ion implantation includes dopants of the second conductivity type, ie, p-type dopants (such as aluminum), so the first contact doped region 410 has the second conductivity type.

參照第25圖,其類似於第4圖,其差異在於:在第二區R2中,在非預定摻雜位置處(例如第一接觸件摻雜區410的正上方)設置遮罩M2,並藉由離子佈植對井區300進行全面性離子佈植,以在未被遮罩M2的井區300中形成第二接觸件摻雜區420。接著,去除遮罩M2。在第25圖的實施例中,離子佈植包含第一導電型摻質,即,n型摻質(例如氮),因此第二接觸件摻雜區420具有第一導電型。Referring to FIG. 25, which is similar to FIG. 4, the difference is that in the second region R2, a mask M2 is set at a non-predetermined doping position (for example, directly above the first contact doping region 410), and Full-scale ion implantation is performed on the well region 300 by ion implantation, so as to form the second contact doped region 420 in the well region 300 not covered by M2. Next, the mask M2 is removed. In the embodiment of FIG. 25 , the ion implantation includes dopants of the first conductivity type, ie, n-type dopants (such as nitrogen), so the second contact doped region 420 has the first conductivity type.

參照第26圖,其類似於第5圖,其差異在於:在第一區R1與第二區R2中,形成溝槽O於磊晶層200中。應注意的是,雖然在此經由A1-A1’剖線與B-B’剖線分為兩個剖面圖,然溝槽O在第一區R1與第二區R2中為沿著方向Y延伸的同一溝槽。Referring to FIG. 26 , which is similar to FIG. 5 , the difference is that: in the first region R1 and the second region R2 , trenches O are formed in the epitaxial layer 200 . It should be noted that although the cross-sectional view is divided into two cross-sectional views by the A1-A1' section line and the BB' section line, the trench O extends along the direction Y in the first region R1 and the second region R2 the same groove.

參照第27-31圖,形成摻雜區500。如第27圖所示,過填充光阻P於第二區R2的溝槽O中,對在第一區R1中的溝槽O的一側側壁進行第一離子佈植im11以在第一區R1中形成側壁摻雜區5101,接著去除第二區R2中的光阻P。在一些實施例中,光阻P可以在離子佈植期間保護下方膜層受到進一步摻雜,並且在離子佈植完成後被去除。在第27圖的實施例中,第一離子佈植im11包含具有第一導電型的摻質,即,n型(例如氮),因此側壁摻雜區5101具有第一導電型。Referring to FIGS. 27-31, a doped region 500 is formed. As shown in FIG. 27, the photoresist P is overfilled in the trench O in the second region R2, and the first ion implantation im11 is performed on one side wall of the trench O in the first region R1 so that the first ion implantation im11 is performed in the first region R2. A sidewall doped region 5101 is formed in R1, and then the photoresist P in the second region R2 is removed. In some embodiments, the photoresist P can protect the underlying layer from further doping during the ion implantation, and is removed after the ion implantation is completed. In the embodiment shown in FIG. 27 , the first ion implantation im11 contains dopants of the first conductivity type, ie, n-type (such as nitrogen), so the sidewall doped region 5101 has the first conductivity type.

如第28圖所示,過填充光阻P於第一區R1的溝槽O中,對在第二區R2中的溝槽O的一側側壁進行另一第一離子佈植im12以在第二區R2中形成側壁摻雜區5102,接著去除第一區R1中的光阻P。在第28圖的實施例中,另一第一離子佈植im12包含具有第一導電型的摻質,即,n型(例如氮),因此側壁摻雜區5102具有第一導電型。As shown in FIG. 28, the photoresist P is overfilled in the trench O in the first region R1, and another first ion implantation im12 is performed on one side wall of the trench O in the second region R2 so that in the second region R2 The sidewall doped region 5102 is formed in the second region R2, and then the photoresist P in the first region R1 is removed. In the embodiment of FIG. 28 , another first ion implantation im12 contains dopants of the first conductivity type, ie, n-type (eg, nitrogen), so the sidewall doped region 5102 has the first conductivity type.

如第29圖所示,過填充光阻P於第二區R2的溝槽O中,對在第一區R1中的溝槽O的另一側側壁進行第二離子佈植im21以在第一區R1中形成側壁摻雜區5201,接著去除第二區R2中的光阻P。在第29圖的實施例中,第二離子佈植im21包含具有第二導電型的摻質,即,p型(例如鋁),因此側壁摻雜區5201具有第二導電型。As shown in FIG. 29, the photoresist P is overfilled in the trench O in the second region R2, and the second ion implantation im21 is performed on the other side wall of the trench O in the first region R1 so as to be in the first region R1. A sidewall doped region 5201 is formed in the region R1, and then the photoresist P in the second region R2 is removed. In the embodiment of FIG. 29 , the second ion implantation im21 contains dopants of the second conductivity type, ie, p-type (such as aluminum), so the sidewall doped region 5201 has the second conductivity type.

如第30圖所示,過填充光阻P於第一區R1的溝槽O中,對在第二區R2中的溝槽O的另一側側壁進行另一第二離子佈植im22以在第二區R2中形成側壁摻雜區5202,接著去除第一區R1中的光阻P。在本發明實施例中,另一第二離子佈植im22包含具有第二導電型的摻質,即,p型(例如鋁),因此側壁摻雜區5202具有第二導電型。As shown in FIG. 30, the photoresist P is overfilled in the trench O in the first region R1, and another second ion implantation im22 is performed on the other side wall of the trench O in the second region R2 to The sidewall doped region 5202 is formed in the second region R2, and then the photoresist P in the first region R1 is removed. In an embodiment of the present invention, another second ion implantation im22 includes dopants of the second conductivity type, ie, p-type (such as aluminum), so the sidewall doped region 5202 has the second conductivity type.

如第31圖所示,其類似於第8圖,同時對第一區R1與第二區R2的溝槽O的底部進行第三離子佈植im3以在第一區R1與第二區R2中形成底部摻雜區5301與5302(或如第8圖合稱為530)。在第31圖的實施例中,第三離子佈植im3包含具有第二導電型的摻質,即,p型(例如鋁),因此底部摻雜區5301與5302具有第二導電型。在一些實施例中,側壁摻雜區5102與側壁摻雜區5202藉由底部摻雜區5302連接。As shown in FIG. 31, which is similar to FIG. 8, the third ion implantation im3 is performed on the bottom of the trench O in the first region R1 and the second region R2 at the same time so that in the first region R1 and the second region R2 Bottom doped regions 5301 and 5302 (or collectively referred to as 530 in FIG. 8 ) are formed. In the embodiment of FIG. 31 , the third ion implantation im3 includes dopants of the second conductivity type, ie, p-type (such as aluminum), so the bottom doped regions 5301 and 5302 have the second conductivity type. In some embodiments, the sidewall doped region 5102 is connected to the sidewall doped region 5202 through the bottom doped region 5302 .

應注意的是,雖然本發明實施例以第27-31圖的順序說明形成摻雜區500,然而本發明所屬技術領域中具有通常知識者也可以依實際需求適當調整步驟,例如也可以先進行第三離子佈植製程形成底部摻雜區之後,再形成第一區R1中的側壁摻雜區,最後才形成第二區R2中的側壁摻雜區。 It should be noted that although the embodiment of the present invention illustrates the formation of the doped region 500 in the order of FIGS. After the bottom doped region is formed in the third ion implantation process, the sidewall doped region in the first region R1 is formed, and finally the sidewall doped region in the second region R2 is formed.

承上,藉由在溝槽的一側上形成不同導電型的側壁摻雜區(即,第二區的側壁摻雜區的導電型與第一區的側壁摻雜區的導電型相反),可避免電流集中在同一側,防止單側溫度上升,而可有效避免寄生雙載子接面電晶體(bipolar junction transistor,BJT)過熱(thermal runaway)。 As above, by forming sidewall doped regions of different conductivity types on one side of the trench (that is, the conductivity type of the sidewall doped regions in the second region is opposite to that of the sidewall doped regions in the first region), It can prevent the current from concentrating on the same side, prevent the temperature rise on one side, and effectively avoid the overheating (thermal runaway) of the parasitic bipolar junction transistor (BJT).

接著,參照第32圖,形成電極結構600、層間介電層700、接觸件800、源極電極900、與鈍化層1000,類似於前述,因此不再贅述。在一些實施例中,於第一區R1(剖線A1-A1’)的電極結構600的兩側側壁分別設置側壁摻雜區5101與5201,於第二區R2(剖線B-B’)的兩側側壁分別設置側壁摻雜區5102與5202。即,第一區R1的摻雜區500圍繞第一區R1的電極結構600,第二區R2的摻雜區500圍繞第二區R2的電極結構600。 Next, referring to FIG. 32 , an electrode structure 600 , an interlayer dielectric layer 700 , a contact member 800 , a source electrode 900 , and a passivation layer 1000 are formed, which are similar to those described above, and thus will not be repeated here. In some embodiments, sidewall doped regions 5101 and 5201 are respectively provided on both sides of the electrode structure 600 in the first region R1 (section line A1-A1'), and in the second region R2 (section line BB'). Sidewall doped regions 5102 and 5202 are respectively provided on the sidewalls of both sides. That is, the doped region 500 of the first region R1 surrounds the electrode structure 600 of the first region R1, and the doped region 500 of the second region R2 surrounds the electrode structure 600 of the second region R2.

第33-43圖是根據本發明的再另一些實施例,繪示出的示意圖。 33-43 are schematic diagrams according to still other embodiments of the present invention.

第33圖與第34圖為再另一些實施例中,分別繪示出由上而下俯視與由下而上仰視的上視圖。第33圖類似於第19圖,其差異在於:在電極結構600的一側具有不同導電型交錯排列的側壁摻雜區,在電極結構600的另一側具有相同導電型連續延伸的側壁摻雜區。在第34圖中,可見具有第二導電型的底部摻雜區5301沿著方向Y非連續延伸,並且不完全覆蓋電極結構。即兩兩底部摻雜區5301彼此間隔。Fig. 33 and Fig. 34 are still other embodiments, showing top views from top to bottom and bottom to top, respectively. Fig. 33 is similar to Fig. 19, the difference is that on one side of the electrode structure 600 there are sidewall doped regions of different conductivity types staggered, and on the other side of the electrode structure 600 there are sidewall doping regions of the same conductivity type extending continuously. district. In Fig. 34, it can be seen that the bottom doped region 5301 of the second conductivity type extends discontinuously along the direction Y and does not completely cover the electrode structure. That is, two bottom doped regions 5301 are spaced apart from each other.

為方便說明後續形成製程,將第33圖中的方框的放大示意圖繪示為第35圖。在第35圖中,沿著電極結構600的延伸方向(或方向Y)將摻雜區分為第一區R1與第三區R3。即,第一區R1中具有分別為第一導電型與第二導電型的側壁摻雜區5101與5201;第三區R3中具有皆第二導電型的側壁摻雜區5103與5203。換言之,在第35圖中,具有不同導電型的側壁摻雜區沿著同一電極結構600的一側延伸,具有相同導電型的側壁摻雜區沿著同一電極結構600的另一側延伸。在第35圖中,側壁摻雜區5101連接側壁摻雜區5103。應注意的是,以具有不同導電型的側壁摻雜區5201與5203之界線分為第一區R1與第三區R3。To facilitate the description of the subsequent forming process, the enlarged schematic diagram of the box in FIG. 33 is shown in FIG. 35 . In FIG. 35 , the doped region is divided into a first region R1 and a third region R3 along the extension direction (or direction Y) of the electrode structure 600 . That is, the first region R1 has sidewall doped regions 5101 and 5201 of the first conductivity type and the second conductivity type respectively; the third region R3 has sidewall doped regions 5103 and 5203 of the second conductivity type. In other words, in FIG. 35 , sidewall doped regions with different conductivity types extend along one side of the same electrode structure 600 , and sidewall doped regions with the same conductivity type extend along the other side of the same electrode structure 600 . In FIG. 35 , the sidewall doped region 5101 is connected to the sidewall doped region 5103 . It should be noted that the boundary between the sidewall doped regions 5201 and 5203 with different conductivity types is divided into the first region R1 and the third region R3.

可參照第36-43圖,其為對應於第35圖的剖線A2-A2’(第一區R1)與剖線C-C’ (第二區R2)繪示出在不同階段的剖面示意圖。可在第36-43圖所述的階段之前、期間、及/或之後提供額外的操作。在不同的實施例中,可移動、刪除或置換前述的一些操作。可加入額外的部件到半導體裝置。在不同的實施例中,可移動、刪除或置換以下所述的一些部件。Refer to Figures 36-43, which are schematic cross-sectional views at different stages for the section line A2-A2' (first area R1) and section line CC' (second area R2) corresponding to Figure 35 . Additional operations may be provided before, during, and/or after the stages described in Figures 36-43. In various embodiments, some of the aforementioned operations may be moved, deleted or replaced. Additional components may be added to the semiconductor device. In various embodiments, some of the components described below may be removed, deleted or substituted.

應注意的是,在此實施例中,為簡化圖式,後續將繪示為單一電晶體(包含單一電極結構)的結構進行說明。並且,在第一區R1的結構類似於第1-17圖(或第24-32圖中第一區R1的結構)的實施例,因此後方將著重在第三區R3的結構進行說明。 It should be noted that in this embodiment, in order to simplify the diagram, the structure of a single transistor (including a single electrode structure) will be shown later for description. Moreover, the structure of the first region R1 is similar to the embodiment in FIGS. 1-17 (or the structure of the first region R1 in FIGS. 24-32 ), so the description will focus on the structure of the third region R3 later.

參照第36圖,其類似於第3圖,其差異在於:在第三區R3中,在非預定摻雜位置處(例如第三區R3的全部區域)設置遮罩M1,以避免離子佈植製程對第三區R3的井區300受到影響。接著,去除遮罩M1。 Referring to Fig. 36, it is similar to Fig. 3, and the difference is that in the third region R3, a mask M1 is set at non-predetermined doping positions (for example, the entire area of the third region R3) to avoid ion implantation The process is affected to the well region 300 of the third region R3. Next, the mask M1 is removed.

參照第37圖,其類似於第4圖,其差異在於:在第三區R3中不設置遮罩M2,並藉由離子佈植對井區300進行全面性離子佈植,以在第三區R3的井區300中形成第二接觸件摻雜區420。在第37圖的實施例中,離子佈植包含第一導電型摻質,即,n型摻質(例如氮),因此第二接觸件摻雜區420具有第一導電型。應注意的是,在第三區R3中,接觸件摻雜區400僅包含具有第一導電型的第二接觸件摻雜區420。 Referring to Fig. 37, it is similar to Fig. 4, and the difference is that the mask M2 is not set in the third region R3, and the well region 300 is fully ion-implanted by ion implantation, so that in the third region R3 A second contact doping region 420 is formed in the well region 300 of R3. In the embodiment of FIG. 37 , the ion implantation includes dopants of the first conductivity type, ie, n-type dopants (such as nitrogen), so the second contact doped region 420 has the first conductivity type. It should be noted that in the third region R3, the doped contact region 400 only includes the second doped contact region 420 of the first conductivity type.

參照第38圖,其類似於第5圖,其差異在於:在第一區R1與第三區R3中,形成溝槽O於磊晶層200中。應注意的是,雖然在此經由A2-A2’剖線與C-C’剖線分為兩個剖面圖,然溝槽O在第一區R1與第三區R3中為沿著Y方向延伸的同一溝槽。 Referring to FIG. 38 , which is similar to FIG. 5 , the difference is that: in the first region R1 and the third region R3 , trenches O are formed in the epitaxial layer 200 . It should be noted that although the cross-sectional view is divided into two cross-sectional views by the A2-A2' line and the CC' line, the groove O extends along the Y direction in the first region R1 and the third region R3 the same groove.

參照第39-42圖,形成摻雜區500。如第39圖所示,同時對第一區R1與第三區R3的溝槽O的一側側壁進行第一離子佈植im1以在第一區R1與第三區R3中分別形成側壁摻雜區5101與5103。在第39圖的實施例中,離子佈植im1包含具有第 一導電型的摻質,即,n型(例如氮),因此側壁摻雜區5101與5103皆具有第一導電型。 Referring to FIGS. 39-42, a doped region 500 is formed. As shown in FIG. 39, the first ion implantation im1 is performed on one side wall of the trench O in the first region R1 and the third region R3 at the same time to form sidewall doping in the first region R1 and the third region R3 respectively. Districts 5101 and 5103. In the embodiment of FIG. 39, ion implantation im1 comprises The dopant of one conductivity type is n-type (such as nitrogen), so the sidewall doped regions 5101 and 5103 both have the first conductivity type.

如第40圖所示,過填充光阻P於第一區R1的溝槽O中,對在第三區R3的溝槽O的另一側側壁進行第二離子佈植im22以在第三區R3中形成側壁摻雜區5203,接著去除第一區R1中的光阻P。在第40圖的實施例中,第二離子佈植im22包含具有第一導電型的摻質,即,n型(例如氮),因此側壁摻雜區5203具有第一導電型。 As shown in FIG. 40, the photoresist P is overfilled in the trench O in the first region R1, and the second ion implantation im22 is performed on the other side wall of the trench O in the third region R3 so that the second ion implantation im22 is performed in the third region R1. A sidewall doped region 5203 is formed in R3, and then the photoresist P in the first region R1 is removed. In the embodiment of FIG. 40 , the second ion implantation im22 contains dopants of the first conductivity type, ie, n-type (for example, nitrogen), so the sidewall doped region 5203 has the first conductivity type.

如第41圖所示,過填充光阻P於第三區R3的溝槽O中,對第一區R1的溝槽O的另一側側壁進行另一第二離子佈植im21以在第一區R1中形成側壁摻雜區5201。在第41圖的實施例中,另一第二離子佈植im21包含具有第二導電型的摻質,即,p型(例如鋁),因此側壁摻雜區5201具有第二導電型。 As shown in FIG. 41, the photoresist P is overfilled in the trench O in the third region R3, and another second ion implantation im21 is performed on the other side wall of the trench O in the first region R1 so as to be in the first region R3. A sidewall doped region 5201 is formed in the region R1. In the embodiment of FIG. 41 , another second ion implantation im21 includes dopants of the second conductivity type, ie, p-type (such as aluminum), so the sidewall doped region 5201 has the second conductivity type.

如第42圖所示,保持如第41圖中在第三區R3的溝槽O中的光阻P,對在第一區R1中的溝槽O的底部進行第三離子佈植im3以在第一區R1中形成底部摻雜區5301,接著去除第三區R3中的光阻P。在第42圖的實施例中,第三離子佈植im3包含具有第二導電型的摻質,即,p型(例如鋁),因此底部摻雜區5301具有第二導電型。 As shown in FIG. 42, while maintaining the photoresist P in the trench O in the third region R3 in FIG. 41, a third ion implantation im3 is performed on the bottom of the trench O in the first region R1 to A bottom doped region 5301 is formed in the first region R1, and then the photoresist P in the third region R3 is removed. In the embodiment of FIG. 42, the third ion implantation im3 contains dopants of the second conductivity type, ie, p-type (such as aluminum), so the bottom doped region 5301 has the second conductivity type.

應注意的是,雖然本發明實施例以第39-42圖的順序說明形成摻雜區500,然而本發明所屬技術領域中具有通常知識者也可以依實際需求適當調整步驟,例如也可以先進行第三離子佈 植製程形成底部摻雜區之後,再形成第一區R1中的側壁摻雜區,最後才形成第三區R3中的側壁摻雜區。 It should be noted that although the embodiment of the present invention illustrates the formation of the doped region 500 in the order of FIGS. The third ion cloth After forming the bottom doped region in the implant process, the sidewall doped region in the first region R1 is formed, and finally the sidewall doped region in the third region R3 is formed.

承上,藉由在溝槽O的一側上形成連續延伸的具有第一導電型的側壁摻雜區並在另一側上形成第一導電型與第二導電型交錯排列的側壁摻雜區,可增加通道區域,進而降低通道電阻並且降低開關電阻。並且,藉由不連續延伸的底部摻雜區,可有效降低底部角落的表面電場。 Based on the above, by forming continuously extending sidewall doped regions of the first conductivity type on one side of the trench O and forming sidewall doped regions of the first conductivity type and the second conductivity type alternately arranged on the other side , can increase the channel area, thereby reducing the channel resistance and reducing the switch resistance. Moreover, the surface electric field at the corner of the bottom can be effectively reduced by the discontinuously extending bottom doped region.

接著,參照第43圖,形成電極結構600、層間介電層700、接觸件800、源極電極900、與鈍化層1000,類似於前述,因此不再贅述。在第43圖的實施例中,在第三區R3中,電極結構600的底部直接接觸磊晶層200。在一些實施例中,於第一區R1(剖線A2-A2’)的電極結構600的兩側側壁分別設置側壁摻雜區5101與5201,於第三區R3(剖線C-C’)的兩側側壁分別設置側壁摻雜區5103與5203。即,第一區R1的摻雜區500圍繞第一區R1的電極結構600,第三區R3的摻雜區500圍繞第三區R3的電極結構600。 Next, referring to FIG. 43 , an electrode structure 600 , an interlayer dielectric layer 700 , a contact member 800 , a source electrode 900 , and a passivation layer 1000 are formed, which are similar to those described above, so details are omitted. In the embodiment of FIG. 43 , in the third region R3 , the bottom of the electrode structure 600 directly contacts the epitaxial layer 200 . In some embodiments, sidewall doped regions 5101 and 5201 are respectively provided on both sides of the electrode structure 600 in the first region R1 (cross-section line A2-A2'), and in the third region R3 (cross-section line CC'). Sidewall doped regions 5103 and 5203 are respectively provided on the sidewalls of both sides. That is, the doped region 500 of the first region R1 surrounds the electrode structure 600 of the first region R1, and the doped region 500 of the third region R3 surrounds the electrode structure 600 of the third region R3.

綜上所述,本發明實施例藉由電極結構的兩側壁摻雜區具有不同導電型,可降低表面電場並降低導通電阻(Rdson)。本發明實施例藉由藉由包覆電極結構的底部之底部摻雜區可降低電場並提升崩潰電壓。本發明實施例藉由分離溝槽式電極結構,可更進一步減少閘極電極與飄移區的接觸面積,更有效降低閘極對汲極電容(Cgd)。 In summary, the embodiments of the present invention can reduce the surface electric field and reduce the on-resistance (Rdson) by using the doped regions on both side walls of the electrode structure to have different conductivity types. In the embodiment of the present invention, the electric field can be reduced and the breakdown voltage can be increased by the bottom doped region covering the bottom of the electrode structure. In the embodiment of the present invention, by separating the trench electrode structure, the contact area between the gate electrode and the drift region can be further reduced, and the gate-to-drain capacitance (Cgd) can be reduced more effectively.

此外,本發明實施例更藉由第一導電型(或第二導電型)的側壁摻雜區均勻分布於電極結構的兩側,可避免電流集中在同一側,而防止過熱現象。此外,本發明實施例更藉由第一導電型的側壁摻雜區連續設置於電極結構的一側,而第二導電型與第一導電型交錯分布的側壁摻雜區設置於電極結構的另一側,可降低通道電阻。並且,更藉由不連續設置的底部摻雜區,更有效降低表面電場。 In addition, in the embodiment of the present invention, the sidewall doped regions of the first conductivity type (or the second conductivity type) are evenly distributed on both sides of the electrode structure, which can avoid current concentration on the same side and prevent overheating. In addition, in the embodiment of the present invention, the sidewall doped regions of the first conductivity type are continuously arranged on one side of the electrode structure, and the sidewall doped regions of the second conductivity type and the first conductivity type are arranged alternately on the other side of the electrode structure. On one side, the channel resistance can be reduced. Moreover, the surface electric field is more effectively reduced by the discontinuous bottom doped regions.

本揭露的保護範圍並未侷限於說明書內所述特定實施例中的製程、機器、製造、物質組成、裝置、方法及步驟,任何所屬技術領域中具有通常知識者可從本揭露一些實施例的揭示內容中理解現行或未來所發展出的製程、機器、製造、物質組成、裝置、方法及步驟,只要可以在此處所述實施例中實施大抵相同功能或獲得大抵相同結果皆可根據本揭露一些實施例使用。因此,本揭露的保護範圍包括前述製程、機器、製造、物質組成、裝置、方法及步驟。另外,每一申請專利範圍構成個別的實施例,且本揭露的保護範圍也包括各個申請專利範圍及實施例的組合。 The protection scope of the present disclosure is not limited to the process, machine, manufacture, material composition, device, method and steps in the specific embodiments described in the specification. Anyone with ordinary knowledge in the technical field can learn from some embodiments of the present disclosure In the content of the disclosure, it is understood that the current or future developed processes, machines, manufactures, material compositions, devices, methods and steps can be used in accordance with this disclosure as long as they can perform substantially the same functions or obtain substantially the same results in the embodiments described here. Some examples use . Therefore, the protection scope of the present disclosure includes the aforementioned process, machine, manufacture, composition of matter, device, method and steps. In addition, each patent application scope constitutes an individual embodiment, and the protection scope of the present disclosure also includes combinations of various patent application scopes and embodiments.

以上概述數個實施例,以便在所屬技術領域中具有通常知識者可以更理解本揭露實施例的觀點。在所屬技術領域中具有通常知識者應該理解,他們能以本揭露實施例為基礎,設計或修改其他製程及結構,以達到與在此介紹的實施例相同目的及/或優點。在所屬技術領域中具有通常知識者也應該理解到,此類等效的製程及結構並無悖離本揭露的精神與範圍,且他們能在不違背本揭露的精神及範圍下,做各式各樣的改變、取代及替換。Several embodiments are summarized above so that those skilled in the art can better understand the viewpoints of the embodiments of the present disclosure. Those skilled in the art should understand that they can design or modify other processes and structures based on the disclosed embodiments, so as to achieve the same purpose and/or advantages as the disclosed embodiments. Those with ordinary knowledge in the technical field should also understand that such equivalent processes and structures do not deviate from the spirit and scope of this disclosure, and they can be made in various ways without departing from the spirit and scope of this disclosure. Various changes, substitutions and substitutions.

100:基板 200:磊晶層 300:井區 400:接觸件摻雜區 410,410’:第一接觸件摻雜區 420,420’:第二接觸件摻雜區 500:摻雜區 510,520:側壁摻雜區 5101,5102,5103,5201,5202,5203:側壁摻雜區 530:底部摻雜區 5301,5302:底部摻雜區 600:電極結構 610,610’:遮蔽介電層 620:底部電極 630:介電層 640:絕緣部 650:頂部電極 700,700’:層間介電層 800:接觸件 900:源極電極 1000:鈍化層 im1:第一離子佈植 im2:第二離子佈植 im11,im12:(另一)第一離子佈植 im21,im22:(另一)第二離子佈植 im3:第三離子佈植 A-A’,A1-A1’,A2-A2’,B-B’,C-C’:剖線 M1,M2,M3:遮罩 H:接觸孔 O:溝槽 P:光阻 R1:第一區 R2:第二區 R3:第三區 X,Y,Z:方向 100: Substrate 200: epitaxial layer 300: well area 400: contact doped area 410, 410': first contact doped region 420, 420': second contact doped region 500: doped area 510,520: sidewall doped region 5101, 5102, 5103, 5201, 5202, 5203: side wall doped regions 530: bottom doped region 5301,5302: bottom doped region 600: electrode structure 610,610': masking dielectric layer 620: bottom electrode 630: dielectric layer 640: insulation part 650: top electrode 700,700': interlayer dielectric layer 800: contact piece 900: source electrode 1000: passivation layer im1: first ion implantation im2: Second ion implantation im11, im12: (another) first ion implantation im21, im22: (another) second ion implantation im3: the third ion implantation A-A', A1-A1', A2-A2', B-B', C-C': broken line M1,M2,M3: mask H: contact hole O: Groove P: photoresist R1: Region 1 R2: second area R3: the third area X, Y, Z: direction

藉由以下的詳述配合所附圖式,能夠更加理解本揭露實施例的觀點。值得注意的是,根據工業上的標準慣例,一些部件(feature)可能沒有按照比例繪製。事實上,為了能清楚地討論,不同部件的尺寸可能被增加或減少。 第1-17圖是根據本發明的一些實施例,繪示形成半導體裝置在不同階段的剖面示意圖。 第18圖是根據本發明的一些實施例,繪示半導體裝置的部分元件由上而下俯視的上視圖。 第19圖是根據本發明的另一些實施例中一種態樣,繪示半導體裝置的部分元件由上而下俯視的上視圖。 第20圖是根據本發明的另一些實施例中一種態樣,繪示半導體裝置的部分元件由下而上仰視的上視圖。 第21圖是根據本發明的另一些實施例中另一種態樣,繪示半導體裝置的部分元件由上而下俯視的上視圖。 第22圖是根據本發明的另一些實施例中另一種態樣,繪示半導體裝置的部分元件由下而上仰視的上視圖。 第23圖是由第19圖或第21圖中的方框的放大示意圖。 第24-32圖是根據本發明的另一些實施例,繪示形成半導體裝置在不同階段的剖面示意圖。 第33圖是根據本發明的再另一些實施例,繪示半導體裝置的部分元件由上而下俯視的上視圖。 第34圖是根據本發明的再另一些實施例,繪示半導體裝置的部分元件由下而上仰視的上視圖。 第35圖是由第33圖中的方框的放大示意圖。 第36-43圖是根據本發明的再另一些實施例,繪示形成半導體裝置在不同階段的剖面示意圖。 The viewpoints of the embodiments of the present disclosure can be better understood through the following detailed description combined with the accompanying drawings. It is worth noting that, in accordance with the standard practice in the industry, some features may not be drawn to scale. In fact, the dimensions of the various components may have been increased or decreased for clarity of discussion. 1-17 are schematic cross-sectional views illustrating different stages of forming a semiconductor device according to some embodiments of the present invention. FIG. 18 is a top view showing some components of a semiconductor device viewed from top to bottom according to some embodiments of the present invention. FIG. 19 is a top view showing some components of a semiconductor device viewed from top to bottom according to another aspect of some embodiments of the present invention. FIG. 20 is a top view of some components of a semiconductor device viewed from bottom to top according to another aspect of some embodiments of the present invention. FIG. 21 is a top view of some components of a semiconductor device viewed from top to bottom according to another aspect of some other embodiments of the present invention. FIG. 22 is a top view showing some components of a semiconductor device viewed from bottom to top according to another aspect of some other embodiments of the present invention. Fig. 23 is an enlarged schematic view of the box in Fig. 19 or Fig. 21. 24-32 are schematic cross-sectional views illustrating different stages of forming a semiconductor device according to other embodiments of the present invention. FIG. 33 is a top view showing some components of a semiconductor device viewed from top to bottom according to still other embodiments of the present invention. FIG. 34 is a bottom-up top view showing some components of a semiconductor device according to still other embodiments of the present invention. FIG. 35 is an enlarged schematic view of the box in FIG. 33 . 36-43 are schematic cross-sectional views illustrating different stages of forming a semiconductor device according to still other embodiments of the present invention.

100:基板 100: Substrate

200:磊晶層 200: epitaxial layer

300:井區 300: well area

400:接觸件摻雜區 400: contact doped area

410’:第一接觸件摻雜區 410': first contact doped region

420’:第二接觸件摻雜區 420': second contact doped region

500:摻雜區 500: doped area

510,520:側壁摻雜區 510,520: sidewall doped region

530:底部摻雜區 530: bottom doped region

600:電極結構 600: electrode structure

610’:遮蔽介電層 610': masking dielectric layer

620:底部電極 620: bottom electrode

630:介電層 630: dielectric layer

640:絕緣部 640: insulation part

650:頂部電極 650: top electrode

X,Y,Z:方向 X, Y, Z: direction

Claims (20)

一種半導體裝置,包括:一基板,具有一第一導電型;一磊晶層,具有該第一導電型,設置於該基板上;一電極結構,設置於該磊晶層中,其中該電極結構沿著一第一方向延伸;一第一側壁摻雜區,具有第一導電型並設置於該電極結構的一側;一第二側壁摻雜區,具有不同於該第一導電型的一第二導電型並設置於該電極結構的另一側;以及一底部摻雜區,具有該第二導電型並設置於該電極結構下,其中該第二側壁摻雜區與該底部摻雜區連接。 A semiconductor device, comprising: a substrate having a first conductivity type; an epitaxial layer having the first conductivity type disposed on the substrate; an electrode structure disposed in the epitaxial layer, wherein the electrode structure extending along a first direction; a first sidewall doped region having a first conductivity type and disposed on one side of the electrode structure; a second sidewall doping region having a first conductivity type different from the first a second conductivity type and disposed on the other side of the electrode structure; and a bottom doped region having the second conductivity type and disposed under the electrode structure, wherein the second sidewall doped region is connected to the bottom doped region . 如請求項1之半導體裝置,其中該電極結構包括一絕緣部與藉由該絕緣部分開的一頂部電極與一底部電極。 The semiconductor device according to claim 1, wherein the electrode structure includes an insulating portion and a top electrode and a bottom electrode separated by the insulating portion. 如請求項1之半導體裝置,其中該第二側壁摻雜區覆蓋該底部電極的整個側壁。 The semiconductor device according to claim 1, wherein the second sidewall doped region covers the entire sidewall of the bottom electrode. 如請求項1之半導體裝置,更包括:一另一電極結構,設置在該電極結構的旁邊並在一第二方向上,其中該第一方向垂直於該第二方向,其中該另一電極結構藉由該磊晶層與該電極結構分開;一另一第一側壁摻雜區,具有該第一導電型並設置於該另一電極結構的一側; 一另一第二側壁摻雜區,具有該第二導電型並設置於該另一電極結構的另一側;以及一另一底部摻雜區,具有該第二導電型並設置於該另一電極結構下,其中該另一第二側壁摻雜區與該另一底部摻雜區連接,其中該電極結構的該第一側壁摻雜區、該另一電極結構的該另一第二側壁摻雜區與設置於該第一側壁摻雜區與該另一第二側壁摻雜區之間的該磊晶層為一超接面。 The semiconductor device according to claim 1, further comprising: another electrode structure disposed beside the electrode structure and in a second direction, wherein the first direction is perpendicular to the second direction, wherein the another electrode structure separated from the electrode structure by the epitaxial layer; another first sidewall doped region having the first conductivity type and disposed on one side of the other electrode structure; another second sidewall doped region having the second conductivity type and disposed on the other side of the other electrode structure; and another bottom doped region having the second conductivity type disposed on the other side Under the electrode structure, wherein the other second sidewall doped region is connected to the other bottom doped region, wherein the first sidewall doped region of the electrode structure, the other second sidewall doped region of the other electrode structure The impurity region and the epitaxial layer disposed between the first sidewall doped region and the other second sidewall doped region are a superjunction. 如請求項1之半導體裝置,其中沿著該第一方向將該電極結構分成一第一區與一第二區,其中該第一側壁摻雜區與該第二側壁摻雜區設置於該第一區中,其中該半導體裝置更包括:一第三側壁摻雜區,設置於該第二區中的該電極結構的一側;以及一第四側壁摻雜區,設置於該第二區中的該電極結構的另一側。 The semiconductor device according to claim 1, wherein the electrode structure is divided into a first region and a second region along the first direction, wherein the first sidewall doped region and the second sidewall doped region are disposed on the second sidewall doped region In a region, wherein the semiconductor device further includes: a third sidewall doped region disposed on one side of the electrode structure in the second region; and a fourth sidewall doped region disposed in the second region the other side of the electrode structure. 如請求項5之半導體裝置,其中該第三側壁摻雜區與該第四側壁摻雜區分別具有該第一導電型與該第二導電型,其中該半導體裝置更包括:一另一底部摻雜區,具有該第二導電型並設置於該第二區中的該電極結構下,其中該第四側壁摻雜區與該另一底部摻雜區連接。 The semiconductor device according to claim 5, wherein the third sidewall doped region and the fourth sidewall doped region have the first conductivity type and the second conductivity type respectively, wherein the semiconductor device further comprises: another bottom doped The impurity region has the second conductivity type and is disposed under the electrode structure in the second region, wherein the fourth sidewall doped region is connected to the other bottom doped region. 如請求項6之半導體裝置,其中該底部摻雜區連接該另一底部摻雜區。 The semiconductor device according to claim 6, wherein the bottom doped region is connected to the other bottom doped region. 如請求項5之半導體裝置,其中該第三側壁摻雜區與該第四側壁摻雜區皆具有該第一導電型,其中在該第二區中,該電極結構的底部直接接觸該磊晶層。 The semiconductor device according to claim 5, wherein both the third sidewall doped region and the fourth sidewall doped region have the first conductivity type, wherein in the second region, the bottom of the electrode structure directly contacts the epitaxy layer. 如請求項1之半導體裝置,更包括:一井區,設置於該電極結構的一側,並具有該第二導電型;以及一接觸件摻雜區,設置於該井區中;其中該第一側壁摻雜區藉由該井區與該接觸件摻雜區分開;其中該第二側壁摻雜區穿過該井區與該接觸件摻雜區接觸。 The semiconductor device according to claim 1, further comprising: a well region disposed on one side of the electrode structure and having the second conductivity type; and a doped contact region disposed in the well region; wherein the first The sidewall doped region is separated from the contact doped region by the well region; wherein the second sidewall doped region contacts the contact doped region through the well region. 如請求項9之半導體裝置,其中該接觸件摻雜區包括彼此相鄰的一第一接觸件摻雜區與一第二接觸件摻雜區,其中該第一接觸件摻雜區與該第二接觸件摻雜區分別具有該第一導電型與該第二導電型,其中該第二側壁摻雜區與該第二接觸件摻雜區接觸。 The semiconductor device according to claim 9, wherein the contact doped region includes a first contact doped region and a second contact doped region adjacent to each other, wherein the first contact doped region and the second contact doped region The two contact doped regions respectively have the first conductivity type and the second conductivity type, wherein the second sidewall doped region is in contact with the second contact doped region. 一種半導體裝置的形成方法,包括:提供一基板,其中該基板具有一第一導電型;形成一磊晶層於該基板上,其中該磊晶層具有該第一導電型;沿著一第一方向形成一溝槽於該磊晶層中;形成一摻雜區圍繞該溝槽,其中形成該摻雜區包括:以與該基板不垂直方式分別對該溝槽的兩側側壁進行一第一離子佈植與一第二離子佈植,以形成一第一側壁摻雜區與一第二側 側壁摻雜區,其中該第一側壁摻雜區與該第二側壁摻雜區分別具有該第一導電型與不同於該第一導電型的一第二導電型;以及 形成一電極結構於該溝槽中。 A method for forming a semiconductor device, comprising: providing a substrate, wherein the substrate has a first conductivity type; forming an epitaxial layer on the substrate, wherein the epitaxial layer has the first conductivity type; along a first A groove is formed in the epitaxial layer in a direction; forming a doped region to surround the groove, wherein forming the doped region includes: performing a first first on both sides of the groove in a non-perpendicular manner to the substrate ion implantation and a second ion implantation to form a first sidewall doped region and a second sidewall a sidewall doped region, wherein the first sidewall doped region and the second sidewall doped region respectively have the first conductivity type and a second conductivity type different from the first conductivity type; and An electrode structure is formed in the groove. 如請求項11之半導體裝置的形成方法,其中進行該第一離子佈植包括不對該溝槽的底部進行離子佈植。The method for forming a semiconductor device according to claim 11, wherein performing the first ion implantation includes not performing ion implantation on the bottom of the trench. 如請求項11之半導體裝置的形成方法,更包括:對該溝槽的底部進行一第三離子佈植以形成一底部摻雜區,其中該底部摻雜區具有該第二導電型。The method for forming a semiconductor device according to claim 11 further includes: performing a third ion implantation on the bottom of the trench to form a bottom doped region, wherein the bottom doped region has the second conductivity type. 如請求項13之半導體裝置的形成方法,更包括: 在形成該溝槽的同時,於該溝槽的一第二方向形成一另一溝槽於該磊晶層中,其中該第二方向垂直於該第一方向; 在形成該摻雜區的同時,形成一另一摻雜區圍繞該另一溝槽,其中該另一摻雜區藉由該磊晶層與該摻雜區分開;以及 在形成該電極結構的同時,於該電極結構的該第二方向形成一另一電極結構於該另一溝槽中。 The method for forming a semiconductor device as claimed in claim 13, further comprising: while forming the trench, forming another trench in the epitaxial layer in a second direction of the trench, wherein the second direction is perpendicular to the first direction; While forming the doped region, forming another doped region surrounding the other trench, wherein the another doped region is separated from the doped region by the epitaxial layer; and While forming the electrode structure, another electrode structure is formed in the other groove along the second direction of the electrode structure. 如請求項11之半導體裝置的形成方法,其中沿著該第一方向將該溝槽分為一第一區與一第二區,其中該摻雜區形成於該第一區,且該形成方法更包括: 在該第二區形成一另一摻雜區圍繞該溝槽。 The method for forming a semiconductor device according to claim 11, wherein the trench is divided into a first region and a second region along the first direction, wherein the doped region is formed in the first region, and the forming method Also includes: Another doped region is formed in the second region to surround the trench. 如請求項15之半導體裝置的形成方法,其中在該第二區形成該另一摻雜區包括: 過填充一光阻於該第一區的該溝槽中; 分別對該第二區的該溝槽的兩側側壁進行一第三離子佈植與一第四離子佈植,以形成具有不同的導電型的一第三側壁摻雜區與一第四側壁摻雜區;去除該光阻。 The method for forming a semiconductor device according to claim 15, wherein forming the other doped region in the second region comprises: overfilling a photoresist in the trench in the first region; Performing a third ion implantation and a fourth ion implantation on both sides of the trench in the second region respectively to form a third sidewall doped region and a fourth sidewall doped region with different conductivity types. heterogeneous area; remove the photoresist. 如請求項16之半導體裝置的形成方法,其中形成該摻雜區更包括:在進行該第一離子佈植與該第二離子佈植之前,過填充一另一光阻於該第二區的該溝槽中;以及在進行該第一離子佈植與該第二離子佈植之後,去除該另一光阻。 The method for forming a semiconductor device according to claim 16, wherein forming the doped region further includes: before performing the first ion implantation and the second ion implantation, overfilling another photoresist in the second region in the trench; and after performing the first ion implantation and the second ion implantation, removing the other photoresist. 如請求項15之半導體裝置的形成方法,其中在該第一區形成該摻雜區與該第二區形成該另一摻雜區更包括:同時對該第一區與該第二區的該溝槽的底部進行一第三離子佈植以形成一底部摻雜區,其中該底部摻雜區具有該第二導電型。 The method for forming a semiconductor device according to claim 15, wherein forming the doped region in the first region and forming the other doped region in the second region further includes: simultaneously forming the doped region in the first region and the second region A third ion implantation is performed on the bottom of the trench to form a bottom doped region, wherein the bottom doped region has the second conductivity type. 如請求項15之半導體裝置的形成方法,其中在該第一區形成該摻雜區與在該第二區形成該另一摻雜區包括:同時對該第一區與該第二區的該溝槽的一側側壁進行該第一離子佈植,以在該第一區與該第二區分別形成該第一側壁摻雜區與一第三側壁摻雜區;過填充一光阻於該第一區的該溝槽中;對該第二區的該溝槽的另一側側壁進行一第三離子佈植,以在該第二區形成一第四側壁摻雜區; 去除該光阻;過填充一另一光阻於該第二區的該溝槽中;對該第一區的該溝槽的另一側側壁進行該第二離子佈植,以在該第一區形成該第二側壁摻雜區;以及去除該另一光阻。 The method for forming a semiconductor device according to claim 15, wherein forming the doped region in the first region and forming the other doped region in the second region comprises: simultaneously performing the operations on the first region and the second region performing the first ion implantation on one side wall of the trench to form the first side wall doped region and a third side wall doped region in the first region and the second region respectively; overfilling a photoresist in the In the trench in the first region; performing a third ion implantation on the other side of the trench in the second region to form a fourth sidewall doped region in the second region; removing the photoresist; overfilling another photoresist in the trench in the second region; performing the second ion implantation on the other side wall of the trench in the first region, so that the first forming the second sidewall doped region; and removing the other photoresist. 如請求項19之半導體裝置的形成方法,其中在該第一區形成該摻雜區與該第二區形成該另一摻雜區更包括:在該第二區的該溝槽中過填充該光阻的情況下,對該第一區的該溝槽的底部進行一第四離子佈植以形成一底部摻雜區,其中該底部摻雜區具有該第二導電型。 The method for forming a semiconductor device according to claim 19, wherein forming the doped region in the first region and forming the other doped region in the second region further includes: overfilling the trench in the second region In the case of photoresist, a fourth ion implantation is performed on the bottom of the trench in the first region to form a bottom doped region, wherein the bottom doped region has the second conductivity type.
TW111143351A 2022-11-14 2022-11-14 Semiconductor device and the method for forming the same TWI805524B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW111143351A TWI805524B (en) 2022-11-14 2022-11-14 Semiconductor device and the method for forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW111143351A TWI805524B (en) 2022-11-14 2022-11-14 Semiconductor device and the method for forming the same

Publications (2)

Publication Number Publication Date
TWI805524B true TWI805524B (en) 2023-06-11
TW202420589A TW202420589A (en) 2024-05-16

Family

ID=87803066

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111143351A TWI805524B (en) 2022-11-14 2022-11-14 Semiconductor device and the method for forming the same

Country Status (1)

Country Link
TW (1) TWI805524B (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202228286A (en) * 2021-01-06 2022-07-16 南韓商三星電子股份有限公司 Semiconductor devices
TW202240894A (en) * 2021-04-14 2022-10-16 台灣積體電路製造股份有限公司 Semiconductor device and method for manufacturing the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202228286A (en) * 2021-01-06 2022-07-16 南韓商三星電子股份有限公司 Semiconductor devices
TW202240894A (en) * 2021-04-14 2022-10-16 台灣積體電路製造股份有限公司 Semiconductor device and method for manufacturing the same

Similar Documents

Publication Publication Date Title
US9865694B2 (en) Split-gate trench power mosfet with protected shield oxide
US10050126B2 (en) Apparatus and method for power MOS transistor
US10636883B2 (en) Semiconductor device including a gate trench and a source trench
US20130134505A1 (en) Semiconductor device for power and method of manufacture thereof
JP2007311557A (en) Semiconductor device, and its manufacturing method
JP2009526410A (en) Low resistance gate in power MOSFET technology and manufacturing method thereof
CN107910267B (en) Power semiconductor device and method of manufacturing the same
US11056587B2 (en) Semiconductor device and method for fabricating the same
CN111092123A (en) Lateral double-diffused transistor and manufacturing method thereof
CN107910266B (en) Power semiconductor device and method of manufacturing the same
JP2018082114A (en) Semiconductor device manufacturing method
US10529847B2 (en) Trench power semiconductor component and method of manufacturing the same
US10128368B2 (en) Double gate trench power transistor and manufacturing method thereof
CN107910269B (en) Power semiconductor device and method of manufacturing the same
TWI751431B (en) Semiconductor device with reduced flicker noise
CN111508843B (en) Semiconductor device and method of forming the same
CN107910268B (en) Power semiconductor device and method of manufacturing the same
KR20170084698A (en) Combined gate and source trench formation and related structure
TWI732182B (en) Semiconductor devices and methods for forming the same
JP2018152522A (en) Semiconductor device and method of manufacturing the same
CN107910270B (en) Power semiconductor device and method of manufacturing the same
CN107910271B (en) Power semiconductor device and method of manufacturing the same
TWI805524B (en) Semiconductor device and the method for forming the same
TW202420589A (en) Semiconductor device and the method for forming the same
TWI817719B (en) Semiconductor structure and the method for forming the same