TWI794416B - Metrology of multi-layer stacks and interferometer system - Google Patents

Metrology of multi-layer stacks and interferometer system Download PDF

Info

Publication number
TWI794416B
TWI794416B TW108105958A TW108105958A TWI794416B TW I794416 B TWI794416 B TW I794416B TW 108105958 A TW108105958 A TW 108105958A TW 108105958 A TW108105958 A TW 108105958A TW I794416 B TWI794416 B TW I794416B
Authority
TW
Taiwan
Prior art keywords
sample
tested
interference
interface
compensated
Prior art date
Application number
TW108105958A
Other languages
Chinese (zh)
Other versions
TW201944025A (en
Inventor
萊思禮 L 迪克
古魯特 彼德 J De
Original Assignee
美商賽格股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商賽格股份有限公司 filed Critical 美商賽格股份有限公司
Publication of TW201944025A publication Critical patent/TW201944025A/en
Application granted granted Critical
Publication of TWI794416B publication Critical patent/TWI794416B/en

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/0209Low-coherence interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • G01B11/2441Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02001Interferometers characterised by controlling or generating intrinsic radiation properties
    • G01B9/02002Interferometers characterised by controlling or generating intrinsic radiation properties using two or more frequencies
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/026Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness by measuring distance between sensor and object
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0675Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02001Interferometers characterised by controlling or generating intrinsic radiation properties
    • G01B9/02002Interferometers characterised by controlling or generating intrinsic radiation properties using two or more frequencies
    • G01B9/02004Interferometers characterised by controlling or generating intrinsic radiation properties using two or more frequencies using frequency scans
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02001Interferometers characterised by controlling or generating intrinsic radiation properties
    • G01B9/02007Two or more frequencies or sources used for interferometric measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02001Interferometers characterised by controlling or generating intrinsic radiation properties
    • G01B9/0201Interferometers characterised by controlling or generating intrinsic radiation properties using temporal phase variation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02015Interferometers characterised by the beam path configuration
    • G01B9/02016Interferometers characterised by the beam path configuration contacting two or more objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02015Interferometers characterised by the beam path configuration
    • G01B9/02027Two or more interferometric channels or interferometers
    • G01B9/02028Two or more reference or object arms in one interferometer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/02056Passive reduction of errors
    • G01B9/02057Passive reduction of errors by using common path configuration, i.e. reference and object path almost entirely overlapping
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/0207Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer
    • G01B9/02072Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer by calibration or testing of interferometer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/0207Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer
    • G01B9/02072Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer by calibration or testing of interferometer
    • G01B9/02074Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer by calibration or testing of interferometer of the detector
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/02075Reduction or prevention of errors; Testing; Calibration of particular errors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02084Processing in the Fourier or frequency domain when not imaged in the frequency domain

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Signal Processing (AREA)
  • Mathematical Physics (AREA)
  • Instruments For Measurement Of Length By Optical Means (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

Techniques for removing interferometry signal phase variations caused by distortion and other effects in a multi-layer stack include: providing an electronic processor sample interferometry data acquired for the stack using a low coherence imaging interferometry system; transforming, by the electronic processor, the sample interferometry data to a frequency domain; identifying a non-linear phase variation from the sample interferometry data in the frequency domain, in which the non-linear phase variation is a result of dispersion introduced into a measurement beam by the test sample; and removing the non-linear phase variation from the sample interferometry data thereby producing compensated interferometry data.

Description

多層堆疊結構之計量方法及干涉儀系統 Metrology method and interferometer system for multi-layer stacked structure

本發明有關於多層堆疊結構之量測方法。 The present invention relates to a measurement method for a multilayer stacked structure.

用於穿戴式虛擬實境和/或擴增實境(VR/AR)的裝置通常採用包含多個平行板的堆疊。堆疊內的平行板的表面可具作為波導的特徵和塗層,使得當設備放置在用戶眼前時,來自裝置周圍的光資訊被傳輸並重定向到眼睛以產生資料或影像的疊加,而不阻礙正常視力。在一些情況下,堆疊採用平行設置的許多平板,其中每個平板引導不同顏色的光(例如,紅光、綠光和藍光)。為了保持高品質的影像,重要的是在平板之間維持良好的平行度,以確保某些表面具有期望的平坦度,並且在製造期間保持平板之間的特定間隔距離以及其他因素。然而,由於受由堆疊內的表面或特徵反射回來之非期望的光的影響,這些參數的測量可能是困難的。 Devices for wearable virtual and/or augmented reality (VR/AR) typically employ stacks comprising multiple parallel plates. The surfaces of the parallel plates within the stack can be characterized and coated as waveguides so that when the device is placed in front of the user's eyes, light information from around the device is transmitted and redirected to the eye to produce a superimposition of data or images without hindering normal vision. In some cases, the stack employs many slabs arranged in parallel, where each slab directs a different color of light (eg, red, green, and blue). To maintain high-quality images, it is important to maintain good parallelism between the plates, to ensure that certain surfaces have the desired flatness, and to maintain specific separation distances between plates during manufacturing, among other factors. However, measurement of these parameters can be difficult due to the influence of undesired light reflected back from surfaces or features within the stack.

本發明有關於多層堆疊的計量方法。 The present invention relates to a method of metering multilayer stacks.

一般而言,在一些實施例中,本發明標的可藉由一種方法來實施,該方法包括:使用一低同調影像干涉儀系統,提供一待測樣品之一樣品干涉資料至一電子處理器,其中該待測樣品包括一堆疊中之複數層;使用該電子處理器,將該樣品干涉資料轉換至一頻率域;識別該樣品干涉資料在該頻率域 中之一非線性相位變化,其中已識別之該非線性相位變化是一量測光束入射至該待測樣品後發生色散之結果;以及從該樣品干涉資料中,移除在該頻率域中之已識別之該非線性相位變化,藉此產生一補償後干涉資料。 In general, in some embodiments, the subject matter of the present invention may be practiced by a method comprising: using a low-coherence image interferometer system, providing sample interference data of a sample under test to an electronic processor, Wherein the sample to be tested includes a plurality of layers in a stack; using the electronic processor, converting the sample interference data to a frequency domain; identifying the sample interference data in the frequency domain a nonlinear phase change in which the identified nonlinear phase change is the result of dispersion of a measurement beam incident upon the sample to be measured; The nonlinear phase change is identified, thereby generating a compensated interferometric data.

該方法的實施可以包括一個或多個下述技術特徵和/或其他實施例的技術特徵。舉例而言,在一些實施例中,該方法包括:引導該量測光束沿著一量測光束路徑,入射至該待測樣品;引導一參考光束沿著一參考光束路徑,入射至一參考面,其中該量測光束與該參考光束來自一共同光源產生之光,該光包含複數波長,其中該待測樣品對該等波長至少是部分透明的;在該參考光束與該量測光束分別入射至該參考面與該待測樣品後,結合該參考光束與該量測光束,形成一輸出光束;引導該輸出光束至一偵測器陣列,該偵測器陣列包含複數偵測器元件;以及記錄來自該偵測器陣列之複數干涉訊號,該等干涉訊號之每一者對應於該待測樣品上之不同位置,該樣品干涉資料包含該等干涉訊號。 Implementation of the method may include one or more of the following technical features and/or technical features of other embodiments. For example, in some embodiments, the method includes: guiding the measuring beam along a measuring beam path, incident to the sample to be measured; guiding a reference beam along a reference beam path, incident on a reference surface , wherein the measurement beam and the reference beam come from light generated by a common light source, the light includes a plurality of wavelengths, wherein the sample to be measured is at least partially transparent to these wavelengths; when the reference beam and the measurement beam are respectively incident After reaching the reference surface and the sample to be measured, combining the reference beam and the measurement beam to form an output beam; guiding the output beam to a detector array, the detector array includes a plurality of detector elements; and A plurality of interference signals from the detector array are recorded, each of the interference signals corresponds to a different position on the sample to be tested, and the sample interference data includes the interference signals.

在一些實施例中,識別該樣品干涉資料之該非線性相位變化的步驟,更包括:在該頻率域中,從該干涉訊號之至少一子集合中,取得一平均相位變化;以及取得擬合該平均相位變化之一擬合函式,其中移除已識別之該非線性相位變化的步驟包括:在該頻率域中,從該樣品干涉資料中,移除該擬合函式。擬合該平均相位變化之該擬合函式具有二次形式。擬合該平均相位變化之該擬合函式為次冪大於兩次之多項式。 In some embodiments, the step of identifying the nonlinear phase variation of the sample interference data further includes: obtaining an average phase variation from at least a subset of the interference signals in the frequency domain; A fitting function of the mean phase variation, wherein the step of removing the identified nonlinear phase variation comprises: removing the fitting function from the sample interference data in the frequency domain. The fitting function that fits the mean phase change has a quadratic form. The fitting function for fitting the average phase change is a polynomial whose power is greater than twice.

在一些實施例中,該方法包括:將該補償後干涉資料轉換回一時間域,其中在該時間域中之該補償後干涉資料包括複數補償後干涉訊號;以及使用該電子處理器,處理在該時間域中之該補償後干涉資料,以決定與該待測樣品有關的資訊。處理在該時間域中之該補償後干涉資料,以決定與該待測樣品有關的資訊的步驟更包括:決定該待測樣品中之一第一界面與一第二界面之 間的一距離。決定該待測樣品中之該第一界面與該第二界面之間的該距離的步驟更包括:對於該等補償後干涉訊號之每一者,識別對應於該待測樣品中之該第一界面的一第一強度峰值與對應於該待測樣品中之該第二界面的一第二強度峰值;以及對於該等複數補償後干涉訊號之每一者,求出已識別之該第一強度峰值出現的位置與已識別之該第二強度峰值出現的位置之間的一間隔。該方法更包括:根據對於該等補償後干涉訊號之每一者求出之該間隔,決定該第一界面與該第二界面之間的平行度。處理在該時間域中之該補償後干涉資料,以決定與該待測樣品有關的資訊的步驟更包括:決定該待測樣品中之一第一界面之平坦度。處理在該時間域中之該補償後干涉資料,以決定與該待測樣品有關的資訊的步驟更包括:決定該待測樣品中一第一平板之厚度。處理在該時間域中之該補償後干涉資料,以決定與該待測樣品有關的資訊的步驟更包括:決定該待測樣品中之一薄膜之厚度。在該待測樣品中有兩個平板被一間隙所分隔,且其中處理在該時間域中之該補償後干涉資料,以決定與該待測樣品有關的資訊的步驟更包括:決定該等兩個平板之間的該間隙的厚度。該方法更包括產生該間隙之一三維形貌圖。該方法更包括決定該間隙之一平均厚度。 In some embodiments, the method includes: converting the compensated interferometric data back to a time domain, wherein the compensated interferometric data in the time domain comprises complex compensated interferometric signals; and using the electronic processor, processing the The compensated interference data in the time domain is used to determine information related to the sample to be tested. The step of processing the compensated interference data in the time domain to determine information related to the sample further includes: determining a relationship between a first interface and a second interface in the sample a distance between. The step of determining the distance between the first interface and the second interface in the test sample further includes: for each of the compensated interference signals, identifying the first interface corresponding to the test sample a first intensity peak of the interface and a second intensity peak corresponding to the second interface in the sample to be tested; and for each of the complex compensated interference signals, the identified first intensity is obtained The interval between where the peak occurs and where the identified second intensity peak occurs. The method further includes: determining a degree of parallelism between the first interface and the second interface based on the separation obtained for each of the compensated interference signals. The step of processing the compensated interference data in the time domain to determine information related to the test sample further includes: determining a flatness of a first interface in the test sample. The step of processing the compensated interference data in the time domain to determine information related to the test sample further includes: determining a thickness of a first plate in the test sample. The step of processing the compensated interference data in the time domain to determine information about the test sample further includes determining a thickness of a film in the test sample. There are two plates separated by a gap in the sample to be tested, and the step of processing the compensated interference data in the time domain to determine information related to the sample to be tested further includes: determining the two plates The thickness of the gap between the plates. The method further includes generating a three-dimensional topography map of the gap. The method further includes determining an average thickness of the gap.

在一些實施例中,該方法包括:執行該堆疊之一初始掃描,以識別與該堆疊中之至少一候選界面位置有關的資訊;根據該待測樣品中與該至少一候選界面位置有關的該資訊,重新定位一干涉儀物鏡及/或該待測樣品,以將該堆疊的一第一界面定位在該量測光束的一焦平面附近;以及平移該干涉儀物鏡及/或該待測樣品,擷取該樣品干涉資料,使得該第一界面穿過該焦平面。執行該初始掃描的步驟包括:將該干涉儀物鏡及/或該待測樣品相對平移;在將該干涉儀物鏡及/或該待測樣品相對平移的期間,記錄來自該偵測器陣列之該等干涉訊號,該等干涉訊號之每一者對應於該待測樣品上的不同位置,並且被以一干涉條紋頻率(interference fringe frequency)之次奈奎斯特頻率(sub-Nyquist frequency)進行取樣;以及根據該等干涉訊號,決定該至少一候選界面位置。執行該初始掃描的步驟包括:將該待測樣品定位在相對於該干涉儀物鏡的一第一位置;執行該干涉儀物鏡及/或該待測樣品相對於彼此的一第一次平移;在該第一次平移期間,記錄來自該偵測器陣列之複數第一干涉訊號;將該待測樣品定位在相對於該干涉儀物鏡的一第二位置;執行該干涉儀物鏡及/或該待測樣品相對於彼此的一第二次平移;在該第二次平移期間,記錄來自該偵測器陣列之複數第二干涉訊號;以及根據該等第一干涉訊號與該等第二干涉訊號,決定該至少一候選界面位置。 In some embodiments, the method includes: performing an initial scan of the stack to identify information related to at least one candidate interface location in the stack; information, repositioning an interferometer objective and/or the sample to be measured so that a first interface of the stack is positioned near a focal plane of the measurement beam; and translating the interferometer objective and/or the sample to be measured , extracting the sample interference data such that the first interface passes through the focal plane. The step of performing the initial scan includes: relatively translating the interferometer objective lens and/or the test sample; during the relative translation of the interferometer objective lens and/or the test sample, recording the Each of these interference signals corresponds to a different position on the sample to be measured, and is measured by an interference fringe frequency (interference fringe frequency) sub-Nyquist frequency (sub-Nyquist frequency) sampling; and determining the at least one candidate interface position according to the interference signals. The step of performing the initial scan includes: positioning the sample to be measured in a first position relative to the interferometer objective; performing a first translation of the interferometer objective and/or the sample to be measured relative to each other; During the first translation, record a plurality of first interference signals from the detector array; position the sample to be tested in a second position relative to the interferometer objective; execute the interferometer objective and/or the detecting a second translation of the samples relative to each other; during the second translation, recording a plurality of second interference signals from the detector array; and based on the first interference signals and the second interference signals, Determine the at least one candidate interface position.

在一些實施例中,在該堆疊中之該等層中至少有一者為一玻璃平板。 In some embodiments, at least one of the layers in the stack is a glass plate.

在一些實施例中,該堆疊包括一第一平板,以及在該第一平板上一第一表面上形成的一介電膜。 In some embodiments, the stack includes a first plate, and a dielectric film formed on a first surface of the first plate.

在一些實施例中,該堆疊包括一第一平板,以及在該第一平板上一第一表面上形成的一第一繞射光柵。該第一繞射光柵為一光學耦合器,該光學耦合器用於將光耦合進入該第一平板、耦合離開該第一平板,或耦合進入及離開該第一平板。該堆疊包括在該第一平板上一第二表面上形成的一第二繞射光柵。該第二繞射光柵為一光學耦合器,該光學耦合器用於將光耦合進入該第一平板、耦合離開該第一平板,或耦合進入及離開該第一平板。 In some embodiments, the stack includes a first flat plate, and a first diffraction grating formed on a first surface of the first flat plate. The first diffraction grating is an optical coupler for coupling light into the first plate, out of the first plate, or into and out of the first plate. The stack includes a second diffraction grating formed on a second surface of the first plate. The second diffraction grating is an optical coupler for coupling light into the first plate, out of the first plate, or into and out of the first plate.

在一些實施例中,該共同光源為一白光光源。 In some embodiments, the common light source is a white light source.

在一些實施例中,使用該低同調影像干涉儀系統取得一範圍之波數,該樣品干涉資料之該非線性相位變化是在該範圍內之波數識別的。 In some embodiments, a range of wavenumbers over which the nonlinear phase change of the sample interferometric data is identified is obtained using the low-coherence image interferometer system.

一般而言,在一些實施例中,本發明標的可藉由一種系統來實施,該系統,包括:一低同調光源,用以發射具有複數波長之光;一干涉儀物鏡,用以接收來自該低同調光源之光,引導一部分的光作為一參考光束而沿著一參 考光束路徑至一參考面,引導另一部分的光作為一量測光束而沿著一量測光束路徑至一待測樣品,且在該參考光束與該量測光束分別從該參考面與該待測樣品反射之後,將該參考光束與該量測光束結合為一輸出光束;一偵測器陣列,用以接收來自該干涉儀物鏡之該輸出光束,並產生一樣品干涉資料,該樣品干涉資料包括與該待測樣品有關之資訊,該樣品干涉資料包括複數干涉訊號,該等干涉訊號之每一者對應於該待測樣品上的不同位置;一電子處理器,該電子處理器與該偵測器陣列彼此通訊,該電子處理器用以將該樣品干涉資料轉換至一頻率域,其中該電子處理器更用以識別該樣品干涉資料在該頻率域中之一非線性相位變化,其中該非線性相位變化是該量測光束入射至該待測樣品後發生色散之結果,並從該樣品干涉資料中,移除該非線性相位變化,藉此產生一補償後干涉資料。 In general, in some embodiments, the subject matter of the present invention may be implemented by a system comprising: a low-coherence light source for emitting light having a complex number of wavelengths; an interferometer objective lens for receiving light from the Light from a low-coherence light source, directing a portion of the light as a reference beam along a reference The reference beam path to a reference surface, guide another part of the light as a measurement beam along a measurement beam path to a sample to be measured, and when the reference beam and the measurement beam are respectively from the reference surface and the sample to be measured After measuring the reflection of the sample, the reference beam and the measurement beam are combined into an output beam; a detector array is used to receive the output beam from the interferometer objective lens and generate a sample interference data, the sample interference data Including information related to the sample to be tested, the sample interference data includes a plurality of interference signals, each of which corresponds to a different position on the sample to be tested; an electronic processor, the electronic processor and the detection The detector array communicates with each other, the electronic processor is used to convert the sample interference data into a frequency domain, wherein the electronic processor is further used to identify a nonlinear phase change of the sample interference data in the frequency domain, wherein the nonlinear The phase change is the result of dispersion after the measurement beam is incident on the sample to be measured, and the nonlinear phase change is removed from the sample interference data, thereby generating a compensated interference data.

該系統的實施包括一個或多個以下技述特徵。舉例而言,在一些實施例中,該電子處理器進一步用以:在該頻率域中,從該干涉訊號之至少一子集合中,取得一平均相位變化;以及使用一擬合函式擬合該平均相位變化。該擬合函式具有二次形式。該擬合函式為次冪大於兩次之多項式。該電子處理器進一步用以:將該補償後干涉資料轉換回一時間域,其中在該時間域中之該補償後干涉資料包括複數補償後干涉訊號;以及處理在該時間域中之該補償後干涉資料,以決定與該待測樣品有關的資訊。與該待測樣品有關的資訊包括該待測樣品中之一第一界面與一第二界面之間的一距離。處理在該時間域中之該補償後干涉資料,以決定該待測樣品中之該第一界面與該第二界面之間的該距離包括:對於該等補償後干涉訊號之每一者,識別對應於該待測樣品中之該第一界面的一第一強度峰值與對應於該待測樣品中之該第二界面的一第二強度峰值;以及對於該等複數補償後干涉訊號之每一者,求出已識別之該第一強度峰值出現的位置與已識別之該第二強度峰值出現的位置之間的一間隔。與該待測 樣品有關的資訊包括該待測樣品中之一第一界面之平坦度。與該待測樣品有關的資訊包括該待測樣品中一第一平板之厚度。在該待測樣品中有兩個平板被一間隙所分隔,且其中與該待測樣品有關的資訊包括該等兩個平板之間的該間隙的厚度。與該待測樣品有關的資訊包括該待測樣品中之一薄膜之厚度。 Implementations of the system can include one or more of the following described features. For example, in some embodiments, the electronic processor is further configured to: obtain an average phase change from at least a subset of the interferometric signals in the frequency domain; and use a fitting function to fit The average phase change. The fitting function has a quadratic form. The fitting function is a polynomial whose power is greater than twice. The electronic processor is further configured to: convert the compensated interferometric data back to a time domain, wherein the compensated interferometric data in the time domain includes complex compensated interferometric signals; and process the compensated interferometric signals in the time domain interference data to determine information related to the sample to be tested. The information related to the sample to be tested includes a distance between a first interface and a second interface in the sample to be tested. Processing the compensated interference data in the time domain to determine the distance between the first interface and the second interface in the sample under test includes: for each of the compensated interference signals, identifying a first intensity peak corresponding to the first interface in the sample to be tested and a second intensity peak corresponding to the second interface in the sample to be tested; and for each of the complex compensated interference signals Alternatively, an interval between the identified position where the first intensity peak appears and the identified position where the second intensity peak appears is obtained. with the test The information about the sample includes the flatness of a first interface in the sample to be tested. The information related to the sample to be tested includes the thickness of a first flat plate in the sample to be tested. There are two flat plates separated by a gap in the sample to be tested, and the information related to the sample to be tested includes the thickness of the gap between the two flat plates. The information related to the sample to be tested includes the thickness of a film in the sample to be tested.

在一些實施例中,該干涉儀物鏡包括一麥克生干涉儀(Michelson interferometer)物鏡。 In some embodiments, the interferometer objective comprises a Michelson interferometer objective.

在一些實施例中,該干涉儀物鏡包括一Mirau干涉儀物鏡、一Linnik干涉儀物鏡,或一廣視野(wide field)物鏡。 In some embodiments, the interferometer objective comprises a Mirau interferometer objective, a Linnik interferometer objective, or a wide field objective.

在一些實施例中,該低同調光源包括一白光光源。 In some embodiments, the low-coherence light source includes a white light source.

98、99、152:條紋 98, 99, 152: stripes

150:干涉訊號 150: Interference signal

151:干涉圖案 151: Interference pattern

154:包絡 154:Envelope

190:干涉訊號 190: Interference signal

191:物體 191: object

192:基板 192: Substrate

193:薄膜 193: film

194:界面 194: interface

195:界面 195: interface

196:第一干涉圖案 196: The first interference pattern

197:第二干涉圖案 197: Second interference pattern

201:光源 201: light source

202、203:透鏡 202, 203: lens

204:光闌 204: Aperture

205:光源模組 205: Light source module

206:照射光 206: Irradiate light

208:分光器 208: Optical splitter

210:Mirau干涉物鏡組件 210: Mirau interference objective lens assembly

211:物鏡 211: objective lens

212:參考平板 212: Reference plate

213:分光器 213: Optical splitter

215:參考鏡 215: Reference Mirror

220:待測樣品 220: sample to be tested

222:參考光束 222: Reference beam

224:量測光束 224: Measuring beam

230:成像透鏡 230: imaging lens

240:偵測器 240: Detector

245:光瞳平面 245: Pupil plane

260:壓電轉換器 260:Piezoelectric transducer

270:壓電致動器 270:Piezoelectric Actuator

300:元件 300: components

302、304、306、308:平板 302, 304, 306, 308: flat panel

310:間隙 310: Gap

312:樣品夾具 312: sample holder

314:表面 314: surface

316:量測光束 316: Measuring beam

318:光軸 318: optical axis

500、600:流程 500, 600: process

502、504、506、508、510、512:步驟 502, 504, 506, 508, 510, 512: steps

602、604、606、608、610、612:步驟 602, 604, 606, 608, 610, 612: steps

1200:界線 1200: Boundary

第1圖是掃描式白光干涉儀(scanning white light interferometry,SWLI)訊號的例子。 Figure 1 is an example of a scanning white light interferometry (SWLI) signal.

第2圖是包含薄膜之待測樣品之掃描式白光干涉儀訊號的例子。 Figure 2 is an example of a scanning white light interferometer signal for a sample to be measured including a thin film.

第3圖是掃描式Mirau干涉儀的示意圖。 Figure 3 is a schematic diagram of a scanning Mirau interferometer.

第4圖是一個光學裝置的示意圖。 Figure 4 is a schematic diagram of an optical device.

第5圖是的量測具有多層堆疊之光學裝置之表面形貌的流程圖。 FIG. 5 is a flow chart of measuring the surface topography of an optical device with a multilayer stack.

第6圖是補償色散的流程圖。 Fig. 6 is a flowchart for compensating for dispersion.

第7A圖是一個玻璃平板的表面,其干涉訊號在時間域的示意圖。 FIG. 7A is a schematic diagram of the interference signal on the surface of a glass plate in the time domain.

第7B圖是一個玻璃平板的表面,其干涉訊號在時間域的示意圖。 Fig. 7B is a schematic diagram of the interference signal on the surface of a glass plate in the time domain.

第8圖顯示一個干涉訊號在其頻譜峰值附近的相位變化。 Figure 8 shows the phase variation of an interfering signal around its spectral peak.

第9A圖和第9B圖分別顯示該玻璃平板之後表面在色散補償前後的干涉訊號。 Fig. 9A and Fig. 9B respectively show the interference signal of the rear surface of the glass plate before and after dispersion compensation.

第10圖是執行快速掃描所得到的干涉訊號。 Figure 10 is the interference signal obtained by performing a fast scan.

第11A圖是在色散補償之前,在偵測器中得到之干涉訊號在時間域的示意圖。 FIG. 11A is a schematic diagram of the interference signal obtained in the detector in the time domain before dispersion compensation.

第11B圖是第11A圖之時間域干涉訊號在色散補償之後的示意圖。 FIG. 11B is a schematic diagram of the time-domain interference signal in FIG. 11A after dispersion compensation.

第12A圖和第12B圖分別是在玻璃平板堆疊中,第一表面和第二表面的表面形貌圖。 Figures 12A and 12B are surface topography images of the first surface and the second surface, respectively, in a stack of glass plates.

第13圖是第12A圖和第12B圖之第一表面和第二表面之間的間隙厚度的三維圖示。 Figure 13 is a three-dimensional representation of the thickness of the gap between the first and second surfaces of Figures 12A and 12B.

參考第1圖,一個模擬低同調(low coherence)的干涉訊號150包含複數偵測器強度數值,偵測器的強度數值是從物體上的單一點(例如具有單一反射介面的矽晶圓)取得的。將強度數值繪示為光程差(optical path length difference,OPD)的函數,光程差是從物體上的一個點反射回來的光與從干涉儀中的參考物反射回來的光,兩者所走路徑的差值。干涉訊號150是低同調掃描式干涉儀(low coherence scanning interferometry,CSI)掃描光程差路徑產生的訊號,例如移動光學元件及/或物體,以改變從物體反射回來的光所走的路徑或參考光束所走的路徑。 Referring to FIG. 1, a simulated low coherence interference signal 150 includes complex detector intensity values obtained from a single point on an object (such as a silicon wafer with a single reflective interface) of. The intensity values are plotted as a function of the optical path length difference (OPD), which is the difference between the light reflected from a point on the object and the light reflected from a reference object in the interferometer. The difference between the paths taken. The interference signal 150 is a signal generated by a low coherence scanning interferometry (CSI) scanning optical path difference path, such as moving an optical element and/or object to change the path or reference of light reflected from the object. The path taken by the beam.

參考第1圖,將強度數值繪示為光程差(此處為掃描位置)的函數,並繪製出具有複數條紋152的干涉圖案151,條紋152會在最大值的兩側受低同調包絡(low coherence envelop)154之限制而遞減。若無低同調包絡,干涉圖案的條紋通常會在很大的光程差範圍內顯示出類似的振幅。低同調包絡本身不會出現在干涉訊號中,將其繪示出來僅是為了說明之用。干涉圖案在光程差座標軸上的位置通常與零光程差(zero OPD)的位置有關,例如從物體上的點反射回來 的光與從參考物反射回來的光,兩者之間零光程差的掃描位置或空間位置。零光程差的掃描位置是物體表面形貌的函數,物體表面形貌用於描述物體上每一個點的相對高度,以及物體本身的偏向(orientation)與位置,零光程差的掃描位置影響物體上每一個點相對於干涉儀的位置。在一些實施例中,干涉訊號也包括待測樣品所貢獻的成分,例如材料間中間層(intervening layers)導致的色散與吸收。 Referring to Figure 1, intensity values are plotted as a function of optical path difference (here scan position) and an interference pattern 151 is plotted with a complex number of fringes 152 bounded by a low coherent envelope on either side of the maximum ( low coherence envelope)154 constraints. Without a low coherence envelope, the fringes of the interference pattern will usually show similar amplitudes over a wide range of optical path differences. The low coherence envelope itself does not appear in the interfering signal and is shown for illustrative purposes only. The position of the interference pattern on the optical path difference axis is usually related to the position of zero optical path difference (zero OPD), such as reflection from a point on the object The scanning position or spatial position of zero optical path difference between the light of the light and the light reflected from the reference object. The scanning position of zero optical path difference is a function of the surface topography of the object. The surface topography of the object is used to describe the relative height of each point on the object, as well as the orientation and position of the object itself. The scanning position of zero optical path difference affects The position of each point on the object relative to the interferometer. In some embodiments, the interference signal also includes components contributed by the sample to be measured, such as dispersion and absorption caused by intervening layers between materials.

調變條紋152振幅之低同調包絡154的寬度通常與接收到之偵測光的同調長度有關。決定同調長度的因素是時間同調現象(temporal coherence phenomena)和空間同調現象(spectral coherence phenomena),舉例而言,時間同調現象會與光源的頻寬有關,而空間同調現象會與照射物體之光的入射角範圍有關。通常而言,(1)增加光源頻寬,及/或(2)增加入射角範圍,同調長度會隨之減少。同調現象的整體表現可能由其中一種同調現象主導,或其中數種同時對整體的同調長度有實體貢獻,這與用來擷取資料之干涉儀的組態有關。藉由從具有單一反射表面(例如並非薄膜結構)之物體取得干涉訊號,可以決定干涉儀的同調長度。干涉長度對應於調變所觀測之干涉圖案之包絡的半高全寬。 The width of the low coherence envelope 154 of the modulated fringe 152 amplitude is generally related to the coherence length of the received detection light. The factors that determine the coherence length are the temporal coherence phenomenon (temporal coherence phenomenon) and the spatial coherence phenomenon (spectral coherence phenomenon). For example, the temporal coherence phenomenon is related to the bandwidth of the light source, and the spatial coherence phenomenon is related to the light irradiating the object. Depending on the angle of incidence. Generally speaking, as (1) increasing the bandwidth of the light source, and/or (2) increasing the range of incident angles, the coherence length decreases accordingly. Depending on the configuration of the interferometer used to acquire the data, the overall performance of coherence phenomena may be dominated by one of them, or several of them simultaneously contribute substantially to the overall coherence length. The coherence length of the interferometer can be determined by obtaining the interference signal from an object with a single reflective surface (eg, not a thin-film structure). The interference length corresponds to modulating the full width at half maximum of the envelope of the observed interference pattern.

如第1圖所示,干涉訊號150來自於檢測具有一系列光程差的光,光程差的變化幅度大於同調包絡的寬度,因此,大於檢測到的光的同調長度。通常,低同調干涉訊號可以藉由獲得由檢測到的光的同調包絡進行振幅調製的干涉條紋而產生。例如,當觀察到的干涉條紋的振幅相差至少20%、30%或50%時,就可以在光程差的路徑上獲得干涉圖案。舉例而言,條紋98的振幅峰值比條紋99的振幅峰值小約50%。 As shown in FIG. 1, the interference signal 150 results from the detection of light having a range of optical path differences that vary by a magnitude greater than the width of the coherence envelope and, therefore, greater than the coherence length of the detected light. In general, low coherence interference signals can be generated by obtaining interference fringes amplitude-modulated by the coherence envelope of the detected light. For example, when the amplitudes of the observed interference fringes differ by at least 20%, 30%, or 50%, an interference pattern can be obtained along the path of the optical path difference. For example, the peak amplitude of fringe 98 is about 50% smaller than the peak amplitude of fringe 99 .

低同調干涉儀能偵測光程差範圍內的干涉訊號,該干涉訊號的光程差大於或相當於干涉儀的同調長度。舉例而言,所偵測到的干涉訊號的光程差可能大於同調長度的至少兩倍以上(例如,約同調長度的3倍或以上、5倍或以 上、10倍或以上、50倍或以上、100倍或以上)。在一些實施例中,所偵測到之光的同調長度大約是物體特徵結構的高度變化的數量級,例如,大約幾微米或更小,但是大於檢測到的光的標稱(nominal)波長。 The low coherence interferometer can detect the interference signal within the optical path difference range, and the optical path difference of the interference signal is greater than or equal to the coherence length of the interferometer. For example, the optical path difference of the detected interference signal may be greater than at least twice the coherence length (e.g., about 3 times or more, 5 times or more) the coherence length above, 10 times or more, 50 times or more, 100 times or more). In some embodiments, the coherence length of the detected light is on the order of the height variation of object features, eg, on the order of a few microns or less, but greater than the nominal wavelength of the detected light.

參考第2圖,干涉訊號190擷取自物體191,物體191包含基板192與例為薄膜193之覆蓋層。基板192與薄膜之間定義出一個界面。薄膜的外表面195在物體與其周遭環境(例如空氣、其他玻璃或真空)之間定義出一個界面。界面的一般定義是一個物體的兩個位置之間的折射率變化。一個物體在與其他層之間可以包含許多膜。 Referring to FIG. 2 , an interference signal 190 is extracted from an object 191 comprising a substrate 192 and a cover layer such as a thin film 193 . An interface is defined between the substrate 192 and the film. The outer surface 195 of the film defines an interface between the object and its surrounding environment, such as air, other glass, or a vacuum. A general definition of an interface is the change in refractive index between two locations on an object. An object can contain many membranes between other layers.

干涉訊號190包括由界面194產生的第一干涉圖案196和由界面195產生的第二干涉圖案197。第一干涉圖案196和第二干涉圖案197重疊。例如,干涉圖案(196,197)的最大值被小於干涉儀的同調長度的光程差分開,並且干涉圖案(196,197)不被零強度區域分開。因為重疊的干涉圖案彼此扭曲,重疊的干涉圖案可能產生錯誤的結果。 The interference signal 190 includes a first interference pattern 196 generated by the interface 194 and a second interference pattern 197 generated by the interface 195 . The first interference pattern 196 and the second interference pattern 197 overlap. For example, the maxima of the interference patterns (196, 197) are separated by an optical path difference less than the coherence length of the interferometer, and the interference patterns (196, 197) are not separated by regions of zero intensity. Overlapping interference patterns may produce erroneous results because they distort each other.

舉例而言,干涉儀可為低同調掃描式干涉儀,包括Michelson、Linnik和Mirau干涉儀。第3圖示出了Michelson型式的掃描式干涉儀。光源模組205提供給分光器208,分光器208將照射光206引導到Mirau干涉物鏡組件210。照射光206可以包括來自寬頻帶光源的寬頻帶光(例如,白光),該寬頻帶光源具有產生所需之同調長度的光譜特性。Mirau干涉物鏡組件210包括物鏡211,在其中心部分上具有反射鍍膜的參考平板212(其定義出參考鏡215),以及分光器213。當操作時,物鏡211將照射光穿過參考平板212,聚焦到待測樣品220。分光器213將聚焦光的第一部分反射到參考鏡215,用以定義出參考光束222,並將聚焦光的第二部分透射到待測樣品220,用以定義量測光束224。然後,分光器213重新組合量測光束和參考光束,量測光束從待測樣品220反射(或散射)回來,參考光束從參考鏡215反射回來,並且物鏡211和成像透鏡230用以在偵測器240(例如, 多像素相機)中讓組合光彼此干涉成像。偵測器的測量訊號被發送到計算機(未圖示)。 For example, the interferometer can be a low-coherence scanning interferometer, including Michelson, Linnik, and Mirau interferometers. Figure 3 shows a scanning interferometer of the Michelson type. The light source module 205 is provided to a beam splitter 208 which directs the illumination light 206 to a Mirau interference objective lens assembly 210 . Illumination light 206 may include broadband light (eg, white light) from a broadband light source having spectral characteristics that produce the desired coherence length. The Mirau interference objective assembly 210 includes an objective lens 211 , a reference plate 212 with a reflective coating on its central portion (which defines a reference mirror 215 ), and a beam splitter 213 . When in operation, the objective lens 211 focuses illuminating light through the reference plate 212 onto the sample 220 to be measured. The beam splitter 213 reflects the first part of the focused light to the reference mirror 215 to define the reference beam 222 , and transmits the second part of the focused light to the sample 220 to define the measurement beam 224 . Then, the beam splitter 213 recombines the measuring beam and the reference beam, the measuring beam is reflected (or scattered) back from the sample 220 to be measured, the reference beam is reflected back from the reference mirror 215, and the objective lens 211 and the imaging lens 230 are used to detect device 240 (for example, In a multi-pixel camera), the combined light interferes with each other to form an image. The detector's measurement signals are sent to a computer (not shown).

第3圖實施例的掃描涉及壓電轉換器(piezoelectric transducer,PZT)260,壓電轉換器260耦接至Mirau干涉物鏡組件210,讓Mirau干涉物鏡組件210整體沿著物鏡211的光軸對於待測樣品220作掃瞄,在相機的每一個畫素產生掃描式的干涉訊號(例如I(ξ,h),其中I是代表干涉資料的訊號強度,ξ是與物體表面正交的干涉儀掃描座標,且h是表面的高度)。在其他實施例中,壓電轉換器耦接至待測樣品,而非耦接至Mirau干涉物鏡組件210,用以在待測樣品與Mirau干涉物鏡組件210之間提供相對移動,如所示之壓電致動器(PZT actuator)270。在一些實施例中,可以沿著物鏡211的光軸移動參考鏡215及分光器213的其中一者或其中兩者。 The scanning of the embodiment in Fig. 3 involves a piezoelectric transducer (piezoelectric transducer, PZT) 260, and the piezoelectric transducer 260 is coupled to the Mirau interference objective lens assembly 210, allowing the Mirau interference objective lens assembly 210 to be treated as a whole along the optical axis of the objective lens 211. The test sample 220 is scanned, and a scanning interference signal (such as I (ξ, h ) is generated at each pixel of the camera, where I represents the signal intensity of the interference data, and ξ is the interferometer scanning orthogonal to the object surface coordinates, and h is the height of the surface). In other embodiments, piezoelectric transducers are coupled to the sample under test, rather than to the Mirau interference objective assembly 210, to provide relative movement between the sample under test and the Mirau interference objective assembly 210, as shown. Piezoelectric actuator (PZT actuator) 270 . In some embodiments, one or both of the reference mirror 215 and the beam splitter 213 can be moved along the optical axis of the objective lens 211 .

光源模組205包括空間擴展光源(spatially extended source)201,由透鏡202和203形成的望遠鏡,以及位於透鏡202的前焦平面中的光闌204(光闌204的位置與透鏡203的後焦平面重合)。上述配置將空間擴展源成像到Mirau干涉物鏡組件210的光瞳平面(pupil plane)245上,這是Koehler成像的例子。光闌204的大小控制待測樣品220上的照明場的大小。在一些實施例中,光源模組可以包括空間擴展源直接成像到待測樣品上的配置,其被稱為臨界成像(critical imaging)。任何類型的光源模組都可以與其他類型的干涉儀一起使用,例如Linnik型式掃描干涉系統。 The light source module 205 includes a spatially extended source (spatially extended source) 201, a telescope formed by lenses 202 and 203, and an aperture 204 located in the front focal plane of the lens 202 (the position of the aperture 204 and the rear focal plane of the lens 203 coincide). The above configuration images a spatially extended source onto the pupil plane 245 of the Mirau interference objective assembly 210, which is an example of Koehler imaging. The size of the aperture 204 controls the size of the illuminated field on the sample 220 to be tested. In some embodiments, the light source module may include a configuration in which a spatially extended source is directly imaged onto the sample to be tested, which is referred to as critical imaging. Any type of light source module can be used with other types of interferometers, such as Linnik type scanning interferometers.

第4圖是可在人造實境(artificial reality,AR)/虛擬實境(virtual reality,VR)裝置之中使用的元件300之例子的示意圖。元件300,此處也稱待測樣品,包括以堆疊方式設置的多個層。在本例子中,層包括平板302、304、306、308。藉由樣品夾具312將平板固定於其位置上。堆疊內的一個或多個平板是以相應的間隙(例如,間隙310)與堆疊中相鄰的平板互相間隔。平板之堆疊還可以 包括在堆疊任一端上形成,或者在整個堆疊的個別平板上形成之繞射光學元件和/或鍍膜(未圖示)。繞射光學元件可以用作光學耦合器(例如,全像輸入和輸出耦合器),用於將光耦合到各個平板之中和/或從各個平板耦合出來,這又可以做為用於移動光的波導。 FIG. 4 is a schematic diagram of an example of a device 300 that may be used in an artificial reality (AR)/virtual reality (VR) device. Element 300, also referred to herein as a test sample, comprises a plurality of layers arranged in a stack. In this example, the layers include plates 302 , 304 , 306 , 308 . The plate is held in place by the sample holder 312 . One or more panels within the stack are spaced from adjacent panels in the stack by respective gaps (eg, gap 310 ). Tablets can also be stacked Diffractive optical elements and/or coatings (not shown) formed on either end of the stack, or on individual plates throughout the stack are included. Diffractive optical elements can be used as optical couplers (e.g., holographic input and output couplers) for coupling light into and/or out of individual plates, which in turn can serve as a means for moving light waveguide.

在一些實施例中,例如,對於AR/VR應用,確認和控制元件300內的平板的平行度,以及測量裝置的其他性質是有用的,例如,一個或多個平板之表面平坦度、一個或多個平板的表面粗糙度,以及平板之間的距離(例如,間隙厚度)。低同調掃描式干涉儀(CSI)作為用於測量堆疊裝置的測量技術(例如元件300),其提供了若干優點,例如,由於CSI通常使用連續寬頻帶光源(例如,發光二極體、鹵素燈、白熾光源等),可以直接使用標準光學元件(例如濾光器)來定制入射光頻譜。此外,在CSI中,寬頻帶頻譜自然地抑制了其光程差超過光源的干涉長度的空腔干擾,這在具有由間隙分開的層的光學裝置中特別受到關注。 In some embodiments, for example, for AR/VR applications, it is useful to identify and control the parallelism of the plates within element 300, as well as to measure other properties of the device, such as the surface flatness of one or more plates, one or more The surface roughness of the multiple plates, and the distance between the plates (eg, gap thickness). Coherent Scanning Interferometer (CSI) as a measurement technique for measuring stacked devices (e.g. element 300) offers several advantages, for example, since CSI typically uses continuous broadband light sources (e.g. light emitting diodes, halogen lamps , incandescent light source, etc.), the incident light spectrum can be tailored directly using standard optical components such as filters. Furthermore, in CSI, the broadband spectrum naturally suppresses cavity interference whose optical path difference exceeds the interference length of the light source, which is of particular concern in optical devices with layers separated by gaps.

儘管如此,使用CSI來測量具有由間隙分開的多個層的光學元件(例如元件300)的表面形貌,由於若干原因可能是具有挑戰性的。例如,當界面靠近在一起時,界面的接近可能產生重疊的干涉圖案,導致干涉訊號的失真。在一些情況下,多個中間層增加了干涉訊號色散和吸收的量。例如,對於相對大的玻璃平板堆疊,因為吸收和散射中,光可能會損失,導致干涉訊號內的峰值幅度衰減。在一些情況下,色散可能是由表面特徵和/或鍍膜引起的應力以及堆疊組裝過程的結果。例如,在一些實施方式中,玻璃片塗有全像輸入和輸出耦合器,並黏合到組件上,這些都可能導致平板彎曲,甚至可能彼此接觸。由這種效應引起的色散在測量訊號中的表現為相位延遲。如果色散是非線性的,則淨效應可能是邊緣對比度的損失和低干涉訊號中的干涉包絡的變寬。層的堆疊越厚,當干涉儀更深入掃描堆疊時,色散效應可能越嚴重。由於前述訊號的非線性特性取決於來自堆疊內的所有中間層的色散以及來自表面特徵的色散特 性,且由於這些資訊在執行測量之前可能是未知的,因此執行任何一種補償非線性的預校準都是具有挑戰性的。 Nonetheless, using CSI to measure the surface topography of an optical element having multiple layers separated by gaps, such as element 300, can be challenging for several reasons. For example, when interfaces are brought close together, the proximity of the interfaces may produce overlapping interference patterns, resulting in distortion of the interference signal. In some cases, multiple intermediate layers increase the amount of interference signal dispersion and absorption. For example, with relatively large stacks of glass plates, light may be lost due to absorption and scattering, resulting in attenuation of peak amplitudes within the interference signal. In some cases, dispersion may be a result of stresses caused by surface features and/or coatings and the stack assembly process. For example, in some embodiments glass sheets are coated with holographic input and output couplers and glued to the assembly, which can cause the sheets to bend and possibly even touch each other. The dispersion caused by this effect manifests itself as a phase delay in the measured signal. If the dispersion is non-linear, the net effect may be loss of edge contrast and broadening of the interference envelope in low interference signals. The thicker the stack of layers, the more severe the dispersion effect can be as the interferometer scans deeper into the stack. Since the aforementioned nonlinear properties of the signal depend on the dispersion from all intermediate layers in the stack as well as from the dispersion characteristics of the surface features Performing any kind of pre-calibration to compensate for non-linearities is challenging since this information may not be known until the measurement is performed.

本發明揭露對於此類多層堆疊執行量測的技術和系統,同時針對導致對干涉訊號色散和/或其他不利影響的非線性進行補償。一般而言,在一些實施例中,本發明所涵蓋技術包括:1)將來自一待測樣品之樣品干涉資料轉換至一頻率域,待測樣品具有多層堆疊;2)識別該樣品干涉資料在該頻率域中之一非線性相位變化,其中已識別之該非線性相位變化是一量測光束入射至該待測樣品後發生色散之結果;以及3)從該樣品干涉資料中,移除在該頻率域中之已識別之該非線性相位變化,藉此產生一補償後干涉資料。然後將該補償後干涉資料轉換回時間域,從中可以取得關於待測樣品的資訊,例如表面形貌和表面分離(surface separation)。此外,可以在轉換回時間域之前,從補償的干涉測量數據中取得量測資料。 The present invention discloses techniques and systems for performing measurements on such multilayer stacks while compensating for non-linearities that cause dispersion and/or other detrimental effects on interfering signals. In general, in some embodiments, techniques encompassed by the present invention include: 1) converting sample interference data from a test sample having a multilayer stack to a frequency domain; 2) identifying the sample interference data at A nonlinear phase change in the frequency domain, wherein the identified nonlinear phase change is the result of dispersion of a measuring beam incident on the sample to be measured; and 3) from the sample interference data, remove the The nonlinear phase change is identified in the frequency domain, thereby generating a compensated interferometric data. This compensated interferometric data is then converted back to the time domain, from which information about the sample under test, such as surface topography and surface separation, can be obtained. In addition, measurements can be taken from compensated interferometric data before conversion back to the time domain.

第5圖是一個流程圖,說明使用CSI干涉儀(例如第1圖之Mirau干涉儀)測量具有多層堆疊的光學元件(例如元件300)的表面形貌的流程500。如前述,Mirau干涉儀的光源201可以是寬頻帶光源,包括具有相對大的頻帶寬(例如,大約100nm或更大)的光源,並且可以包括例如發光二極體、鹵素燈、弧光燈、白熾燈等。例如,光源可以處於電磁光譜的可見光部分(例如,白光)。 FIG. 5 is a flowchart illustrating a process 500 for measuring the surface topography of an optical element (eg, element 300 ) having a multilayer stack using a CSI interferometer, such as the Mirau interferometer of FIG. 1 . As previously mentioned, the light source 201 of the Mirau interferometer may be a broadband light source, including light sources with a relatively large frequency bandwidth (e.g., about 100 nm or greater), and may include, for example, light emitting diodes, halogen lamps, arc lamps, incandescent lights etc. For example, the light source may be in the visible portion of the electromagnetic spectrum (eg, white light).

在步驟502,引導來自光源201的量測光束沿著量測光束路徑,入射在待測樣品220上,待測樣品220包括例如具有以堆疊方式設置的多數層的元件300。舉例而言,如第4圖所示,引導量測光束316沿光軸318朝向元件300的第一表面314。光軸318可以與元件300的第一表面314正交。當量測光束316穿過元件300時,一部分的量測光束316在不同折射率的區域之間的每個界面處皆會反射。 In step 502 , the measuring beam from the light source 201 is guided along the measuring beam path, and is incident on the sample to be tested 220 , the sample to be tested 220 includes, for example, an element 300 with multiple layers arranged in a stacked manner. For example, as shown in FIG. 4 , the measurement beam 316 is directed toward the first surface 314 of the component 300 along the optical axis 318 . Optical axis 318 may be normal to first surface 314 of element 300 . As the measurement beam 316 passes through the element 300, a portion of the measurement beam 316 is reflected at each interface between regions of different refractive indices.

如前述,元件300的層可包括對量測光束的一個或多個波長至少 半透明的平板。例如,平板可包括玻璃板。堆疊內的一個或多個層是以相應的間隙與堆疊中相鄰的層互相間隔,如第4圖所示。間隙厚度(例如,堆疊內,兩個相鄰平板之相對面之間的平均距離)可以在大約幾百奈米(nm)到大約幾毫米(mm)之間(例如,至少大於約100nm、至少大於大約1微米(μm)、至少大於約10μm、至少大於約100μm、至少大於約1mm)。對疊內的一個或多個平板的厚度可以在幾百微米到幾十毫米或更多(例如,至少大於約100μm、至少大於約500μm、至少大於約1mm,至少大於約5mm,至少大於約10mm)。在一些實施例中,平板鍍有一種或多種不同材料的單層薄膜或多層薄膜。例如,平板可包括一個或多個抗反射或高反射之鍍膜,鍍膜由單層膜或具有交替折射率之介電材料的多層膜所構成。在一些實施例中,平板的表面包含特定特徵。例如,可以使用光柵(如繞射光柵)蝕刻,用以使平板的表面包含全像光學耦合器。在一些實施例中,平板的第一表面包括第一光學耦合器,並且平板的第二對側表面包括第二光學耦合器。光學耦合器可用於將光耦合進入平板中、耦合離開平板,或者耦合進入及離開平板。多個平板的每一者可包括如本文所述的膜和/或耦合器。 As previously mentioned, the layers of element 300 may include at least Translucent slab. For example, the flat panel may comprise a glass panel. One or more layers within the stack are spaced from adjacent layers in the stack by corresponding gaps, as shown in FIG. 4 . The gap thickness (e.g., the average distance between the opposing faces of two adjacent plates in the stack) can be between about several hundred nanometers (nm) to about several millimeters (mm) (e.g., at least greater than about 100 nm, at least greater than about 1 micrometer (μm), at least greater than about 10 μm, at least greater than about 100 μm, at least greater than about 1 mm). The thickness of one or more flat plates in the stack can range from hundreds of microns to tens of millimeters or more (e.g., at least greater than about 100 μm, at least greater than about 500 μm, at least greater than about 1 mm, at least greater than about 5 mm, at least greater than about 10 mm ). In some embodiments, the plates are coated with a single or multiple layers of one or more different materials. For example, the flat panel may include one or more antireflective or highly reflective coatings consisting of a single layer or multiple layers of dielectric materials with alternating refractive indices. In some embodiments, the surface of the plate contains specific features. For example, grating (eg diffraction grating) etching can be used to make the surface of the panel contain holographic optical couplers. In some embodiments, the first surface of the panel includes a first optical coupler and the second pair of side surfaces of the panel includes a second optical coupler. Optical couplers can be used to couple light into, out of, or into and out of the plate. Each of the plurality of plates may include a membrane and/or a coupler as described herein.

再次參考第3圖,來自寬頻帶光源201的光被分光器213分成1)第一部分,第一部分聚焦到參考鏡215,用以定義出參考光束路徑中的參考光束222;和2)第二部分,第二部分被聚焦到待測樣品220,用以定義出量測光束路徑中的量測光束224。在步驟504,引導參考光束222沿參考光束路徑,入射至參考表面(例如,參考平板212)。在步驟506,將從待測樣品220反射(或散射)回來的量測光束與來自參考鏡215的參考光束組合以形成輸出光束。成像透鏡230和物鏡211用以在偵測器240(例如,多像素相機)中讓組合光彼此干涉成像。在一些實施例中,可以使用分束器,使得參考光束和量測光束通過等量的玻璃,從而減少與對比度增寬(contrast broadening)有關的色散。來自偵測器的量測訊號被發送 到計算機(未圖示)。當兩條光路之間的光程差落在照明光同調長度內時,便會發生干涉。為了讓待測樣品220的每個表面均發生干涉,使用載台(motorized stage)沿著光軸318(參見第4圖)掃描待測樣品220和/或干涉儀的組件,使得待測樣品220的一個或多個表面待測樣品220再次滿足同調條件。 Referring again to FIG. 3, the light from the broadband light source 201 is split by the beam splitter 213 into 1) a first portion which is focused to a reference mirror 215 to define a reference beam 222 in the reference beam path; and 2) a second portion , the second part is focused on the sample to be measured 220 to define a measuring beam 224 in the measuring beam path. In step 504, the reference beam 222 is directed along a reference beam path, incident on a reference surface (eg, the reference plate 212). In step 506, the measurement beam reflected (or scattered) from the sample to be measured 220 is combined with the reference beam from the reference mirror 215 to form an output beam. The imaging lens 230 and the objective lens 211 are used to make the combined light interfere with each other and form an image in the detector 240 (eg, a multi-pixel camera). In some embodiments, a beam splitter may be used such that the reference and measurement beams pass through an equal amount of glass, thereby reducing dispersion associated with contrast broadening. The measurement signal from the detector is sent to a computer (not shown). Interference occurs when the optical path difference between the two optical paths falls within the coherent length of the illuminating light. In order for each surface of the sample to be tested 220 to interfere, a motorized stage is used to scan the sample to be tested 220 and/or components of the interferometer along the optical axis 318 (see FIG. 4 ) such that the sample to be tested 220 One or more surface samples 220 to be tested meet the coherence condition again.

在步驟508,記錄來自偵測器陣列之複數干涉訊號,其中干涉訊號之每一者對應於待測樣品上之不同位置並且被不同的偵測器單元所記錄,該樣品干涉資料包含該等干涉訊號,用以提供樣品干涉資料。在本實施例的測量中,待測樣品220被固定在可調節架台中,可調節架台將待測樣品220的標稱表面法線與干涉儀的光軸318對準。對準過程可以包括,例如,對來自的待測樣品的光源反射與來自參考表面的光源反射成像至偵測器240的檢測器元件上。一旦對準之後,載台被設定成使得最靠近干涉儀的堆疊表面恰好在物體空間焦平面的外部(並且在其右側)。然後,當相機獲取干涉圖案時,載台是以恆定速度將部件移向干涉儀。當每個表面通過相等路徑區域時,發生干涉並且相機記錄干涉圖案。干涉儀可以配置成使得最佳焦點落在滿足參考光束和量測光束之間的相等光學路徑條件的物平面處。 In step 508, a plurality of interference signals from the detector array are recorded, wherein each of the interference signals corresponds to a different position on the sample to be tested and is recorded by a different detector unit, the sample interference data includes the interference The signal is used to provide sample interference data. In the measurement of this embodiment, the sample to be tested 220 is fixed in an adjustable stand that aligns the nominal surface normal of the sample to be tested 220 with the optical axis 318 of the interferometer. The alignment process may include, for example, imaging light source reflections from the sample under test and light source reflections from the reference surface onto the detector elements of detector 240 . Once aligned, the stage is set such that the stack surface closest to the interferometer is just outside (and to the right of) the object space focal plane. The stage then moves the part towards the interferometer at a constant speed while the camera acquires the interferometric pattern. As each surface passes through the equal path area, interference occurs and the camera records the interference pattern. The interferometer can be configured such that the best focus falls at the object plane satisfying the equal optical path condition between the reference and measurement beams.

在步驟510,偵測器擷取不同載台位置的干涉影像,並且干涉影像隨後被提供到一個或多個電子處理器(未圖示),然後在步驟512,該電子處理器又對訊號執行色散補償並使用CSI法(例如峰值相干對比度偵側法、最小平方(模板)分析或頻率域分析)處理校正後的干涉圖案。關於進行表面形貌分析的CSI法的進一步資訊可以在例如美國專利US 5,398,113、US 5,953,124、US 8,045,175和US 7,522,288中找到,這些專利各自通過引用整體併入本文。例如,假設掃描速度、相機取樣頻率(camera rate)和照明光的平均波長是已知的,則可以分析來自每個表面的干涉圖案以獲得表面形貌。如果在整個掃描過程中連續擷取干涉圖案,則可以保留所有表面之間的關係資訊。 At step 510, the detector captures interferometric images of different stage positions, and the interferometric images are then provided to one or more electronic processors (not shown), which in turn, at step 512, perform Compensate for dispersion and process the corrected interference pattern using a CSI method such as peak coherence contrast detection, least squares (template) analysis, or frequency domain analysis. Further information on CSI methods for surface topography analysis can be found, for example, in US Pat. For example, the interference pattern from each surface can be analyzed to obtain the surface topography, assuming the scan speed, camera rate and average wavelength of the illumination light are known. If the interference pattern is captured continuously throughout the scan, the relationship information between all surfaces can be preserved.

第6圖是流程圖,其顯示出可由一個或多個處理器執行的流程600,流程600用以補償由待測樣品引起的色散和其他效應,色散和其他效應會影響干涉圖案之形狀。在第一步驟602中,干涉儀系統之一個或多個處理器將樣品干涉資料從時間域變換到頻率域。 FIG. 6 is a flowchart showing a process 600 executable by one or more processors to compensate for dispersion and other effects caused by a sample under test that affect the shape of the interference pattern. In a first step 602, one or more processors of the interferometer system transform the sample interferometric data from the time domain to the frequency domain.

例如,不希望受理論限制,離散取樣的低同調干涉訊號I可以表示為:在一定頻率範圍K內,干涉圖案的非同調總和,如下式:

Figure 108105958-A0305-02-0017-1
For example, without wishing to be limited by theory, the discretely sampled low-coherence interference signal I can be expressed as: the non-coherent sum of interference patterns within a certain frequency range K , as follows:
Figure 108105958-A0305-02-0017-1

頻率範圍是干涉儀系統光源頻寬和非同調照射光在非零數值孔徑(nonzero numerical aperture(NA))之幾何效應加總的結果。在式(1)中,ξ是與物體表面正交的干涉儀掃描座標,N是掃描時所擷取的樣品數目,q是傅立葉係數,j是偵側器陣列之偵測元件的索引編號,且z,ν分別是掃描位置ξ和頻率k的索引編號。假設在圍繞干涉訊號I之整個包絡的掃瞄範圍內作均勻取樣,則可以將q j,y 表示如下:

Figure 108105958-A0305-02-0017-2
The frequency range is the sum of the bandwidth of the light source of the interferometer system and the geometric effect of the non-coherent illumination light at the nonzero numerical aperture (nonzero numerical aperture (NA)). In formula (1), ξ is the scanning coordinate of the interferometer orthogonal to the surface of the object, N is the number of samples captured during scanning, q is the Fourier coefficient, j is the index number of the detection element of the detector array, And z, ν are the index numbers of scanning position ξ and frequency k , respectively. Assuming uniform sampling in the scanning range around the entire envelope of the interference signal I , q j,y can be expressed as follows:
Figure 108105958-A0305-02-0017-2

舉例而言,對干涉訊號執行正傅立葉轉換可以得到傅立葉係數。代表干涉資料的傅立葉係數可用波數(wavenumbers)和相應相位的複數型式表示之。 For example, Fourier coefficients can be obtained by performing a forward Fourier transform on the interferometric signal. The Fourier coefficients representing the interferometric data can be expressed in complex form as wavenumbers and corresponding phases.

在一些情況下,在將干涉資料轉換到頻率域之前,移除來自干擾數據的DC分量。此外,隔離對應於待測樣品的選定表面的干涉訊號。例如,來自干涉資料且具有高於預定訊號強度值的干涉訊號可以被識別為對應於待測樣品之所選表面的界面。藉由將其他剩餘干涉訊號的值設置為零,可以隔離得到具有大於(或大於或等於)預定訊號強度值的干涉訊號。此外,時間域干涉訊號(例如,隔離後的干涉訊號)可以在將它們變換到頻率域之前被時間平移(time-sifted),例如,對於每個像素,時間域中的訊號資料可以被時間平移,使 得訊號的峰值振幅出現在資料集合的開始處。這消除了傅立葉分量中的線性相位項。 In some cases, the DC component from the interference data is removed before converting the interference data to the frequency domain. Additionally, interference signals corresponding to selected surfaces of the sample to be measured are isolated. For example, an interference signal from the interference data having a signal strength value higher than a predetermined value can be identified as corresponding to an interface of a selected surface of the sample to be tested. By setting the values of other remaining interfering signals to zero, interfering signals having greater than (or greater than or equal to) predetermined signal strength values can be isolated. Furthermore, time-domain interferometric signals (e.g., isolated interferometric signals) can be time-shifted before transforming them into the frequency domain, e.g., for each pixel, the signal data in the time domain can be time-shifted ,make The peak amplitude of the obtained signal occurs at the beginning of the data set. This removes the linear phase term in the Fourier components.

在將樣品干涉資料轉換到頻率域之後,在步驟604,識別樣品干涉資料在頻率域中之非線性相位變化。如本文所述,非線性相位變化是量測光束入射至待測樣品中的層之後發生色散之結果。非線性相位變化的識別包括從樣品干涉資料本身導出非線性相位變化,而不是從校正資訊或從待測樣品的預期特徵導出。識別非線性相位變化可以包括,例如,在步驟606,獲得頻率域中的多個干涉訊號的至少一個子集的相位變化。例如,在傅立葉轉換資料中,最有用的資訊可包含在傅立葉係數相對較大的區域中。因此,從干涉訊號之至少一子集合中,取得平均相位變化的步驟(步驟606)可包括:使用一個或多個處理器來計算與解出振幅足夠大之傅立葉分量之子集合的相位,例如選擇至少有達到預定訊躁比(S/N ratio)之波數之傅立葉分量。使用低同調影像干涉儀系統取得之波數範圍,該樣品干涉資料之相位變化是在波數範圍內被識別的。在一些實施例中,取得相位變化的步驟包括:從干涉訊號之至少一子集合中,取得一平均相位變化(例如平均、模數(mode)、中數)(步驟606)。在一些實施方式中,可以針對場(field)中的每個位置個別地且獨立地導出相位變化。然而,如果色散在場上是均勻的,則平均多個位置可以減少量測誤差。關於評估干涉資料的相位資訊可以參考,例如美國專利US 5,398,113(例如,9:44-10:54)和美國專利US 7,522,288(例如,11:49-13:12),其每一個通過引用整體併入本文。 After converting the sample interference data to the frequency domain, at step 604, nonlinear phase changes of the sample interference data in the frequency domain are identified. As described herein, the nonlinear phase change is the result of dispersion of the measurement beam after it is incident on a layer in the sample under test. Identification of the nonlinear phase change involves deriving the nonlinear phase change from the sample interferometric data itself, rather than from calibration information or from expected characteristics of the sample under test. Identifying nonlinear phase changes may include, for example, at step 606, obtaining phase changes of at least a subset of the plurality of interfering signals in the frequency domain. For example, in Fourier transformed data, the most useful information may be contained in regions of relatively large Fourier coefficients. Accordingly, the step of obtaining the average phase change (step 606) from at least a subset of the interferometric signals may include using one or more processors to calculate and solve for the phase of a subset of Fourier components of sufficiently large amplitude, e.g., selecting Fourier components having at least a wave number that reaches a predetermined signal-to-noise ratio (S/N ratio). Using the wavenumber range obtained by the low-coherence image interferometer system, the phase variation of the sample interferometric data is identified in the wavenumber range. In some embodiments, the step of obtaining the phase change includes: obtaining an average phase change (eg, average, mode, median) from at least a subset of the interference signals (step 606 ). In some implementations, the phase change can be derived individually and independently for each position in the field. However, if the dispersion is uniform over the field, averaging multiple locations can reduce measurement error. Reference is made to, for example, US Pat. No. 5,398,113 (eg, 9:44-10:54) and US Pat. No. 7,522,288 (eg, 11:49-13:12), each of which is incorporated by reference in its entirety for information on evaluating phase information for interferometric data. into this article.

識別非線性相位變化的步驟還包括:取得擬合相位變化的擬合函式(步驟608)。例如,如上所述,可以針對區域中的所有像素或像素子集合導出所選擇之波數的平均相位變化。在待測樣品中,由材料中間層和/或應力引起的色散通常可能導致二次方形式的相位非線性。因此,擬合相位變化的函式可以具有二次方形式,例如x2。然而,非線性相位變化可以具有二次方以外的形式。 此外,其他函式也可以用於擬合相位變化,例如具有次冪大於兩次之多項式的函式、指數函式、對數函式、樣條擬合、高斯擬合等。 The step of identifying the nonlinear phase variation further includes: obtaining a fitting function for fitting the phase variation (step 608). For example, as described above, an average phase change for a selected wavenumber can be derived for all pixels or a subset of pixels in a region. Dispersion induced by material interlayers and/or stresses can often lead to quadratic phase nonlinearities in the sample under test. Therefore, the function to fit the phase change can have a quadratic form, eg x2. However, the nonlinear phase change can have forms other than quadratic. In addition, other functions can also be used to fit phase changes, such as functions with polynomials with powers greater than twice, exponential functions, logarithmic functions, spline fitting, Gaussian fitting, etc.

在識別出非線性相位變化之後,在步驟610中,在頻率域中移除樣品干涉資料的已識別之非線性相位變化,以產生補償後干涉資料。例如,移除已識別之非線性相位變化(步驟610)可以包括:針對每個像素或像素子集合,從樣品干涉資料中,減去擬合函式(例如,最佳擬合)。在一些實施例中,可進一步分析已識別之非線性相位資訊以提供有用資訊,包括材料特性,例如,若層的材料為已知,則分析群速度折射率和/或厚度,以及若材料缺陷為已知,則分析影響吸收和色散的污染物。 After the nonlinear phase changes are identified, in step 610, the identified nonlinear phase changes of the sample interferometric data are removed in the frequency domain to generate compensated interferometric data. For example, removing the identified nonlinear phase variation (step 610) may include, for each pixel or subset of pixels, subtracting a fitting function (eg, best fit) from the sample interference data. In some embodiments, the identified nonlinear phase information can be further analyzed to provide useful information, including material properties such as group velocity index and/or thickness if the material of the layer is known, and if material defects is known, then analyze the pollutants that affect the absorption and dispersion.

在移除非線性相位變化之後,在步驟612,可以將補償後的樣品干涉資料轉換回時間域。然後,一個或多個處理器可以使用CSI方法處理補償後的干涉圖案,用以提供例如表面形貌的量測資訊,如本文所述。藉由這種方式,可以使用干涉訊號本身來評估待測樣品中色散對干涉訊號的影響,並且不需要中間層材料的進一步資訊(例如,折射率、色散特性或層厚度)。此外,可以在頻率域中,使用頻率域的方法,從補償後干涉資料導出包括形貌圖的量測資訊(例如美國專利US 5,398,113中所述,其內容通過引用整體合併於此)。儘管此實施例假設在場內的色散特性是相同的,但藉由對把非線性項(例如,二次方項)視為場位置的函數,此步驟可以擴展應用至場依賴性(field-dependent)之色散。 After removing the nonlinear phase variation, at step 612 the compensated sample interferometric data can be converted back to the time domain. One or more processors may then process the compensated interference pattern using CSI methods to provide measurement information such as surface topography, as described herein. In this way, the interference signal itself can be used to evaluate the influence of dispersion on the interference signal in the sample to be measured, and no further information (eg refractive index, dispersion properties or layer thickness) of the interlayer material is required. Furthermore, measurement information including topography maps can be derived from compensated interferometric data in the frequency domain using frequency domain methods (eg, as described in US Pat. No. 5,398,113, the contents of which are hereby incorporated by reference in their entirety). Although this embodiment assumes that the dispersion properties are the same within the field, this procedure can be extended to apply to field dependence (field- dependent) dispersion.

在一實施例中,處理器可以根據補償後的干涉資料,決定待測樣品中的第一界面和第二界面之間的距離。決定第一界面和第二界面之間的距離可以包括:例如,對於多個補償後干涉訊號的每一個補償後干涉訊號,識別對應於待測樣品中之第一界面的第一強度峰值和對應於待測樣品中之第二界面的第二強度峰值。然後,對於多個干涉訊號的每一個補償後干涉訊號,一個或多個處理器可以求出已識別之第一強度峰值出現的位置與已識別之第二強度峰值出 現的位置之間的間隔。不同補償後干涉訊號之間,作間隔的平均計算,可以提供第一界面和第二界面之間的平均距離。該距離可以對應於例如待測樣品內的層之間的平均間隙厚度。例如,該距離可以是待測樣品內的第一平板和第二平板之間的平均間隙厚度。或者,該距離可以對應於待測樣品中的平板上形成的層的平均厚度。例如,該距離可以對應於在待測中的平板表面上形成的薄膜介電層的厚度。或者,該距離可以對應於待測樣品中的平板的厚度。 In an embodiment, the processor may determine the distance between the first interface and the second interface in the sample to be tested according to the compensated interference data. Determining the distance between the first interface and the second interface may include, for example, for each compensated interference signal of the plurality of compensated interference signals, identifying a first intensity peak corresponding to the first interface in the sample under test and a corresponding The second intensity peak of the second interface in the sample to be tested. Then, for each compensated interference signal of the plurality of interference signals, the one or more processors may find the location where the identified first intensity peak occurs and the location where the identified second intensity peak occurs. The interval between the current positions. The average distance between the different compensated interference signals can be calculated to provide the average distance between the first interface and the second interface. This distance may correspond to, for example, the average gap thickness between layers within the sample to be tested. For example, the distance may be the average gap thickness between the first and second flat plates within the sample to be tested. Alternatively, the distance may correspond to the average thickness of the layers formed on the flat plate in the sample to be measured. For example, the distance may correspond to the thickness of a thin film dielectric layer formed on the surface of the panel under test. Alternatively, the distance may correspond to the thickness of a flat plate in the sample to be tested.

在一些實施例中,一個或一個以上的處理器根據對於補償後干涉訊號之每一者求出的間距,決定第一界面與第二界面之間的平行度。例如,一個或多個處理器可以用於輸出每個像素的距離資料而成為形貌圖,其顯示待測樣品內的兩個平板之間的間隙厚度是均勻的或不均勻的。例如,形貌圖可以顯示第一界面和第二界面的面之形貌上的差異。將形貌圖輸出到顯示器。在一些實施例中,一個或多個處理器被設置為根據形貌圖決定其他資訊,例如包括第一界面和第二界面之間均方根差值(root-mean-square difference)、第一界面和第二界面之間的峰谷差值(peak-valley difference),或形貌圖的任何其他參數化方法。在一些實施例中,一個或一個以上處理器可決定待測樣品內的一個或一個以上界面的平坦度。例如,可以獲得相對於干涉儀系統的參考平面,待測樣品中的界面的表面形式。 In some embodiments, one or more processors determine the degree of parallelism between the first interface and the second interface based on the separation obtained for each of the compensated interference signals. For example, one or more processors can be used to output distance data for each pixel into a topography map showing whether the thickness of the gap between two flat plates within the sample under test is uniform or non-uniform. For example, a topography map can show the difference in topography of the faces of the first interface and the second interface. Output the topography map to a display. In some embodiments, the one or more processors are configured to determine other information based on the topography, including, for example, the root-mean-square difference between the first interface and the second interface, the first The peak-valley difference between the interface and the second interface, or any other parameterization of the topography map. In some embodiments, one or more processors can determine the flatness of one or more interfaces within a sample to be tested. For example, the surface form of an interface in a sample to be measured can be obtained relative to a reference plane of the interferometer system.

在一些實施例中,使用本發明揭露之技術所獲取的資料量可能非常大,並且會花費很多時間,尤其是具有相對較厚平板的待測樣品,其中平板之間具有間隙。例如,對於由8個0.5mm厚的平板製成之堆疊的待測樣品而言,其平板之間的間隙為50μm,整個堆疊的厚度為4.35mm。若每一個相機圖框,沿掃描方向的CSI取樣率等於波長的1/8(條紋的1/4),且平均光源波長為500nm,掃描堆疊並且獲得所有表面需要70,000個以上的相機圖框(camera frames)。假設每張影像的畫素是500×500並以8位元(bits)數位化,這對應於大約17.5GB的資 料。另外,對於以100Hz操作的相機,該過程可能需要大約700秒(11.7分鐘)。 In some embodiments, the amount of data acquired using the techniques disclosed in the present invention may be very large and time consuming, especially for samples with relatively thick plates with gaps between them. For example, for a sample to be tested that is stacked by eight flat plates with a thickness of 0.5 mm, the gap between the flat plates is 50 μm, and the thickness of the entire stack is 4.35 mm. If for each camera frame, the CSI sampling rate along the scan direction is equal to 1/8 of the wavelength (1/4 of the fringe), and the average light source wavelength is 500nm, scanning the stack and obtaining all surfaces requires more than 70,000 camera frames ( camera frames). Assuming each image is 500×500 pixels and digitized in 8 bits, this corresponds to approximately 17.5GB of data material. Also, for a camera operating at 100Hz, the process can take about 700 seconds (11.7 minutes).

在一些實施例中,以更高之移動速率(translation rate)執行一快速的初始掃描可以增加資料擷取的產出量,用以識別堆疊內至少一個候選界面位置有關的資訊。根據待測樣品內至少一個候選界面位置有關的資訊,可以重新定位干涉儀物鏡及/或待測樣品,以將堆疊的至少一個候選界面定位在量測光束的焦平面附近。然後可以通過平移干涉儀物鏡及/或待測樣品來獲得更詳細的資訊,使得至少一個候選界面能以較慢的速率通過焦平面和/或以較高的取樣速率擷取樣品干涉資料。 In some embodiments, performing a fast initial scan at a higher translation rate can increase the throughput of data retrieval for identifying information about at least one candidate interface location within the stack. Based on information about the position of at least one candidate interface within the test sample, the interferometer objective lens and/or the test sample can be repositioned to position the at least one candidate interface of the stack near the focal plane of the measurement beam. More detailed information can then be obtained by translating the interferometer objective lens and/or the sample under test, so that at least one candidate interface can pass through the focal plane at a slower rate and/or acquire sample interference data at a higher sampling rate.

例如,在一些實施例中,以次奈奎斯特取樣(Sub-Nyquist acquisitions)來執行快速掃描。奈奎斯特頻率是每個干涉條紋有兩個取樣點的取樣頻率。在次奈奎斯特取樣中,取樣頻率將小於干涉條紋訊號頻率的一半。例如,(物鏡和/或待測樣品的)掃描速度可以增加一個奇數倍數(3,5,7,...)(次奈奎斯特倍數Sub-Nyquist multiple),並且相機以相應之奇數倍數的倒數被關閉(shuttered)(1/3,1/5,1/7,...)。這藉由次奈奎斯特頻率的倍數,減少了擷取時間和資料量。快門(shuttering)確保了對所有次奈奎斯特倍數而言,相機積分相同的相位範圍,用以讓對比度模糊降到最低。在某些情況下,需要增加光源強度來補償快門。此外,在次奈奎斯特的資料擷取架構下操作干涉儀系統時,量測雜訊和環境靈敏度可能會增加。關於進行快速掃描的額外資訊,可以參考例如美國專利US 5,398,113和期刊論文"High-speed non-contact profiler based on scanning white light interferometry",L.Deck and P.de Groot,Appl.Opt.33(31),7334-7338(1994),其各自通過引用整體併入本文。 For example, in some embodiments, fast scans are performed with Sub-Nyquist acquisitions. The Nyquist frequency is the sampling frequency at which each interference fringe has two sampling points. In sub-Nyquist sampling, the sampling frequency will be less than half the frequency of the fringe signal. For example, the scan speed (of the objective lens and/or the sample to be measured) can be increased by an odd multiple (3,5,7,...) (Sub-Nyquist multiple), and the camera will The inverse of is shuttered (1/3,1/5,1/7,...). This reduces acquisition time and data volume by sub-Nyquist multiples. Shuttering ensures that the camera integrates the same phase range for all sub-Nyquist multiples to minimize contrast blur. In some cases, it is necessary to increase the intensity of the light source to compensate for the shutter. Additionally, measurement noise and environmental sensitivity may increase when operating an interferometer system in a sub-Nyquist data acquisition architecture. For additional information on performing fast scanning, see for example US Patent US 5,398,113 and the journal article " High-speed non-contact profiler based on scanning white light interferometry ", L.Deck and P.de Groot, Appl.Opt.33(31 ), 7334-7338 (1994), each of which is incorporated herein by reference in its entirety.

根據使用快速初始掃描獲得的資料,可以識別堆疊內的至少一個候選界面位置。可以藉由定位具有局部峰值的干涉訊號的一部分,來識別至少一個候選界面。例如,如果待測樣品由多個平板組成且相鄰平板之間具有間隙, 並且吾人有興趣者為決定平板之間的間隙,而不是關於平板表面的其他相關資訊,則可以對整個待測樣品執行高速掃描(次奈奎斯特掃描),以識別所有界面的平台位置。對應於界面的載台位置發生在時間域干涉訊號的振幅達到局部最大值之處。然後,可以將大約是以預期的平板間隙距離互相間隔之訊號振幅的峰值,將其標記為平板的候選表面。接著,可以用比初始掃描更慢的速率(例如,以等於或大於奈奎斯特頻率的速率)進行的標準掃描,用以對界定已識別間隙的表面進行測量,並且記錄新的干涉訊號,新干涉訊號涵蓋堆疊中的兩個不同平板的相向表面。然後可以對新記錄的干涉訊號執行本發明公開的色散補償技術,並且將CSI分析應用於校正後資料,用以獲得兩個表面的更準確的位置。如果掃描表面互相足夠靠近,使得可以藉由單次擷取掃描獲取干涉資料,則可以通過減去對應於表面位置的掃描位置之間的差,來決定間隙厚度變化。 Based on the data obtained using the quick initial scan, at least one candidate interface location within the stack can be identified. At least one candidate interface can be identified by locating a portion of the interference signal with a local peak. For example, if the sample to be tested consists of multiple plates with gaps between adjacent plates, And for those interested in determining the gap between plates, rather than other relevant information about the plate surface, a high-speed scan (sub-Nyquist scan) can be performed on the entire sample to be tested to identify the plateau positions of all interfaces. The stage position corresponding to the interface occurs where the amplitude of the time-domain interferometric signal reaches a local maximum. Peaks of signal amplitudes approximately spaced from each other by the expected plate gap distance can then be marked as candidate surfaces for the plate. A standard scan may then be performed at a slower rate than the initial scan (e.g., at a rate equal to or greater than the Nyquist frequency) to measure the surface defining the identified gap and record a new interferometric signal, The new interference signal covers the facing surfaces of two different plates in the stack. The disclosed dispersion compensation technique can then be performed on the newly recorded interferometric signal and CSI analysis applied to the corrected data to obtain a more accurate position of the two surfaces. If the scanned surfaces are close enough to each other that interference data can be obtained with a single acquisition scan, then the gap thickness variation can be determined by subtracting the difference between the scanned positions corresponding to the surface positions.

在一些實施例中,初始掃描資料用於快速識別候選表面的位置,使得候選表面的分析可執行更準確。例如,在如本文所述的快速初始掃描之後,可以重新定位干涉儀和/或待測樣品,使得已識別候選表面位於干涉儀系統的焦平面附近。從此新位置,可以執行以比初始掃描更慢的速率(例如,以等於或大於奈奎斯特頻率的速率)進行的標準掃描,並記錄新的干涉測量訊號。然後,可以對新記錄的干涉測量訊號執行本發明公開的色散補償技術,並且將CSI分析應用於校正的數據以獲得並輸出關於候選表面的資訊。上述說明是將快速初始掃描描述為用於候選表面的初始識別,之後可以執行候選表面的第二掃描以獲得更詳細的資訊。然而,在一些實施例中,快速掃描可提供關於候選表面的足夠細節而無需執行第二次額外掃描。 In some embodiments, the initial scan profile is used to quickly identify the location of the candidate surface so that the analysis of the candidate surface can be performed more accurately. For example, after a quick initial scan as described herein, the interferometer and/or the sample under test may be repositioned such that the identified candidate surfaces are located near the focal plane of the interferometer system. From this new position, a standard scan at a slower rate than the initial scan (eg, at a rate equal to or greater than the Nyquist frequency) can be performed and a new interferometry signal recorded. The disclosed dispersion compensation techniques can then be performed on the newly recorded interferometric signals, and CSI analysis applied to the corrected data to obtain and output information about candidate surfaces. The above description describes a quick initial scan as being used for initial identification of candidate surfaces, after which a second scan of candidate surfaces can be performed to obtain more detailed information. However, in some embodiments, a quick scan may provide sufficient detail about the candidate surface without performing a second additional scan.

具體應用 application

本發明所述之低同調干涉量測方法和系統可用於以下任何表面分析問題:面狀表面形貌、紋理量測、表面形式量測、多個表面之間相關性量 測(厚度和平行度)、表面缺陷檢測、簡單薄膜、多層薄膜、堆疊的多層物體,層間有間隙、產生繞射或複雜的干涉效應之鋒利的邊緣和表面特徵、未解決的表面粗糙度、未解決的表面特徵,例如,在其它光滑表面上的次波長寬度凹槽、異種材料、表面的偏振相關特性、表面或可變形表面特徵的偏折、振動或運動導致干涉現象的入射角依賴性擾動。對於薄膜的情況,感興趣的可變參數可以是膜厚度、膜的折射率、基板的折射率或它們的一些組合。接下來討論具體應用。 The low coherence interferometric measurement method and system described in the present invention can be used for any of the following surface analysis problems: planar surface topography, texture measurement, surface form measurement, correlation between multiple surfaces measurement (thickness and parallelism), surface defect detection, simple films, multi-layer films, stacked multi-layer objects, gaps between layers, sharp edges and surface features that produce diffraction or complex interference effects, unresolved surface roughness, Unresolved surface features such as sub-wavelength width grooves on otherwise smooth surfaces, dissimilar materials, polarization-dependent properties of surfaces, deflection, vibration, or motion of surfaces or deformable surface features that lead to angle-of-incidence dependence of interference phenomena disturbance. In the case of thin films, the variable parameter of interest may be the film thickness, the refractive index of the film, the refractive index of the substrate, or some combination thereof. Specific applications are discussed next.

AR/VR光學元件 AR/VR optics

如本發明前述解釋,AR/VR應用可以採用包含多個平行板的堆疊,其中堆疊內的平行板表面具有作為波導之結構特徵和鍍膜,使得當元件放置在使用者眼前時,來自元件周圍的光資訊被傳輸並重定向到眼睛以產生資料或影像的疊加而不阻擋正常視覺。為了保持高品質的影像,以下是很重要的:在平板之間達到良好的平行度、確保某些表面具有期望的平坦度,以及在製造期間保持平板之間的特定間隔距離以及其他因素。在一些情況下,因為這些光學元件中使用的平板相對較厚,隨著干涉儀掃描探針更深地進入光學平板,會導致色散效應。 As explained above in this invention, AR/VR applications can use stacks comprising multiple parallel plates, where the surfaces of the parallel plates within the stack have structural features and coatings that act as waveguides, so that when the element is placed in front of the user's eyes, Light information is transmitted and redirected to the eye to produce a superimposition of data or images without blocking normal vision. In order to maintain a high quality image, it is important to achieve good parallelism between the plates, ensure that certain surfaces have the desired flatness, and maintain a specific separation distance between the plates during manufacturing, among other factors. In some cases, because the slabs used in these optics are relatively thick, dispersion effects can result as the interferometer scanning probe moves deeper into the optical slab.

例如,第7A圖和第7B圖繪示使用單一個檢測器畫素所獲得之厚度為6.25mm的平行玻璃板的時間域干涉訊號。為了取得第7A圖和第7B圖所示之資料,干涉儀系統為寬視區物鏡之設計,例如美國專利US 8,045,175中公開的干涉儀設計,其主題藉由引用以其整體併入本文。干涉儀系統採用步進馬達載台,以500微米/秒的速度作均勻的運動。光源是10W的牙科藍光二極體(Dental Blue light LED),其平均波長為460nm,半峰全寬為約25nm。該光源提供了近似高斯形狀的無色散對比度包絡,其標準差為3.5微米。 For example, Figures 7A and 7B show time-domain interference signals obtained using a single detector pixel for a parallel glass plate with a thickness of 6.25 mm. To obtain the data shown in Figures 7A and 7B, the interferometer system is a wide field objective design such as that disclosed in US Pat. No. 8,045,175, the subject matter of which is incorporated herein by reference in its entirety. The interferometer system uses a stepper motor stage to move uniformly at a speed of 500 μm/s. The light source is a 10W dental blue light LED (Dental Blue light LED), its average wavelength is 460nm, and its full width at half maximum is about 25nm. This light source provides an approximately Gaussian-shaped dispersion-free contrast envelope with a standard deviation of 3.5 microns.

第7A圖和第7B圖為干涉儀系統中偵測器之單個畫素所擷取之時 間域干涉資料,分別對應於光學平板的前表面和後表面。換言之,干涉儀從玻璃平板的前表面掃描到後表面。橫軸表示樣品編號並且對應於掃描位置(對於每個樣品訊號,其初始位置重設為0),而縱軸表示訊號強度。第7A圖和第7B圖中的每個訊號表示以約57.5nm掃描運動,且以奈奎斯特頻率所獲得的資料取樣。從第7B圖中可以明顯看出,後表面之干涉訊號的同調寬度明顯變寬。這是因為玻璃板前表面和後表面之間,居間的玻璃所造成之色散。如第7B圖所示,後表面訊號在樣本500周圍觀察到較小的干涉峰值,這是由於光源的空間同調特性,並不代表後表面反射較弱。 Figures 7A and 7B are when a single pixel of the detector in the interferometer system was captured Space-domain interferometric data, corresponding to the front and back surfaces of the optical slab, respectively. In other words, the interferometer scans from the front surface to the back surface of the glass plate. The horizontal axis represents the sample number and corresponds to the scanning position (for each sample signal, its initial position is reset to 0), while the vertical axis represents the signal intensity. Each signal in Figures 7A and 7B represents a data sample obtained with a scanning motion of approximately 57.5 nm and at the Nyquist frequency. It can be clearly seen from Fig. 7B that the coherence width of the interference signal on the back surface is obviously widened. This is due to the dispersion caused by the intervening glass between the front and rear surfaces of the glass sheet. As shown in Fig. 7B, the rear surface signal has a smaller interference peak observed around the sample 500, which is due to the spatial coherence characteristic of the light source, and does not mean that the rear surface reflection is weaker.

使用本發明揭露的技術,將干涉訊號轉換到頻率域,其中識別並移除相位變化。例如,第8圖顯示後表面干涉訊號,其頻譜峰值(對應於波數格0)周圍的相位變化。第8圖橫軸對應於頻譜波數格(spectral wavenumber bin),縱軸對應於相位(單位:弧度)。從第8圖中可以明顯看出,後表面干涉訊號之頻譜峰值表現出的二次方形式的相位變化。相位變化是由於玻璃平板的前表面和後表面之間,居間的玻璃的引起的色散所導致的。用二次方函式800擬合相位變化,然後從頻率域訊號中減去二次方函式800。 Using the techniques disclosed in this invention, the interferometric signal is converted to the frequency domain, where phase variations are identified and removed. For example, Figure 8 shows the phase variation around the spectral peak (corresponding to wavenumber lattice 0) of the back-surface interference signal. In Fig. 8, the horizontal axis corresponds to the spectral wavenumber bin, and the vertical axis corresponds to the phase (unit: radian). From Figure 8, it can be clearly seen that the spectral peak of the rear surface interference signal exhibits a quadratic phase change. The phase change is due to dispersion induced by the intervening glass between the front and rear surfaces of the glass plate. A quadratic function 800 is used to fit the phase variation, and then the quadratic function 800 is subtracted from the frequency domain signal.

然後對色散校正後的頻率域訊號進行傅立葉轉換,以獲得校正後的時間域干涉訊號。第9A圖和第9B圖顯示由玻璃平板之後表面,進行色散補償之前與之後,所觀察到干涉訊號。如第9B圖所示,色散補償對空間同調峰幾乎沒有影響。 Then Fourier transform is performed on the dispersion-corrected frequency-domain signal to obtain the corrected time-domain interference signal. Figures 9A and 9B show interference signals observed from the rear surface of a glass plate before and after dispersion compensation. As shown in Fig. 9B, dispersion compensation has little effect on spatial coherence peaking.

如本發明所解釋,在一些情況下,可以執行快速初始掃描,以快速識別多層堆疊內的界面,例如對應於光學平板之間的間隙位置。在類似第4圖所示之元件300的光學元件上執行實際的掃瞄。使用與第7A圖和第7B圖獲得快速掃描資料相同的系統。儘管元件300顯示具有4個平板的堆疊,但是在以下實驗中,所使用的堆疊具有至少6個平行的玻璃板,每個玻璃板具有大約幾百微米的 厚度。相鄰平板之間的間隙至少10微米。從堆疊的第一側(例如,元件300的表面314)開始,使用快速掃描使堆疊首先平移通過干涉儀的焦平面。具體而言,快速掃描以大約140微米/秒的速率執行(例如,對於100Hz相機而言,此快速掃苗速率是一般掃描速率的約20倍,對於以可見波長操作的干涉儀而言,以奈奎斯特極限的兩倍取樣)在堆疊的小區域上擷取干涉資料,以識別相應於堆疊內的玻璃平板表面的位置。 As explained herein, in some cases, a fast initial scan may be performed to quickly identify interfaces within the multilayer stack, eg, corresponding to gap locations between optical slabs. The actual scan is performed on an optical element like element 300 shown in FIG. 4 . Use the same system as in Figure 7A and Figure 7B to obtain the quick scan profile. Although element 300 is shown with a stack of 4 flat plates, in the experiments below, the stack used has at least 6 parallel glass plates each with a thickness of about a few hundred microns. thickness. The gap between adjacent plates is at least 10 microns. Starting from a first side of the stack (eg, surface 314 of element 300), the stack is first translated through the focal plane of the interferometer using a fast scan. Specifically, the fast scan is performed at a rate of about 140 micrometers per second (e.g., about 20 times the typical scan rate for a 100 Hz camera, and about 20 times the typical scan rate for an interferometer operating at visible wavelengths). sampling twice the Nyquist limit) to acquire interferometric data over a small area of the stack to identify locations corresponding to the surfaces of the glass plates within the stack.

第10圖顯示執行快速掃描所獲得的干涉訊號。橫軸表示掃描位置(單位:mm),縱軸表示干涉訊號的振幅。如第10圖所示,干涉訊號包括在約0.1mm處的第一局部峰值,其對應於量測光束入射堆疊的初始表面(類似於元件300中的表面314)。在第一峰值之後,局部峰值(例如,在約0.75mm、約1.4mm,約2.1mm、約2.75mm和約3.4mm處)代表兩個緊密間隔的表面。實際上,上述位置的干涉訊號所呈現的應該是兩個緊密間隔的峰值,每個峰值對應於一個玻璃板的一個表面。隨著掃描進一步深入到元件中,色散效應導致峰值一起模糊(例如,在2.75mm和3.4mm處)。 Figure 10 shows the interference signal obtained by performing a fast scan. The horizontal axis represents the scanning position (unit: mm), and the vertical axis represents the amplitude of the interference signal. As shown in FIG. 10, the interference signal includes a first local peak at about 0.1 mm, which corresponds to the initial surface of the measurement beam incident stack (similar to surface 314 in component 300). After the first peak, local peaks (eg, at about 0.75 mm, about 1.4 mm, about 2.1 mm, about 2.75 mm, and about 3.4 mm) represent two closely spaced surfaces. In practice, the interference signal at the above locations should appear as two closely spaced peaks, one for each surface of a glass plate. Dispersion effects cause the peaks to blur together (eg, at 2.75mm and 3.4mm) as the scan goes further into the element.

在識別平板表面的資料(如第10圖所示)之後,在每一個表面處重新定位樣品載台,用以獲得每個表面或表面對的表面形貌,使得短的CSI掃描可以獲得來自每個表面或表面對的干涉。 After identifying the surface profile of the plate (as shown in Figure 10), the sample stage is repositioned at each surface to obtain the surface topography for each surface or pair of surfaces so that short CSI scans can be obtained from each interference of a surface or pair of surfaces.

例如,在重新定位載台之後,載台位於堆疊內部約2.75mm的第8個和第9個表面(分別對應於第4個和第5個平板的表面)的前面,執行150微米長、3X SubNyquist CSI掃描以獲取來自兩個表面的干涉。系統的平均波長為460nm,3X SubNyquist CSI掃描的相機圖框之間的掃描增量為172.5nm。第11A圖顯示在干涉儀系統中的偵測器的一個畫素所觀察到,兩個緊密間隔的第8個和第9個表面,在色散補償之前的原始時間域干涉訊號。如第11A圖所示,在色散補償之前,每個界面的干涉訊號合併在一起,很難從兩個表面分辨出各自的干涉特徵。第 11B圖說明在執行如本發明所揭露的色散補償之後,相同的時間域干涉訊號。如第11B圖所示,現在可以容易地分辨對應於不同界面的峰值。如第11B圖的資料所示,表面之間間距為約25微米。 For example, after repositioning the stage so that it is in front of the 8th and 9th surfaces (corresponding to the surfaces of the 4th and 5th plates, respectively) about 2.75mm inside the stack, perform a 150 µm long, 3X SubNyquist CSI scan for interference from two surfaces. The system has an average wavelength of 460nm and a scan increment of 172.5nm between camera frames for 3X SubNyquist CSI scanning. Figure 11A shows the raw time-domain interference signal of two closely spaced 8th and 9th surfaces, before dispersion compensation, as observed by a pixel of the detector in the interferometer system. As shown in Fig. 11A, before dispersion compensation, the interference signals from each interface are merged together, and it is difficult to distinguish the individual interference features from the two surfaces. No. Figure 11B illustrates the same time-domain interference signal after performing dispersion compensation as disclosed in the present invention. As shown in Figure 11B, the peaks corresponding to the different interfaces can now be easily resolved. As shown by the data in Figure 11B, the spacing between the surfaces is about 25 microns.

在一些情況下,針對場中的每個畫素識別表示表面的干涉訊號,並使用CSI演算法進行分析。例如,對於第10圖中在約2.7mm的兩個表面,對預定場中的每個像素採用峰值對比度演算法(peak contrast algorithm),以產生表面的形貌圖。第12A圖是第一表面(對應於第四玻璃平板後表面之堆疊內的表面8)的形貌圖。第12B圖是第二表面的形貌圖(對應於第五玻璃平板後表面之堆疊內的表面9)。表面8實際上包括壓印特徵,可以在第12A圖中觀察到明顯的界線(step)1200,由於特徵的複雜光學特性使對比度包絡移位。由於這兩個表面的資料是在單次掃描中獲得的,因此它們的相對方向得以保留,並且它們之間的間隙可以根據它們的差異來計算。如第13圖所示,間隙可以用三維圖式表示,其中z軸對應於間隙厚度。 In some cases, interference signals representing surfaces are identified for each pixel in the field and analyzed using a CSI algorithm. For example, for two surfaces at about 2.7 mm in Figure 10, a peak contrast algorithm is applied to each pixel in the predetermined field to generate a topography map of the surfaces. Figure 12A is a topographical view of the first surface (surface 8 within the stack corresponding to the rear surface of the fourth glass plate). Figure 12B is a topographical view of the second surface (surface 9 within the stack corresponding to the rear surface of the fifth glass plate). Surface 8 actually comprises embossed features, a distinct step 1200 can be observed in Figure 12A, shifting the contrast envelope due to the complex optical properties of the features. Since the profile of the two surfaces is acquired in a single scan, their relative orientation is preserved and the gap between them can be calculated from their difference. As shown in Figure 13, the gap can be represented in three dimensions, where the z-axis corresponds to the gap thickness.

堆疊內的其他表面或表面對也可如本文所述進行量測,直到所有感興趣的表面均被量測為止。雖然本發明揭露的掃描是由第一側照射待測樣品,然後平移待測樣品通過焦平面,但是在一些實施例中,從第一側開始部分地通過待測樣品/堆疊執行掃描可能是有利的。然後,從待測樣品/堆疊的第二個相側執行第二次部分掃描,通過待測樣品/堆疊。例如,上述手段可以藉由在第一次掃描之後和執行第二次掃描之前翻轉待測樣品/堆疊在樣品夾具內的方向來加以實現。當表面和材料的透射性質非常差時,以這種方式執行掃描可能是有用的,避免在待測樣品/堆疊執行單次掃描,使得在待測樣品/堆疊末端附近之界面附近雜訊太大。 Other surfaces or pairs of surfaces within the stack may also be measured as described herein until all surfaces of interest have been measured. Although the scan disclosed herein is to illuminate the test sample from a first side and then translate the test sample through the focal plane, in some embodiments it may be advantageous to perform a scan from the first side and partially through the test sample/stack of. Then, perform a second partial scan from the second phase side of the test sample/stack, through the test sample/stack. For example, this can be achieved by reversing the orientation of the sample/stack within the sample holder after the first scan and before performing the second scan. Performing a scan in this manner may be useful when the transmission properties of the surface and material are very poor, avoiding performing a single scan on the test sample/stack that would be too noisy near the interface near the test sample/stack end .

數位化實現 digital realization

本發明描述的資訊處理的技術特徵可用數位電子電路、計算機硬 體、韌體或者上述組合加以實現。這些特徵可以在資訊載體中的計算機程序產品中具體實現,例如在機器可讀取儲存設備中,讓可編程處理器執行;藉由執行指令程序的可編程處理器來執行這些技術特徵,對輸入資料進行操作並產生輸出,以執行所描述的實現的功能。上述技術特徵可以在可編程系統上之一個或多個可執行的計算機程序中實現,該可編程系統包括至少一個可編程處理器,其被耦接以從資料儲存系統接收數據和指令,並將數據和指令傳輸到至少一個輸入裝置和至少一個輸出裝置。計算機程序包括一組指令,這些指令可以在計算機中直接或間接地執行某種活動或帶來某種結果。計算機程序可以在計算環境中,用任何形式的編程語言編寫,包括編譯或解釋語言,並且可以以任何形式設置,包括作為獨立程序或作為模塊、組件,子程序或其他適合使用的單元。 The technical characteristics of the information processing described in the present invention can be digital electronic circuit, computer hardware body, firmware or a combination of the above. These features can be embodied in a computer program product in an information carrier, for example, in a machine-readable storage device, allowing a programmable processor to execute; these technical features can be executed by a programmable processor executing an instruction program, and the input Materials operate on and produce output to perform the functions of the described implementation. The above-mentioned technical features can be implemented in one or more executable computer programs on a programmable system, which includes at least one programmable processor, which is coupled to receive data and instructions from a data storage system, and Data and instructions are transmitted to at least one input device and at least one output device. A computer program consists of a set of instructions that directly or indirectly perform a certain activity or bring about a certain result in a computer. A computer program may be written in any form of programming language, including compiled or interpreted languages, in a computing environment, and may be arranged in any form, including as a stand-alone program or as a module, component, subroutine or other suitable unit for use.

用於執行指令程序的合適處理器包括:例如,通用和專用微處理器,任何類型的計算機的多個處理器之一者。通常,處理器將從唯讀記憶體或隨機存取存記憶體,或兩者接收指令和資料。計算機包括用於執行指令的處理器和用於存儲指令和資歷的一個或多個記憶體。一般而言,計算機還包括一個或多個大容量儲存裝置,或被操作耦接以與一個或多個大容量儲存設備通訊,大容量儲存裝置用於存儲資料文件;這些大容量儲存設備包括磁碟,例如:內部硬碟和可移除磁碟;磁光碟(magneto-optical disks);和光碟。適合用於具體實施計算機程式指令和資料的儲存裝置包括所有形式的非揮發性記憶體,包括:例如半導體記憶體裝置,例如EPROM,EEPROM和快閃式記憶體裝置;磁碟,例如內部硬碟和可移除磁碟;磁光碟;以及CD-ROM和DVD-ROM磁碟。處理器和記憶體可以由ASIC(特殊用途積體電路)補充或併入其中。這些功能可以在單個進程中實現,也可以分散在一個或多個位置的多個處理器之間。例如,這些功能可以採用雲技術進行數據傳輸、儲存和/或分析。 Suitable processors for the execution of a program of instructions include, by way of example, general and special purpose microprocessors, one of the many processors of any kind of computer. Generally, a processor will receive instructions and data from read only memory or random access memory, or both. A computer includes a processor for executing instructions and one or more memories for storing instructions and qualifications. In general, a computer also includes, or is operatively coupled to communicate with, one or more mass storage devices for storing data files; these mass storage devices include magnetic Disks, such as: internal hard disks and removable disks; magneto-optical disks; and optical disks. Storage devices suitable for embodying computer program instructions and data include all forms of non-volatile memory including: for example semiconductor memory devices such as EPROM, EEPROM and flash memory devices; magnetic disks such as internal hard drives and removable disks; magneto-optical disks; and CD-ROM and DVD-ROM disks. Processors and memory can be supplemented by or incorporated in ASICs (Special Application Integrated Circuits). These functions can be implemented in a single process or distributed among multiple processors in one or more locations. For example, these functions may use cloud technology for data transmission, storage and/or analysis.

範疇 category

必須注意的是,如本文和所附申請專利範圍中所使用的,單數形式『一』(a)、『一個』(an)和『該』(the)可包括複數指示物,除非上下文另有明確規定,例如,當明確使用單數量詞『單一』(single)時。 It must be noted that, as used herein and in the appended claims, the singular forms "a", "an" and "the" may include plural referents unless the context otherwise requires Specify, for example, when the singular quantifier "single" (single) is explicitly used.

本文所使用之用語『適應的』(adapted)和『配置的』(configured)意味著元件、組件或其他標的(subject matter)被設計且/或旨在執行給定功能。因此,使用『適應』和『配置』並非意指『能夠』簡單地執行給定功能之特定元件、組件或其他標的。 As used herein, the terms "adapted" and "configured" mean that an element, assembly, or other subject matter is designed and/or intended to perform a given function. Accordingly, the use of "adapt" and "configure" does not mean a specific element, component or other subject matter that is simply "capable of" performing a given function.

如這裡所使用的,關於一個以上實體列表,『至少一個(至少一者)』和『一個或多個』是指實體列表中的任何一個或多個實體,並且不限於實體列表中具體列出的每個實體中的至少一個。例如,『A和B中的至少一個』(或意義相同的『A或B中的至少一個』或『A和/或B中的至少一個』)可以僅指A、B單獨使用,或A和B的組合。 As used herein, with respect to a list of more than one entity, "at least one" and "one or more" refer to any one or more entities in the entity list, and are not limited to those specifically listed in the entity list At least one of each entity in . For example, "at least one of A and B" (or "at least one of A or B" or "at least one of A and/or B" with the same meaning) may only mean that A and B are used alone, or that A and A combination of B.

如這裡所使用的,第一實體和第二實體之間的連接詞『和/或』意指(1)第一實體;(2)第二實體和(3)第一實體和第二實體之其中一者。用『和/或』列出的多個實體應以相同的方式解釋,即,依此方式結合的實體的『一個或多個』。除了『和/或』子句具體標識的實體之外,可以可選擇性地存在其他實體,無論是與具體標識的那些實體相關還是不相關。 As used herein, the conjunction "and/or" between the first entity and the second entity means (1) the first entity; (2) the second entity and (3) between the first entity and the second entity one of them. Multiple entities listed with "and/or" should be construed in the same fashion, ie, "one or more" of the entities so conjoined. Other entities may optionally be present other than the entities specifically identified by the "and/or" clause, whether related or unrelated to those entities specifically identified.

雖然本說明書包含許多具體的實施細節,但這些不應被解釋為對任何發明或可能請求保護的範圍的限制,而是作為特定於特定發明的特定實施例的特徵的描述。 While this specification contains many specific implementation details, these should not be construed as limitations on the scope of any invention or of what may be claimed, but rather as descriptions of features specific to particular embodiments of particular inventions.

在單獨實施例的上下文中在本說明書中描述的某些特徵也可以在單個實施例中組合實現。反過來說,在單個實施例的上下文中描述的各種特徵也可以單獨地或以任何合適的子組合在多個實施例中實現。 Certain features that are described in this specification in the context of separate embodiments can also be implemented in combination in a single embodiment. Conversely, various features that are described in the context of a single embodiment can also be implemented in multiple embodiments separately or in any suitable subcombination.

此外,儘管上面的特徵可以描述為藉由某些組合起作用,並且即使最初即是如此聲明,但是在某些情況下,可以從組合中從所請求保護的組合的一個或多個特徵之中移除某些特徵,並且所請求保護的組合意指子組合或子組合的變化。 Furthermore, although the above features may be described as functioning by certain combinations, and even if initially stated as such, in some cases, one or more features of the claimed combination may be removed from the combination. Certain features are removed, and claimed combinations mean subcombinations or variations of subcombinations.

類似地,雖然在圖式中以特定順序描繪了操作方式,但是這不應該被理解為必須要以所示特定順序或按順序執行這些操作,或者執行所有顯示出的操作,以實現期望的結果。在某些情況下,多工處理和並行處理可能是有利的。此外,上述實施例中的各種系統元件的分離不應被理解為在所有實施例中都需要這種分離,並且應該理解,所描述的程序組件和系統通常可以整合在單個軟體產品中,或拆成多種套裝軟體產品。 Similarly, while operations are depicted in the drawings in a particular order, this should not be understood as necessarily requiring that operations be performed in the particular order shown or sequentially, or that all illustrated operations be performed, to achieve desirable results . In some cases, multitasking and parallel processing may be advantageous. Furthermore, the separation of various system elements in the above-described embodiments should not be construed as requiring such separation in all embodiments, and it should be understood that the described program components and systems may generally be integrated into a single software product or disassembled. Into a variety of packaged software products.

本發明所請標的之實施例如上所述。以下申請專利範圍包含其他實施例。在一些情況下,申請專利範圍中記載的動作可以以不同的順序執行並且仍然實現期望的結果。另外,圖式中描繪的過程不一定需要所示的特定順序或順序次序來實現期望的結果。在某些實施例中,多工處理和並行處理可能是較佳的。 Embodiments of the claimed subject matter of the present invention are as described above. The following claims include other embodiments. In some cases, the actions recited in the claims can be performed in a different order and still achieve desirable results. In addition, the processes depicted in the figures do not necessarily require the particular order shown, or sequential order, to achieve desirable results. In some embodiments, multiplexing and parallel processing may be preferred.

本發明許多實施例如上所述。然而,應該理解,在不脫離本發明的精神和範圍的前提下,可以進行各種修改。因此,其他實施例在下述申請專利範圍的範圍內。 Many embodiments of the invention are described above. However, it should be understood that various modifications may be made without departing from the spirit and scope of the invention. Accordingly, other embodiments are within the scope of the following claims.

600:流程 600: process

602:將樣品干涉資料從時間域轉換至頻率域 602: Convert sample interference data from time domain to frequency domain

604:在頻率域中,識別干涉資料的相位變化 604: In the frequency domain, identify the phase change of the interference data

606:取得干涉訊號之至少一個子集合的相位變化 606: Obtain phase changes of at least a subset of interferometric signals

608:取得擬合相位變化之擬合函式 608: Obtain the fitting function of fitting phase change

610:從樣品干涉資料中,移除已識別之相位變化,以取得補償後干涉資料 610: Remove the identified phase variation from the sample interferometric data to obtain compensated interferometric data

612:將補償後干涉資料轉換回時間域 612: Convert the compensated interference data back to the time domain

Claims (38)

一種多層結構之計量方法,包括:使用一低同調影像干涉儀系統,提供一待測樣品之一樣品干涉資料至一電子處理器,其中該待測樣品包括一堆疊中之複數層;使用該電子處理器,將該樣品干涉資料轉換至一頻率域;識別該樣品干涉資料在該頻率域中之一非線性相位變化,其中已識別之該非線性相位變化是一量測光束入射至該待測樣品後發生色散之結果;從該樣品干涉資料中,移除在該頻率域中之已識別之該非線性相位變化,藉此產生一補償後干涉資料;引導該量測光束沿著一量測光束路徑,入射至該待測樣品;引導一參考光束沿著一參考光束路徑,入射至一參考面,其中該量測光束與該參考光束來自一共同光源產生之光,該光包含複數波長,其中該待測樣品對該等波長至少是部分透明的;在該參考光束與該量測光束分別入射至該參考面與該待測樣品後,結合該參考光束與該量測光束,形成一輸出光束;引導該輸出光束至一偵測器陣列,該偵測器陣列包含複數偵測器元件;以及記錄來自該偵測器陣列之複數干涉訊號,該等干涉訊號之每一者對應於該待測樣品上之不同位置,該樣品干涉資料包含該等干涉訊號;執行該堆疊之一初始掃描,以識別與該堆疊中之至少一候選界面位置有關的資訊;根據該待測樣品中與該至少一候選界面位置有關的該資訊,重新定位一干涉儀物鏡及/或該待測樣品,以將該堆疊的一第一界面定位在該量測光束的一焦平面附近;以及 平移該干涉儀物鏡及/或該待測樣品,擷取該樣品干涉資料,使得該第一界面穿過該焦平面。 A method for metrology of multilayer structures, comprising: using a low coherence image interferometer system, providing sample interference data of a sample to be tested, wherein the sample to be tested includes a plurality of layers in a stack; using the electronic a processor, converting the sample interference data into a frequency domain; identifying a nonlinear phase change of the sample interference data in the frequency domain, wherein the identified nonlinear phase change is a measurement beam incident on the sample to be measured As a result of subsequent dispersion; removing the identified nonlinear phase change in the frequency domain from the sample interference data, thereby producing a compensated interference data; directing the measurement beam along a measurement beam path , incident to the sample to be measured; guiding a reference beam along a reference beam path, incident to a reference surface, wherein the measurement beam and the reference beam come from light generated by a common light source, the light contains complex wavelengths, wherein the The sample to be tested is at least partially transparent to the wavelengths; after the reference beam and the measurement beam are respectively incident on the reference surface and the sample to be tested, the reference beam and the measurement beam are combined to form an output beam; directing the output beam to a detector array comprising a plurality of detector elements; and recording a plurality of interference signals from the detector array, each of the interference signals corresponding to the sample to be tested The sample interference data includes the interference signals at different positions on the stack; an initial scan of the stack is performed to identify information related to at least one candidate interface position in the stack; the information about the position of the interface, repositioning an interferometer objective and/or the sample under test to position a first interface of the stack near a focal plane of the measurement beam; and Translating the interferometer objective lens and/or the sample to be measured to capture interference data of the sample so that the first interface passes through the focal plane. 如申請專利範圍第1項所述之方法,其中識別該樣品干涉資料之該非線性相位變化的步驟,更包括:在該頻率域中,從該干涉訊號之至少一子集合中,取得一平均相位變化;以及取得擬合該平均相位變化之一擬合函式,其中移除已識別之該非線性相位變化的步驟包括:在該頻率域中,從該樣品干涉資料中,移除該擬合函式。 The method described in claim 1, wherein the step of identifying the nonlinear phase variation of the sample interference data further includes: obtaining an average phase from at least a subset of the interference signals in the frequency domain change; and obtaining a fitting function that fits the average phase change, wherein the step of removing the identified nonlinear phase change comprises: removing the fitting function from the sample interference data in the frequency domain Mode. 如申請專利範圍第2項所述之方法,其中擬合該平均相位變化之該擬合函式具有二次形式。 The method described in claim 2, wherein the fitting function for fitting the average phase change has a quadratic form. 如申請專利範圍第2項所述之方法,其中擬合該平均相位變化之該擬合函式為次冪大於兩次之多項式。 The method described in item 2 of the scope of the patent application, wherein the fitting function for fitting the average phase change is a polynomial whose power is greater than twice. 如申請專利範圍第1項所述之方法,包括:將該補償後干涉資料轉換回一時間域,其中在該時間域中之該補償後干涉資料包括複數補償後干涉訊號;以及使用該電子處理器,處理在該時間域中之該補償後干涉資料,以決定與該待測樣品有關的資訊。 The method described in claim 1, comprising: converting the compensated interference data back to a time domain, wherein the compensated interference data in the time domain includes complex compensated interference signals; and using the electronic processing A device processes the compensated interference data in the time domain to determine information related to the sample to be tested. 如申請專利範圍第5項所述之方法,其中處理在該時間域中之該補償後干涉資料,以決定與該待測樣品有關的資訊的步驟更包括:決定該待測樣品中之一第一界面與一第二界面之間的一距離。 The method described in item 5 of the scope of the patent application, wherein the step of processing the compensated interference data in the time domain to determine the information related to the sample to be tested further includes: determining a first one of the samples to be tested A distance between an interface and a second interface. 如申請專利範圍第6項所述之方法,其中決定該待測樣品中之該第一界面與該第二界面之間的該距離的步驟更包括:對於該等補償後干涉訊號之每一者,識別對應於該待測樣品中之該第一界面 的一第一強度峰值與對應於該待測樣品中之該第二界面的一第二強度峰值;以及對於該等複數補償後干涉訊號之每一者,求出已識別之該第一強度峰值出現的位置與已識別之該第二強度峰值出現的位置之間的一間隔。 The method described in claim 6, wherein the step of determining the distance between the first interface and the second interface in the sample to be tested further includes: for each of the compensated interference signals , identifying the first interface corresponding to the sample to be tested and a first intensity peak corresponding to the second interface in the sample to be tested; and for each of the complex compensated interference signals, obtaining the identified first intensity peak The interval between the occurrence and the identified occurrence of the second intensity peak. 如申請專利範圍第7項所述之方法,包括根據對於該等補償後干涉訊號之每一者求出之該間隔,決定該第一界面與該第二界面之間的平行度。 The method described in item 7 of the claimed claims includes determining the parallelism between the first interface and the second interface according to the distance obtained for each of the compensated interference signals. 如申請專利範圍第5項所述之方法,其中處理在該時間域中之該補償後干涉資料,以決定與該待測樣品有關的資訊的步驟更包括:決定該待測樣品中之一第一界面之平坦度。 The method described in item 5 of the scope of the patent application, wherein the step of processing the compensated interference data in the time domain to determine the information related to the sample to be tested further includes: determining a first one of the samples to be tested The flatness of an interface. 如申請專利範圍第5項所述之方法,其中處理在該時間域中之該補償後干涉資料,以決定與該待測樣品有關的資訊的步驟更包括:決定該待測樣品中一第一平板之厚度。 The method described in item 5 of the scope of the patent application, wherein the step of processing the compensated interference data in the time domain to determine the information related to the sample to be tested further includes: determining a first in the sample to be tested The thickness of the plate. 如申請專利範圍第5項所述之方法,其中處理在該時間域中之該補償後干涉資料,以決定與該待測樣品有關的資訊的步驟更包括:決定該待測樣品中之一薄膜之厚度。 The method described in claim 5, wherein the step of processing the compensated interference data in the time domain to determine information related to the sample to be tested further includes: determining a thin film in the sample to be tested the thickness. 如申請專利範圍第5項所述之方法,其中在該待測樣品中有兩個平板被一間隙所分隔,且其中處理在該時間域中之該補償後干涉資料,以決定與該待測樣品有關的資訊的步驟更包括:決定該等兩個平板之間的該間隙的厚度。 The method described in claim 5, wherein there are two flat plates separated by a gap in the sample to be tested, and wherein the compensated interference data in the time domain is processed to determine the correlation with the sample to be tested The step of sample-related information further includes: determining the thickness of the gap between the two flat plates. 如申請專利範圍第12項所述之方法,更包括產生該間隙之一三維形貌圖。 The method described in item 12 of the scope of the patent application further includes generating a three-dimensional topography map of the gap. 如申請專利範圍第12項所述之方法,更包括決定該間隙之一 平均厚度。 The method described in item 12 of the scope of the patent application further includes determining one of the gaps The average thickness. 如申請專利範圍第1項所述之方法,其中執行該初始掃描的步驟包括:將該干涉儀物鏡及/或該待測樣品相對平移;在將該干涉儀物鏡及/或該待測樣品相對平移的期間,記錄來自該偵測器陣列之該等干涉訊號,該等干涉訊號之每一者對應於該待測樣品上的不同位置,並且被以一干涉條紋頻率(interference fringe frequency)之次奈奎斯特頻率(sub-Nyquist frequency)進行取樣;以及根據該等干涉訊號,決定該至少一候選界面位置。 The method described in item 1 of the scope of the patent application, wherein the step of performing the initial scanning includes: relatively moving the interferometer objective lens and/or the sample to be measured; During translation, the interference signals from the detector array are recorded, each of the interference signals corresponds to a different position on the sample to be measured and is divided by an interference fringe frequency Sampling at sub-Nyquist frequency; and determining the position of the at least one candidate interface according to the interference signals. 如申請專利範圍第1項所述之方法,執行該初始掃描的步驟包括:將該待測樣品定位在相對於該干涉儀物鏡的一第一位置;執行該干涉儀物鏡及/或該待測樣品相對於彼此的一第一次平移;在該第一次平移期間,記錄來自該偵測器陣列之複數第一干涉訊號;將該待測樣品定位在相對於該干涉儀物鏡的一第二位置;執行該干涉儀物鏡及/或該待測樣品相對於彼此的一第二次平移;在該第二次平移期間,記錄來自該偵測器陣列之複數第二干涉訊號;以及根據該等第一干涉訊號與該等第二干涉訊號,決定該至少一候選界面位置。 According to the method described in item 1 of the patent scope of the application, the step of performing the initial scanning includes: positioning the sample to be measured at a first position relative to the interferometer objective lens; executing the interferometer objective lens and/or the a first translation of the samples relative to each other; during the first translation, a plurality of first interference signals from the detector array are recorded; the sample to be measured is positioned at a second relative to the interferometer objective position; perform a second translation of the interferometer objective lens and/or the sample to be measured relative to each other; during the second translation, record a plurality of second interference signals from the detector array; and according to the The first interference signal and the second interference signals determine the position of the at least one candidate interface. 如申請專利範圍第1項所述之方法,其中在該堆疊中之該等層中至少有一者為一玻璃平板。 The method of claim 1, wherein at least one of the layers in the stack is a glass plate. 如申請專利範圍第1項所述之方法,其中該堆疊包括一第一平板,以及在該第一平板上一第一表面上形成的一介電膜。 The method according to claim 1, wherein the stack includes a first flat plate, and a dielectric film formed on a first surface of the first flat plate. 如申請專利範圍第1項所述之方法,其中該堆疊包括一第一平板,以及在該第一平板上一第一表面上形成的一第一繞射光柵。 The method as described in claim 1 of the patent claims, wherein the stack includes a first flat plate, and a first diffraction grating formed on a first surface of the first flat plate. 如申請專利範圍第19項所述之方法,其中該第一繞射光柵為一光學耦合器,該光學耦合器用於將光耦合進入該第一平板、耦合離開該第一平板,或耦合進入及離開該第一平板。 The method described in claim 19, wherein the first diffraction grating is an optical coupler for coupling light into the first plate, out of the first plate, or into and into Leave the first plate. 如申請專利範圍第19項所述之方法,其中該堆疊包括在該第一平板上一第二表面上形成的一第二繞射光柵。 The method of claim 19, wherein the stack includes a second diffraction grating formed on a second surface of the first flat plate. 如申請專利範圍第21項所述之方法,其中該第二繞射光柵為一光學耦合器,該光學耦合器用於將光耦合進入該第一平板、耦合離開該第一平板,或耦合進入及離開該第一平板。 The method described in claim 21, wherein the second diffraction grating is an optical coupler for coupling light into the first plate, out of the first plate, or into and into Leave the first plate. 如申請專利範圍第1項所述之方法,其中該共同光源為一白光光源。 The method as described in claim 1 of the patent application, wherein the common light source is a white light source. 如申請專利範圍第1項所述之方法,其中使用該低同調影像干涉儀系統取得一波數範圍,該樣品干涉資料之該非線性相位變化是在該波數範圍內被識別的。 The method described in item 1 of the scope of the patent application, wherein the low-coherence image interferometer system is used to obtain a wavenumber range, and the nonlinear phase change of the sample interference data is identified within the wavenumber range. 一種干涉儀系統,包括:一低同調光源,用以發射具有複數波長之光;一干涉儀物鏡,用以接收來自該低同調光源之光,引導一部分的光作為一參考光束而沿著一參考光束路徑至一參考面,引導另一部分的光作為一量測光束而沿著一量測光束路徑至一待測樣品,且在該參考光束與該量測光束分別從該參考面與該待測樣品反射之後,將該參考光束與該量測光束結合為一輸出光束;一偵測器陣列,用以接收來自該干涉儀物鏡之該輸出光束,並產生一樣品干 涉資料,該樣品干涉資料包括與該待測樣品有關之資訊,該樣品干涉資料包括複數干涉訊號,該等干涉訊號之每一者對應於該待測樣品上的不同位置;一電子處理器,該電子處理器與該偵測器陣列彼此通訊,該電子處理器用以將該樣品干涉資料轉換至一頻率域,其中該電子處理器更用以識別該樣品干涉資料在該頻率域中之一非線性相位變化,其中該非線性相位變化是該量測光束入射至該待測樣品後發生色散之結果,並從該樣品干涉資料中,移除該非線性相位變化,藉此產生一補償後干涉資料;以及其中該電子處理器更用以:i)執行一堆疊之一初始掃描,以識別與該堆疊中之至少一候選界面位置有關的資訊;ii)根據該待測樣品中與該至少一候選界面位置有關的該資訊,重新定位一干涉儀物鏡及/或該待測樣品,以將該堆疊的一第一界面定位在該量測光束的一焦平面附近;以及iii)平移該干涉儀物鏡及/或該待測樣品,擷取該樣品干涉資料,使得該第一界面穿過該焦平面。 An interferometer system comprising: a low-coherence light source for emitting light with complex wavelengths; an interferometer objective lens for receiving light from the low-coherence light source and guiding a part of the light as a reference beam along a reference The beam path is to a reference surface, and another part of the light is guided as a measurement beam along a measurement beam path to a sample to be measured, and when the reference beam and the measurement beam are respectively from the reference surface and the to-be-measured After sample reflection, the reference beam and the measurement beam are combined into an output beam; a detector array is used to receive the output beam from the interferometer objective lens and generate a sample interference related data, the sample interference data includes information related to the sample to be tested, the sample interference data includes a plurality of interference signals, each of the interference signals corresponds to a different position on the sample to be tested; an electronic processor, The electronic processor and the detector array communicate with each other, the electronic processor is used to convert the sample interference data to a frequency domain, wherein the electronic processor is further used to identify the sample interference data in the frequency domain a linear phase change, wherein the nonlinear phase change is the result of dispersion of the measurement beam incident on the sample to be measured, and the nonlinear phase change is removed from the sample interference data, thereby generating a compensated interference data; And wherein the electronic processor is further used to: i) perform an initial scan of a stack to identify information related to the location of at least one candidate interface in the stack; position, repositioning an interferometer objective and/or the sample to be measured to position a first interface of the stack near a focal plane of the measurement beam; and iii) translating the interferometer objective and and/or the sample to be measured, extracting interference data of the sample so that the first interface passes through the focal plane. 如申請專利範圍第25項所述之系統,其中該電子處理器進一步用以:在該頻率域中,從該干涉訊號之至少一子集合中,取得一平均相位變化;以及使用一擬合函式擬合該平均相位變化。 The system of claim 25, wherein the electronic processor is further configured to: obtain an average phase change from at least a subset of the interference signals in the frequency domain; and use a fitting function The formula fits this average phase change. 如申請專利範圍第26項所述之系統,其中該擬合函式具有二 次形式。 The system described in claim 26, wherein the fitting function has two secondary form. 如申請專利範圍第26項所述之系統,其中該擬合函式為次冪大於兩次之多項式。 The system as described in claim 26 of the patent application, wherein the fitting function is a polynomial whose power is greater than twice. 如申請專利範圍第25項所述之系統,其中該電子處理器進一步用以:將該補償後干涉資料轉換回一時間域,其中在該時間域中之該補償後干涉資料包括複數補償後干涉訊號;以及處理在該時間域中之該補償後干涉資料,以決定與該待測樣品有關的資訊。 The system of claim 25, wherein the electronic processor is further configured to: convert the compensated interferometric data back to a time domain, wherein the compensated interferometric data in the time domain includes complex compensated interferometric data signal; and processing the compensated interference data in the time domain to determine information related to the sample to be tested. 如申請專利範圍第29項所述之系統,其中與該待測樣品有關的資訊包括該待測樣品中之一第一界面與一第二界面之間的一距離。 The system as described in claim 29, wherein the information related to the sample to be tested includes a distance between a first interface and a second interface in the sample to be tested. 如申請專利範圍第30項所述之系統,其中處理在該時間域中之該補償後干涉資料,以決定該待測樣品中之該第一界面與該第二界面之間的該距離包括:對於該等補償後干涉訊號之每一者,識別對應於該待測樣品中之該第一界面的一第一強度峰值與對應於該待測樣品中之該第二界面的一第二強度峰值;以及對於該等複數補償後干涉訊號之每一者,求出已識別之該第一強度峰值出現的位置與已識別之該第二強度峰值出現的位置之間的一間隔。 The system described in claim 30, wherein processing the compensated interference data in the time domain to determine the distance between the first interface and the second interface in the sample to be tested comprises: For each of the compensated interference signals, identifying a first intensity peak corresponding to the first interface in the test sample and a second intensity peak corresponding to the second interface in the test sample and, for each of the complex compensated interference signals, finding an interval between the identified location where the first intensity peak occurs and the identified location where the second intensity peak occurs. 如申請專利範圍第29項所述之系統,其中與該待測樣品有關的資訊包括該待測樣品中之一第一界面之平坦度。 The system as described in claim 29, wherein the information related to the sample to be tested includes the flatness of a first interface in the sample to be tested. 如申請專利範圍第29項所述之系統,其中與該待測樣品有關的資訊包括該待測樣品中一第一平板之厚度。 The system as described in claim 29, wherein the information related to the sample to be tested includes the thickness of a first flat plate in the sample to be tested. 如申請專利範圍第29項所述之系統,其中在該待測樣品中有兩個平板被一間隙所分隔,且其中與該待測樣品有關的資訊包括該等兩個平板之間的該間隙的厚度。 The system of claim 29, wherein in the sample to be tested there are two plates separated by a gap, and wherein the information related to the sample to be tested includes the gap between the two plates thickness of. 如申請專利範圍第29項所述之系統,其中與該待測樣品有關的資訊包括該待測樣品中之一薄膜之厚度。 The system as described in claim 29, wherein the information related to the sample to be tested includes the thickness of a film in the sample to be tested. 如申請專利範圍第25項所述之系統,其中該干涉儀物鏡包括一麥克生干涉儀(Michelson interferometer)物鏡。 The system as described in claim 25, wherein the interferometer objective lens includes a Michelson interferometer objective lens. 如申請專利範圍第25項所述之系統,其中該干涉儀物鏡包括一Mirau干涉儀物鏡、一Linnik干涉儀物鏡,或一廣視野(wide field)物鏡。 The system as described in claim 25, wherein the interferometer objective lens includes a Mirau interferometer objective lens, a Linnik interferometer objective lens, or a wide field objective lens. 如申請專利範圍第25項所述之系統,其中該低同調光源包括一白光光源。 The system as described in claim 25, wherein the low-coherence light source includes a white light source.
TW108105958A 2018-02-28 2019-02-22 Metrology of multi-layer stacks and interferometer system TWI794416B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862636419P 2018-02-28 2018-02-28
US62/636,419 2018-02-28

Publications (2)

Publication Number Publication Date
TW201944025A TW201944025A (en) 2019-11-16
TWI794416B true TWI794416B (en) 2023-03-01

Family

ID=67684426

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108105958A TWI794416B (en) 2018-02-28 2019-02-22 Metrology of multi-layer stacks and interferometer system

Country Status (6)

Country Link
US (1) US10591284B2 (en)
EP (1) EP3759423A4 (en)
JP (1) JP7174060B2 (en)
KR (1) KR102558264B1 (en)
TW (1) TWI794416B (en)
WO (1) WO2019168982A1 (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6333351B1 (en) * 2016-12-27 2018-05-30 Ntn株式会社 Measuring device, coating device, and film thickness measuring method
JP6402273B1 (en) * 2018-05-18 2018-10-10 大塚電子株式会社 Optical measuring apparatus and optical measuring method
WO2021077122A1 (en) * 2019-10-19 2021-04-22 Apollo Medical Optics, Ltd. Optical system and interference objective module therof
IT201900023202A1 (en) 2019-12-06 2021-06-06 Adige Spa Procedure and system for determining the separation distance between a body and the surface of an object using low coherence optical interferometry techniques in subsampling distortion regime
WO2021168612A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
WO2021168611A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
WO2021168613A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
WO2021168610A1 (en) * 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems having light source with extended spectrum for semiconductor chip surface topography metrology
CN111998794B (en) * 2020-09-08 2021-04-27 中国民用航空飞行学院 Measuring and evaluating method for maintaining and adhering surface topography of composite material of navigation aircraft
US11846574B2 (en) 2020-10-29 2023-12-19 Hand Held Products, Inc. Apparatuses, systems, and methods for sample capture and extraction
CN116592795B (en) * 2023-07-14 2023-09-26 浙江至格科技有限公司 AR lens parallelism measuring method and system

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200421039A (en) * 2002-09-20 2004-10-16 Asml Netherlands Bv Device inspection
CN101181153A (en) * 2007-12-12 2008-05-21 中国科学院上海光学精密机械研究所 High-resolution optical coherence tomography method
TW200940948A (en) * 2007-12-14 2009-10-01 Zygo Corp Analyzing surface structure using scanning interferometry
TW201514443A (en) * 2013-06-26 2015-04-16 賽格股份有限公司 Coherence scanning interferometry using phase shifted interferometrty signals
TW201728869A (en) * 2015-12-22 2017-08-16 法格爾微科技公司 Device and method for measuring height in the presence of thin layers

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5398113A (en) 1993-02-08 1995-03-14 Zygo Corporation Method and apparatus for surface topography measurement by spatial-frequency analysis of interferograms
US5953124A (en) 1998-01-19 1999-09-14 Zygo Corporation Interferometric methods and systems using low coherence illumination
US6882432B2 (en) * 2000-08-08 2005-04-19 Zygo Corporation Frequency transform phase shifting interferometry
CN1784587B (en) * 2003-03-06 2010-09-08 齐戈股份有限公司 Profiling complex surface structures using scanning interferometry
KR101239250B1 (en) * 2004-05-29 2013-03-05 더 제너럴 하스피탈 코포레이션 Process, system and software arrangement for a chromatic dispersion compensation using reflective layers in optical coherence tomography (oct) imaging
US20060285120A1 (en) * 2005-02-25 2006-12-21 Verity Instruments, Inc. Method for monitoring film thickness using heterodyne reflectometry and grating interferometry
TWI394930B (en) * 2005-05-19 2013-05-01 Zygo Corp Method and apparatus for analyzing low-coherence interferometry signals for obtaining information about thin film structures
WO2008011510A2 (en) 2006-07-21 2008-01-24 Zygo Corporation Compensation of systematic effects in low coherence interferometry
WO2010148277A2 (en) 2009-06-19 2010-12-23 Zygo Corporation Equal-path interferometer
WO2011083544A1 (en) * 2010-01-06 2011-07-14 パナソニック株式会社 Film thickness measuring apparatus using interference and method of measuring film thickness using interference
US8804129B2 (en) * 2011-01-26 2014-08-12 Mitutoyo Corporation Method and apparatus for performing film thickness measurements using white light scanning interferometry
US9377292B2 (en) 2013-08-06 2016-06-28 Zygo Corporation Interferometry employing refractive index dispersion broadening of interference signals
JP6379031B2 (en) * 2014-12-26 2018-08-22 国立研究開発法人理化学研究所 Wavefront distortion measuring device, wavefront compensating device, optical measuring device, and method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200421039A (en) * 2002-09-20 2004-10-16 Asml Netherlands Bv Device inspection
CN101181153A (en) * 2007-12-12 2008-05-21 中国科学院上海光学精密机械研究所 High-resolution optical coherence tomography method
TW200940948A (en) * 2007-12-14 2009-10-01 Zygo Corp Analyzing surface structure using scanning interferometry
TW201514443A (en) * 2013-06-26 2015-04-16 賽格股份有限公司 Coherence scanning interferometry using phase shifted interferometrty signals
TW201728869A (en) * 2015-12-22 2017-08-16 法格爾微科技公司 Device and method for measuring height in the presence of thin layers

Also Published As

Publication number Publication date
TW201944025A (en) 2019-11-16
JP7174060B2 (en) 2022-11-17
EP3759423A4 (en) 2021-04-28
US20190265023A1 (en) 2019-08-29
KR20200118218A (en) 2020-10-14
KR102558264B1 (en) 2023-07-20
WO2019168982A1 (en) 2019-09-06
US10591284B2 (en) 2020-03-17
EP3759423A1 (en) 2021-01-06
JP2021515218A (en) 2021-06-17

Similar Documents

Publication Publication Date Title
TWI794416B (en) Metrology of multi-layer stacks and interferometer system
US7102761B2 (en) Scanning interferometry
US7595891B2 (en) Measurement of the top surface of an object with/without transparent thin films in white light interferometry
TWI671501B (en) Method and white light interferometer for characterizing a sample, method for processing white light interferometric data from a sample with a patterned structure, and white light interferometer for measuring a sample with a patterned structure
JPH09503065A (en) Interferometric measuring method and apparatus for measuring surface shape
JP7138734B2 (en) Dual interferometric sample thickness gauge
JP2007533977A (en) Wavefront manipulation and improved 3D measurement method and apparatus
TWI646303B (en) Methods and systems of determining an instrument transfer function of an apparatus
US9395173B2 (en) Multi-functioned optical measurement device and method for optically measuring a plurality of parameters
Jo et al. Thickness and surface measurement of transparent thin-film layers using white light scanning interferometry combined with reflectometry
KR102285818B1 (en) Apparatus for monitoring three-dimensional shape of target object capable of auto focusing in real time
KR20220073765A (en) Apparatus and method for imaging and interferometry
TW202020400A (en) Surface shape measurement device and surface shape measurement method
KR101716452B1 (en) System and method for measuring high height by digital holography microscope
JP6196841B2 (en) Transmitted wavefront measuring apparatus and transmitted wavefront measuring method
TW202129222A (en) Hybrid 3d inspection system
JP5699221B2 (en) Interferometer with virtual reference plane
JP5518187B2 (en) Deformation measurement method
WO2020037837A1 (en) Three-dimensional imaging apparatus based on k space transformation and imaging method thereof
JP2008196901A (en) Light wave interference measuring device
RU2641639C2 (en) Method for measuring thickness of thin film and mapping topography of its surface using white light interferometer
JP2019537726A (en) Method and apparatus for optimizing optical performance of an interferometer
KR20120016419A (en) Method for measuring width of sample using 3d shape measuring unit
US20060126476A1 (en) Dynamic fringe phase detection for measurement of very small spacing
Quinten et al. Optical Surface Metrology: Methods