TWI791214B - Integrated circuit device and method for fabricating the same - Google Patents
Integrated circuit device and method for fabricating the same Download PDFInfo
- Publication number
- TWI791214B TWI791214B TW110114942A TW110114942A TWI791214B TW I791214 B TWI791214 B TW I791214B TW 110114942 A TW110114942 A TW 110114942A TW 110114942 A TW110114942 A TW 110114942A TW I791214 B TWI791214 B TW I791214B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- source
- drain
- gate
- contact
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims description 62
- 239000010410 layer Substances 0.000 claims abstract description 425
- 239000011229 interlayer Substances 0.000 claims abstract description 65
- 239000000758 substrate Substances 0.000 claims abstract description 31
- 239000002243 precursor Substances 0.000 claims description 33
- 239000003989 dielectric material Substances 0.000 claims description 32
- 238000004519 manufacturing process Methods 0.000 claims description 15
- 125000006850 spacer group Chemical group 0.000 description 24
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 21
- 229910052751 metal Inorganic materials 0.000 description 17
- 239000002184 metal Substances 0.000 description 17
- 238000005530 etching Methods 0.000 description 16
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 13
- 229910052710 silicon Inorganic materials 0.000 description 13
- 239000010703 silicon Substances 0.000 description 13
- 229910052581 Si3N4 Inorganic materials 0.000 description 11
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 11
- 239000000463 material Substances 0.000 description 10
- 238000005229 chemical vapour deposition Methods 0.000 description 9
- 230000003071 parasitic effect Effects 0.000 description 9
- 239000000377 silicon dioxide Substances 0.000 description 9
- 239000004065 semiconductor Substances 0.000 description 8
- 235000012239 silicon dioxide Nutrition 0.000 description 8
- 230000004888 barrier function Effects 0.000 description 7
- 239000007769 metal material Substances 0.000 description 7
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 6
- 239000005380 borophosphosilicate glass Substances 0.000 description 6
- 238000000151 deposition Methods 0.000 description 6
- 239000005350 fused silica glass Substances 0.000 description 6
- 239000005360 phosphosilicate glass Substances 0.000 description 6
- 238000000231 atomic layer deposition Methods 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 5
- 238000001312 dry etching Methods 0.000 description 5
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 5
- 229910010271 silicon carbide Inorganic materials 0.000 description 5
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 4
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 4
- 229910017052 cobalt Inorganic materials 0.000 description 4
- 239000010941 cobalt Substances 0.000 description 4
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 4
- 229910052739 hydrogen Inorganic materials 0.000 description 4
- 239000001257 hydrogen Substances 0.000 description 4
- 239000012212 insulator Substances 0.000 description 4
- 229910052707 ruthenium Inorganic materials 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 4
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 4
- 229910052721 tungsten Inorganic materials 0.000 description 4
- 239000010937 tungsten Substances 0.000 description 4
- 238000001039 wet etching Methods 0.000 description 4
- 230000009969 flowable effect Effects 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- 238000001459 lithography Methods 0.000 description 3
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- 239000000853 adhesive Substances 0.000 description 2
- 230000001070 adhesive effect Effects 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 238000001465 metallisation Methods 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 230000000149 penetrating effect Effects 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- MXNNQMQWKDAGHO-UHFFFAOYSA-N [C].O(O)O.[Si] Chemical compound [C].O(O)O.[Si] MXNNQMQWKDAGHO-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 1
- 210000000746 body region Anatomy 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- SCCCLDWUZODEKG-UHFFFAOYSA-N germanide Chemical compound [GeH3-] SCCCLDWUZODEKG-UHFFFAOYSA-N 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-M hydroxide Chemical compound [OH-] XLYOFNOQVPJJNP-UHFFFAOYSA-M 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 150000002736 metal compounds Chemical class 0.000 description 1
- WDCKRYQAVLUEDJ-UHFFFAOYSA-N methyl(oxo)silicon Chemical compound C[Si]=O WDCKRYQAVLUEDJ-UHFFFAOYSA-N 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 239000002070 nanowire Substances 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- 239000010948 rhodium Substances 0.000 description 1
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6656—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
- H01L29/41775—Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823418—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823431—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823462—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823475—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
- H01L29/41775—Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
- H01L29/41783—Raised source or drain electrodes self aligned with the gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42356—Disposition, e.g. buried gate electrode
- H01L29/4236—Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42364—Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Design And Manufacture Of Integrated Circuits (AREA)
Abstract
Description
本揭露實施方式是關於一種積體電路元件及其製造方法。 Embodiments of the disclosure relate to an integrated circuit device and a manufacturing method thereof.
在積體電路(IC)的製造中,元件形成於晶圓上且透過導電互連層連接。可在所謂中段製程(MOL)或後段製程(BEOL)期間形成這些導電互連層。中段製程與後段製程在他們均於介電層中形成開口(例如,介電層中之接觸孔、溝渠、或介層窗孔),並接著以導電材料填充這些開口上類似。中段製程不同於後段製程,因中段製程通常發生在製造製程的早期,且可指於例如閘極電極或源極/汲極區之元件結構的正上方或鄰近元件結構形成接觸的製程;而後段製程通常發生在製造製程的晚期,且可指形成連續的金屬化層與介層窗於中段製程所形成之接觸上方的製程。 In the manufacture of integrated circuits (ICs), components are formed on a wafer and connected by conductive interconnect layers. These conductive interconnect layers may be formed during so-called mid-line (MOL) or back-end-of-line (BEOL). Mid-line and back-end processes are similar in that they both form openings in the dielectric layer (eg, contact holes, trenches, or vias in the dielectric layer) and then fill these openings with conductive material. Mid-end process differs from back-end process in that mid-end process usually occurs early in the manufacturing process and can refer to a process in which contacts are made directly above or adjacent to device structures such as gate electrodes or source/drain regions; while back-end Processing typically occurs late in the manufacturing process and may refer to processes that form continuous metallization layers and vias over contacts formed in mid-process.
本揭露提供一種積體電路元件,包含電晶體結構、下層間介電層、以及閘極覆蓋層。電晶體結構設於基材上,且包含一對源極/汲極區與位於此對源極/汲極區之間的閘極電極。下層間介電層設於此對源極/汲極區上,且環繞包圍閘極電極,閘極電極從下層間介電層之頂部凹入。閘極 覆蓋層設於閘極電極上,其中閘極覆蓋層具有與下層間介電層之頂面對齊之頂面。 The disclosure provides an integrated circuit device including a transistor structure, a lower interlayer dielectric layer, and a gate capping layer. The transistor structure is disposed on the substrate and includes a pair of source/drain regions and a gate electrode between the pair of source/drain regions. The lower interlayer dielectric layer is disposed on the pair of source/drain regions and surrounds the gate electrode, and the gate electrode is recessed from the top of the lower interlayer dielectric layer. Gate The capping layer is disposed on the gate electrode, wherein the gate capping layer has a top surface aligned with the top surface of the lower interlayer dielectric layer.
本揭露提供一種積體電路元件,包含電晶體結構、閘極覆蓋層、下蝕刻終止層、以及下源極/汲極接觸。電晶體結構設於基材上,且包含一對源極/汲極區與位於此對源極/汲極區之間的閘極電極。閘極覆蓋層設於閘極電極上。下蝕刻終止層襯著閘極電極與閘極覆蓋層之側壁。下源極/汲極接觸設於相對於閘極電極之下蝕刻終止層的一側,且到達此對源極/汲極區之源極/汲極區上。 The present disclosure provides an integrated circuit device including a transistor structure, a gate capping layer, a lower etch stop layer, and lower source/drain contacts. The transistor structure is disposed on the substrate and includes a pair of source/drain regions and a gate electrode between the pair of source/drain regions. The gate covering layer is disposed on the gate electrode. The lower etch stop layer lines the sidewalls of the gate electrode and the gate capping layer. A lower source/drain contact is provided on the opposite side of the etch stop layer below the gate electrode and reaches on the source/drain region of the pair of source/drain regions.
本揭露提供一種積體電路元件之製造方法,此方法包含形成電晶體結構於基材上,電晶體結構包含一對源極/汲極區與位於此對源極/汲極區之間的閘極電極;形成下蝕刻終止層與下層間介電層於此對源極/汲極區上,且環繞閘極電極;凹入閘極電極,並形成閘極覆蓋前驅物層於凹入之閘極電極上;形成下源極/汲極接觸於此對源極/汲極區之一源極/汲極區上;以閘極覆蓋層置換閘極覆蓋前驅物層,閘極覆蓋層具有小於閘極覆蓋前驅物層之介電常數的介電常數;形成上層間介電層於下層間介電層與閘極覆蓋層上;以及形成閘極接觸穿過上層間介電層與閘極覆蓋層,並到達閘極電極上。 The present disclosure provides a method of manufacturing an integrated circuit device, the method comprising forming a transistor structure on a substrate, the transistor structure comprising a pair of source/drain regions and a gate between the pair of source/drain regions pole electrode; form a lower etch stop layer and a lower interlayer dielectric layer on the pair of source/drain regions, and surround the gate electrode; recess the gate electrode, and form a gate capping precursor layer on the recessed gate electrode; form a lower source/drain contact on one of the pair of source/drain regions; replace the gate capping precursor layer with a gate capping layer having a thickness less than the dielectric constant of the dielectric constant of the gate capping precursor layer; forming an upper ILD layer over the lower ILD layer and the gate capping layer; and forming a gate contact through the upper ILD layer and the gate capping layer layer, and reaches the gate electrode.
101:電晶體結構 101: Transistor structure
102:基材 102: Substrate
103:源極/汲極區 103: source/drain region
104:閘極電極 104: gate electrode
105:閘極介電層 105: gate dielectric layer
106:側壁間隙壁 106: side wall spacer
108:下蝕刻終止層 108: lower etch stop layer
110:下層間介電層 110: lower interlayer dielectric layer
114a:第一接觸覆蓋層 114a: first contact covering layer
114a’:第一接觸覆蓋前驅物層 114a': first contact covering precursor layer
114b:第二接觸覆蓋層 114b: second contact covering layer
116:閘極覆蓋層 116:Gate cover layer
116’:閘極覆蓋前驅物層 116': gate covering precursor layer
118:開口 118: opening
120:下源極/汲極接觸 120: Lower source/drain contact
122:開口 122: opening
124:源極/汲極覆蓋層 124: Source/drain capping layer
126:上蝕刻終止層 126: upper etch stop layer
128:上層間介電層 128: upper interlayer dielectric layer
130:開口 130: opening
132:開口 132: opening
134:開口 134: opening
136:開口 136: opening
137:上源極/汲極接觸 137: Upper source/drain contact
138:金屬核心 138: metal core
139:閘極電極接觸 139: Gate electrode contact
141:本體接觸 141: body contact
141a:第一部分 141a: Part I
141b:第二部分 141b: Part II
142:下接觸結構 142: Lower contact structure
142a:第一下接觸結構 142a: First Lower Contact Structure
142b:第二下接觸結構 142b: second lower contact structure
144:上接觸結構 144: upper contact structure
144a:第一上接觸結構 144a: first upper contact structure
144b:第二上接觸結構 144b: second upper contact structure
152:動作 152: action
154:動作 154: action
156:動作 156: action
158:動作 158: action
160:動作 160: action
162:動作 162: action
400:剖面視圖 400: Section View
404:虛設閘極 404: Dummy gate
500:剖面視圖 500:Section View
600:剖面視圖 600: Section view
700:剖面視圖 700: Section view
800:剖面視圖 800: Section view
900:剖面視圖 900: Section view
1000:剖面視圖 1000: Section view
1100:剖面視圖 1100: Section view
1200:剖面視圖 1200: Section view
1300:剖面視圖 1300: Section view
1400:剖面視圖 1400: Section view
1500:剖面視圖 1500: Sectional view
1600:剖面視圖 1600: Section view
1700:剖面視圖 1700: Sectional view
1800:方法 1800: method
1802:動作 1802: action
1804:動作 1804: action
1806:動作 1806: action
1808:動作 1808: action
1810:動作 1810: action
1812:動作 1812: action
1814:動作 1814: action
下列詳細的描述配合附圖閱讀可使本揭露的各方面獲得最佳的理解。需注意的是,依照業界的標準實務,許多特徵並未按比例繪示。事實上,可任意增加或減少各 特徵之尺寸,以使討論清楚。 Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying drawings. It is noted that, in accordance with the standard practice in the industry, many features are not drawn to scale. In fact, each can be increased or decreased arbitrarily Dimensions of features for clarity of discussion.
圖1A至圖1E係繪示具有接觸覆蓋層之積體電路元件之一些額外實施方式的多個剖面視圖。 1A-1E show various cross-sectional views of some additional embodiments of integrated circuit devices with contact covering layers.
圖2係繪示具有接觸覆蓋層之積體電路元件之一些實施方式的透視圖。 2 is a perspective view of some embodiments of an integrated circuit device with a contact cover layer.
圖3A至圖3G係繪示形成具有接觸覆蓋層之積體電路元件之方法之一些實施方式的一系列剖面視圖與流程圖。 3A-3G are a series of cross-sectional views and flow diagrams illustrating some embodiments of methods of forming integrated circuit devices with contact covering layers.
圖4至圖17係繪示形成具有接觸覆蓋層之積體電路元件之方法之一些實施方式的剖面視圖。 4-17 are cross-sectional views illustrating some embodiments of methods of forming integrated circuit devices with contact covering layers.
圖18係繪示形成具有接觸覆蓋層之積體電路元件之方法之一些實施方式的流程圖。 18 is a flowchart illustrating some embodiments of a method of forming an integrated circuit device with a contact cover layer.
以下揭露提供許多不同實施方式或例子,以實施所提供之標的之不同特徵。以下描述部件及排列的特定例子以簡化本揭露。這些當然僅為例子而非作為限制。舉例而言,在描述中,形成第一特徵於第二特徵之上的製程可包含第一特徵與第二特徵以直接接觸形成的實施方式,亦可包含額外特徵形成於第一特徵與第二特徵之間,而使得第一特徵和第二特徵可非直接接觸。除此之外,本揭露可在多個例子中重複參考符號及/或字母。此重複為簡明與清楚之目的,並非本質上規定在所討論之多個實施方式及/或配置之間的關係。 The following disclosure provides many different embodiments or examples for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are of course only examples and not limitations. For example, in the description, the process of forming a first feature on a second feature may include an embodiment in which the first feature and the second feature are formed in direct contact, or may include an embodiment in which additional features are formed on the first feature and the second feature. Between the features, so that the first feature and the second feature may not be in direct contact. Additionally, the present disclosure may repeat reference symbols and/or letters in various instances. This repetition is for the purposes of brevity and clarity and does not inherently dictate the relationship between the various embodiments and/or configurations discussed.
此外,可在此使用空間關係的用語,例如「下方(beneath)」、「在…之下(below)」、「低於(lower)」、 「在…之上(above)」、「高於(upper)」、以及相似用語,以簡明描述如圖式所繪示之一元件或特徵與另一(另一些)元件或特徵之關係的敘述。這些空間關係的用語,除了在圖中所描繪的方向外,意欲包含元件在使用上或操作時的不同方向。設備可以其他方式定向(旋轉90度或其他方向),而本文使用的空間關係描述詞也可依此解讀。 In addition, terms of spatial relationship may be used here, such as "beneath", "below", "lower", "Above," "upper," and similar terms, are used to briefly describe the relationship of one element or feature to another (other) element or feature as depicted in the drawing . The terms of these spatial relationships are intended to encompass different orientations of the elements in use or operation in addition to the orientation depicted in the figures. A device may be otherwise oriented (rotated 90 degrees or in other orientations), and the spatially relative descriptors used herein may be construed accordingly.
在中段製程(MOL)的互連結構中,接觸與互連介層窗及金屬線在電晶體與電路效能中均扮演重要的角色。隨著尺度持續微縮,各種接觸與互連特徵之間的距離減縮,以及漏電流與寄生電容成為元件效能的關鍵限制因素。期望能減少或防止接觸與其他導電特徵中之漏電流,同時限制電阻與電容的增加。 In a mid-line-of-line (MOL) interconnect structure, contact and interconnection vias and metal lines play important roles in both transistor and circuit performance. As dimensions continue to shrink, the distance between various contact and interconnect features shrinks, along with leakage current and parasitic capacitance, becoming key limiting factors for device performance. It is desirable to reduce or prevent leakage currents in contacts and other conductive features, while limiting increases in resistance and capacitance.
因此,本揭露係關於具有改進之中段製程互連結構之積體電路元件與相關之製造方法,以保護接觸,減少接觸電阻,且亦改善寄生電容。因此,提升元件可靠性,且簡化製造製程。在一些實施方式中,積體電路元件包含電晶體結構設於基材上,且電晶體結構包含設於基材上之一對源極/汲極區,以及位於此對源極/汲極區之間的閘極電極。下層間介電層(ILD)設於此對源極/汲極區上方,且環繞閘極電極。閘極電極可從下層間介電層之頂面凹入。閘極覆蓋層可設於凹入之閘極電極上,且可具有與下層間介電層之頂面對齊或共平面之頂面。透過凹入閘極電極與提供閘極覆蓋層,可隔離與保護閘極電極不受鄰近之導電特徵影響,因此可減少或消除漏電問題。在一些實施方式中, 閘極覆蓋層包含氧化物材料或低κ介電材料,藉此可使寄生電容很小。在一些另外的實施方式中,積體電路元件更包含下源極/汲極接觸設於此對源極/汲極區之第一源極/汲極區上。下源極/汲極接觸亦可從頂部凹入。源極/汲極覆蓋層可設於凹入之下源極/汲極接觸上,以保護與隔離下源極/汲極接觸不受鄰近之導電特徵影響。因此,可進一步減少或消除漏電問題。源極/汲極覆蓋層包含可能與閘極覆蓋層相同或不同之介電材料。 Accordingly, the present disclosure relates to integrated circuit devices and related fabrication methods having improved mid-line interconnect structures to protect contacts, reduce contact resistance, and also improve parasitic capacitance. Therefore, the reliability of the device is improved, and the manufacturing process is simplified. In some embodiments, the integrated circuit device includes a transistor structure disposed on a substrate, and the transistor structure includes a pair of source/drain regions disposed on the substrate, and a pair of source/drain regions located on the pair of source/drain regions. between the gate electrodes. A lower interlayer dielectric layer (ILD) is disposed above the pair of source/drain regions and surrounds the gate electrode. The gate electrode may be recessed from the top surface of the lower interlayer dielectric layer. The gate capping layer may be disposed on the recessed gate electrode and may have a top surface aligned or coplanar with the top surface of the underlying interlayer dielectric layer. By recessing the gate electrode and providing a gate cover, the gate electrode is isolated and protected from adjacent conductive features, thereby reducing or eliminating leakage problems. In some embodiments, The gate capping layer comprises an oxide material or a low-κ dielectric material, thereby keeping the parasitic capacitance small. In some further embodiments, the integrated circuit device further includes a lower source/drain contact disposed on the first source/drain region of the pair of source/drain regions. The lower source/drain contacts may also be recessed from the top. A source/drain capping layer may be provided over the recessed underlying source/drain contacts to protect and isolate the underlying source/drain contacts from adjacent conductive features. Therefore, leakage problems can be further reduced or eliminated. The source/drain capping layer comprises the same or different dielectric material as the gate capping layer.
圖1A至圖1E係繪示依照一些實施方式之積體電路元件的各種剖面視圖。如圖1A至圖1E所示,在一些實施方式中,電晶體結構101設於基材102上。在不同實施方式中,基材102可為任意類型之半導體本體(例如,矽、矽鍺、絕緣層上矽等),例如半導體晶圓及/或晶圓上之一或多個晶粒,以及與其相關之任意其他類型的半導體層、磊晶層、或介電層。電晶體結構101可為包含閘極電極104之邏輯元件,閘極電極104透過閘極介電層105而與基材102分離。一對源極/汲極區103設於基材102中之閘極電極104的相對邊上。電晶體結構101可為單閘極平面元件以及多閘極元件,例如鰭式場效電晶體元件。電晶體結構101亦可為其他元件,例如閘極全環繞(GAA)元件、Ω(omega)狀閘極元件、或π(pi)狀閘極,以及應變式半導體元件、絕緣層上矽(SOI)元件、部分空乏絕緣層上矽(PD-SOI)元件、完全空乏絕緣層上矽(FD-SOI)元件、或其他適合的元件。
1A-1E illustrate various cross-sectional views of integrated circuit devices according to some embodiments. As shown in FIGS. 1A to 1E , in some embodiments, a
接觸分別耦合至閘極電極104、源極/汲極區103、本體接觸區、或電晶體結構101之其他主動區。在一些實施方式中,接觸可包含由下層間介電(ILD)層110環繞之下接觸結構142,及/或由上層間介電(ILD)層128環繞、且設於下層間介電層110上方之上接觸結構144。接觸可包含金屬核心138與未繪示於圖中之阻障層。在一些實施方式中,金屬核心138包含或由鎢、鈷、釕、氮化鈦、氮化鉭、或其他適合金屬所組成。阻障層作為黏著劑與阻障層,以接合金屬核心,藉以防止空隙的形成,並防止金屬核心138擴散至下層間介電層110及/或上層間介電層128。在一些實施方式中,阻障層具有約2nm至約10nm的厚度。下蝕刻終止層108可沿著並襯著下層間介電層110之側壁設置。
The contacts are respectively coupled to
在一些實施方式中,上蝕刻終止層126可設於上層間介電層128與下層間介電層110之間。下蝕刻終止層108與上蝕刻終止層126可分別包含與下層間介電層110及上層間介電層128不同之介電材料。舉例而言,下蝕刻終止層108與上蝕刻終止層126可分別包含氮化矽或碳化矽,且可具有約3nm至約10nm的厚度。
In some embodiments, the upper
在一些實施方式中,閘極電極104從下層間介電層110之頂部凹入。閘極覆蓋層116設於閘極電極104上。閘極覆蓋層116可具有與下層間介電層110之頂面對齊或共平面之頂面。舉例而言,凹入之閘極電極104可具有約10nm至約20nm的厚度。閘極覆蓋層116可具有約
20nm至約40nm的厚度。在一些實施方式中,閘極覆蓋層116包含二氧化矽或低κ介電材料。二氧化矽具有約3.9之介電常數,而低κ介電材料具有小於3之介電常數。舉例而言,閘極覆蓋層116可包含由矽、碳、氧、與氫(碳氫氧化矽(SiCOH))組成之摻雜碳的氧化物,低氫氣含量碳氧氮化矽(SiOCN),碳氧化矽(SiOC),或其他適合的低κ介電常數。相較於使用較大介電常數之半導體或介電材料,例如具有約11.7之介電常數的矽、或具有約7至約8之介電常數的氮化矽,閘極覆蓋層116之相對小的介電常數有助於減少積體電路元件之寄生電容。
In some embodiments, the
在一些實施方式中,下接觸結構142包含下源極/汲極接觸120設於源極/汲極區103對之源極/汲極區上。在一些實施方式中,下源極/汲極接觸120填充於介於下蝕刻終止層108之側壁之間的溝槽中,且直接接觸下蝕刻終止層108之側壁。
In some embodiments, the
在一些實施方式中,上接觸結構144包含上源極/汲極接觸137穿過上層間介電層128而達源極/汲極區103對之源極/汲極區上。在一些替代實施方式中,上源極/汲極接觸137可設於下源極/汲極接觸120上,且透過下源極/汲極接觸120而電性耦合至源極/汲極區103對之源極/汲極區上。在一些實施方式中,上接觸結構144更包含閘極電極接觸139設於上源極/汲極接觸137旁邊且穿過上層間介電層128。閘極電極接觸139可穿過閘極覆蓋層116,而電性耦合至閘極電極104。閘極電極104可包含
金屬層之堆疊,這些金屬層包含功函數金屬設於核心閘極金屬上。閘極電極接觸139可包含或由與上源極/汲極接觸137相同之材料所組成。上接觸結構144亦可包含本體接觸141,本體接觸141包含透過下源極/汲極120電性耦合至源極/汲極區103之一的第一部分141a,與電性耦合至閘極電極104的第二部分141b。在一些實施方式中,本體接觸141包含或由與上源極/汲極接觸137及閘極電極接觸139相同之材料所組成。
In some embodiments, the
上接觸結構144,例如上源極/汲極接觸137、閘極電極接觸139、以及本體接觸141,可分別設於下方導電特徵,例如閘極電極104與下源極/汲極接觸120,之凹入的上表面上,以改善著陸與減少接觸電阻。上接觸結構144可分別具有接近下方導電特徵之橫向尺寸的橫向尺寸,以實現小電阻。舉例而言,上源極/汲極接觸137之底部橫向尺寸可實質等於例如大於或小於下源極/汲極接觸120之頂部橫向尺寸約3nm至約5nm的範圍。閘極電極接觸139之底部橫向尺寸可實質等於例如大於或小於閘極電極104之頂部橫向尺寸約3nm至約5nm的範圍。上源極/汲極接觸137與閘極電極接觸139之從積體電路元件之側面的傾斜角可為約86°至約89°。結果,在有限的空間中,可配置下接觸結構142與上接觸結構144有效地隔離,同時最大化橫向尺寸,以在電阻最小化時防止漏電問題。
如圖1A與圖1C所示,在一些實施方式中,下源
極/汲極接觸120具有與下蝕刻終止層108之頂面對齊或共平面之頂面。上蝕刻終止層126可直接設於閘極覆蓋層116與下源極/汲極接觸120上。
As shown in Figure 1A and Figure 1C, in some embodiments, the lower source
The pole/
如圖1B、圖1D、以及圖1E所示,在一些替代實施方式中,下源極/汲極接觸120從下蝕刻終止層108凹入,且源極/汲極覆蓋層124設於凹入之下源極/汲極接觸120上。源極/汲極覆蓋層124可具有與閘極覆蓋層116之頂面對齊或共平面之頂面,此頂面可進一步與下蝕刻終止層108之頂面對齊或共平面。舉例而言,凹入之下源極/汲極接觸120可具有約30nm至60nm的厚度。源極/汲極覆蓋層124可具有約5nm至約25nm的厚度。在一些實施方式中,源極/汲極覆蓋層124可具有與上源極/汲極接觸137直接接觸之側壁表面,以及與下源極/汲極接觸120直接接觸之底面。舉個例子,源極/汲極覆蓋層124可包含或由氮化矽、碳化矽、其組合、或類似者所組成。在一些實施方式中,源極/汲極覆蓋層124可具有約5nm至約25nm的厚度。可改變凹入之下源極/汲極接觸120與凹入之閘極電極104的高度,以及閘極覆蓋層116與源極/汲極覆蓋層124的厚度。舉例而言,如圖1B與圖1D所示,凹入之下源極/汲極接觸120可高於閘極電極104,且源極/汲極覆蓋層124可較閘極覆蓋層116薄。替代地,如圖1E所示,凹入之下源極/汲極接觸120可低於閘極電極104,且源極/汲極覆蓋層124可較閘極覆蓋層116厚。
As shown in FIGS. 1B, 1D, and 1E, in some alternative embodiments, the lower source/
在一些實施方式中,上蝕刻終止層126設於閘極
覆蓋層116與源極/汲極覆蓋層124上。上蝕刻終止層126之底面可接觸閘極覆蓋層116與源極/汲極覆蓋層124。舉個例子,上蝕刻終止層126可包含或由氧化鋁、氮化矽、或其他適合的介電材料所組成。在一些實施方式中,上層間介電層128可包含或由例如電漿增強化學氣相沉積氧化物、可流動化學氣相沉積氧化物、四乙氧基矽烷(TEOS)氧化物、未摻雜的矽玻璃、或例如硼磷矽玻璃(BPSG)之摻雜的二氧化矽、熔矽石玻璃(FSG)、磷矽玻璃(PSG)、摻雜硼的矽玻璃(BSG)之材料、及/或其他適用的介電材料的材料所組成。在一些例子中,上層間介電層128可包含與下層間介電層110相同的介電材料。在這個實例中,上蝕刻終止層126可包含例如氮化矽之非氧化物介電材料。在一些例子中,上蝕刻終止層126具有約3nm至約20nm的厚度,且上層間介電層128具有約5nm至約40nm的厚度。
In some embodiments, the upper
在一些實施方式中,側壁間隙壁106設於閘極電極104旁邊。如圖1A與圖1B所示,側壁間隙壁106可具有與閘極電極104之頂面對齊或共平面之頂面。閘極覆蓋層116可設於閘極電極104與側壁間隙壁106兩者上。替代地,側壁間隙壁106可具有高於閘極電極104之頂面的頂面。如圖1C與圖1D所示,側壁間隙壁106之頂面可與下蝕刻終止層108之頂面對齊或共平面。閘極覆蓋層116可設於側壁間隙壁106之上部分之間。如圖1E所示,側壁間隙壁106之頂面亦可位於凹入之閘極電極104與下
蝕刻終止層108之頂面之間。閘極覆蓋層116可設於側壁間隙壁106之上部分之間,且延伸於側壁間隙壁106之頂面上方。
In some embodiments, the
圖2係繪示依照一些附加實施方式之具有接觸覆蓋層之積體電路元件的透視圖。在一些實施方式中,積體電路元件包含鰭式場效電晶體元件、奈米線元件、或其他閘極全環繞(GAA)元件。基材102可包含下基部與從下基部豎起之複數個上支柱,這些支柱沿著通道長度的方向延伸,且互相平行配置。磊晶半導體層可設於基材102之複數個上支柱上,並可包含作為源極/汲極區103之位於相對邊之高摻雜部分,與作為通道區之介於源極/汲極區103之間之低摻雜或未摻雜部分。作為閘極電極104之導電層可設於通道區上,且可透過閘極介電層而與通道區分離,並可配置以控制通道區的電流流動。閘極電極104可沿著垂直於通道長度方向之通道寬度方向延伸。閘極電極104可延伸以環繞包圍通道區之側壁。如關於以上圖式討論般,在一些實施方式中,閘極覆蓋層116設於閘極電極104上。閘極覆蓋層116可具有與下蝕刻終止層108之頂面對齊或共平面之頂面。在另一些實施方式中,源極/汲極覆蓋層124設於下源極/汲極接觸120上。源極/汲極覆蓋層124可具有與下蝕刻終止層108之頂面對齊或共平面之頂面。上源極/汲極接觸137可穿過上層間介電層128與源極/汲極覆蓋層124,而到達下源極/汲極接觸120上。閘極電極接觸139可穿過上層間介電層128與閘極覆蓋層116,
而到達閘極電極104上。本體接觸141可穿過閘極覆蓋層116與源極/汲極覆蓋層124,並電性耦合閘極電極104與下源極/汲極接觸120。
FIG. 2 is a perspective view of an integrated circuit element with a contact cover layer according to some additional embodiments. In some embodiments, the integrated circuit device includes a FinFET device, a nanowire device, or other gate all around (GAA) device. The
圖3A至圖3G係繪示形成具有接觸覆蓋層之積體電路之方法之一些實施方式的一系列剖面視圖與流程圖。如圖3A與圖3G之動作152所示,在一些實施方式中,形成第一下接觸結構142a於基材102上。第一下接觸結構142a可為元件特徵或中段製程接觸特徵,例如以上圖式所示之閘極電極104或下源極/汲極接觸120。可在第一下接觸結構142a之製作前或後,形成下蝕刻終止層108與下層間介電層110襯著基材102之上表面與第一下接觸結構142a之側壁。
3A-3G are a series of cross-sectional views and flow diagrams illustrating some embodiments of methods of forming integrated circuits with contact covering layers. As shown in
如圖3B與圖3G之動作154所示,在一些實施方式中,凹入第一下接觸結構142a。然後,形成第一接觸覆蓋前驅物層114a’於第一下接觸結構142a之凹入的上表面上。第一接觸覆蓋前驅物層114a’可包含與下層間介電層110不同之半導體或介電材料。可透過化學氣相沉積(CVD)製程或原子層沉積(ALD)製程,來形成第一接觸覆蓋前驅物層114a’。
As shown in action 154 of FIGS. 3B and 3G , in some embodiments, the first
如圖3C與圖3G之動作156所示,在一些實施方式中,形成第二下接觸結構142b於備有第一接觸覆蓋前驅物層114a’之第一下接觸結構142a旁邊。在一些實施方式中,以第一接觸覆蓋前驅物層114a’作為罩幕與保護層,局部或完全地移除下層間介電層110與下層間介電
層110下之下蝕刻終止層108的橫向部分,以形成開口。可透過對下層間介電層110比對下蝕刻終止層108與第一接觸覆蓋前驅物層114a’有選擇性之蝕刻製程,來移除下層間介電層110。在下層間介電層110之移除期間,第一接觸覆蓋前驅物層114a’保護第一下接觸結構142a免於暴露出。然後,填充第二下接觸結構142b於下蝕刻終止層108之垂直部分之間的開口。第二下接觸結構142b可為中段製程接觸特徵或元件特徵,例如以上圖式之下源極/汲極接觸120或閘極電極104。
As shown in
如圖3D與圖3G之動作158所示,在一些實施方式中,以介電常數小於第一接觸覆蓋前驅物層114a’之介電常數的第一接觸覆蓋層114a置換第一接觸覆蓋前驅物層114a’。舉個例子,第一接觸覆蓋層114a可包含或由介電常數小於3.9之低κ介電材料所組成。藉此,相較於使用第一接觸覆蓋前驅物層114a’,可減少有關第一接觸覆蓋層114a之寄生電容。
As shown in FIG. 3D and act 158 of FIG. 3G, in some embodiments, the first contact capping precursor is replaced with the first
如圖3E與圖3G之動作160所示,替代第二下接觸結構142b具有與下蝕刻終止層108之頂面對齊或共平面之平面的實施方式,在一些實施方式中,凹入第二下接觸結構142b至下蝕刻終止層108之頂部下。然後,形成第二接觸覆蓋層114b於第二下接觸結構142b之凹入的上表面上。第二接觸覆蓋層114b在後續製造步驟期間保護下方之第二下接觸結構142b,例如防止由上接觸結構落於第二下接觸結構142b上之製作所導致的漏電流。舉個
例子,第二接觸覆蓋層114b可包含或由氮化矽、碳化矽、其組合、或類似者所組成。在一些替代實施方式中,可以較小介電常數之介電材料,例如介電常數小於3之低κ介電材料,置換第二接觸覆蓋層114b。藉此可減少有關第二接觸覆蓋層114b之寄生電容。
3E and FIG. 3G as shown in
如圖3F與圖3G之動作162所示,在一些實施方式中,可形成第一上接觸結構144a穿過第一接觸覆蓋層114a,並到達第一下接觸結構142a上。可形成第二上接觸結構144b穿過第二接觸覆蓋層114b,並到達第二下接觸結構142b上。
As shown in
圖4至圖17係繪示形成具有接觸覆蓋層之積體電路之方法之一些實施方式的剖面視圖400至1700。雖然圖4至圖17描述關於一種方法,將理解的是,圖4至圖17揭露之結構並非僅限於這樣的方法,而是可獨立於與此方法無關的結構而獨立存在。 4-17 show cross-sectional views 400-1700 of some embodiments of a method of forming an integrated circuit with a contact covering layer. Although FIGS. 4-17 are described with respect to one approach, it will be understood that the structures disclosed in FIGS. 4-17 are not limited to such an approach, but may exist independently of structures unrelated to this approach.
如圖4與圖5所示,電晶體結構101製備在基材102上,且由下層間介電層110環繞。電晶體結構101具有位於基材102上之閘極介電層105、位於閘極介電層105上之閘極電極104、以及位於基材102中且設於閘極電極104之相對邊上的一對源極/汲極區103(請參見圖5)。閘極電極104可為多晶矽閘極或金屬閘極。閘極介電層105可包含或由二氧化矽層或例如二氧化鉿之介電常數大於7之高κ介電材料所組成。
As shown in FIGS. 4 and 5 , the
在一些實施方式中,可透過閘極置換製程,來形成
電晶體結構101。如圖4所示,首先於基材上形成與圖案化虛設閘極404。形成側壁間隙壁106於虛設閘極404旁邊,而襯著或覆蓋虛設閘極404之側壁。形成一對源極/汲極103於基材102中之側壁間隙壁106的相對邊上。在多個實施方式中,側壁間隙壁106包含二氧化矽、氮化矽、碳化矽、氧化鋁、氮化鋁、其組合、或其他適合的介電材料。在一些實施方式中,側壁間隙壁106可包含多個層,例如主間隙壁牆、襯墊層、以及類似者。舉個例子,可透過沉積介電材料於虛設閘極404上,與垂直地回蝕介電材料,來將側壁間隙壁106形成為具有與虛設閘極404之頂面實質共平面之頂面。
In some embodiments, a gate replacement process can be used to form
如圖5所示,沉積介電層於電晶體結構101上,並接著進行平坦化製程,以形成下層間介電層110。在一些實施方式中,在形成下層間介電層110前,先形成下蝕刻終止層108襯著基材之上表面,並沿著側壁間隙壁106向上延伸。可透過沉積製程,例如原子層沉積製程(ALD)或化學氣相沉積(CVD)製程,來形成下蝕刻終止層108。可透過次大氣壓化學氣相沉積(SACVD)製程、可流動式化學氣相沉積、或其他適合的沉積技術,來沉積下層間介電層110。可透過化學機械平坦化(CMP)製程,將下蝕刻終止層108與介電層平坦化成具有與側壁間隙壁106之頂面實質共平面之頂面。舉個例子,形成下層間介電層110之介電層可包含例如四乙氧基矽烷(TEOS)氧化物、未摻雜的矽玻璃、或摻雜的二氧化矽,例如硼磷矽玻璃(BPSG)、
熔矽石玻璃(FSG)、磷矽玻璃(PSG)、摻雜硼的矽玻璃(BSG)之材料、及/或其他適合的介電材料。舉個例子,下層間介電層110具有約40nm至約80nm的厚度。
As shown in FIG. 5 , a dielectric layer is deposited on the
關於閘極置換製程,可移除圖4中之虛設閘極404,並以由金屬構成之閘極電極104置換。在移除虛設閘極404後,亦可形成閘極介電層105。可填充金屬材料的堆疊於閘極開口中,並接著透過平坦化製程移除下層間介電層110上之過量部分,來形成閘極電極104。依照不同元件而變化,金屬材料的堆疊可包含或由氮化鈦、氮化鉭、鈦鋁、以及鋁等所組成。亦可使用其他材料於閘極電極104。
Regarding the gate replacement process, the
如圖6所示,在一些實施方式中,凹入閘極電極104。舉個例子,首先進行圖案化製程,以形成罩幕層112於下層間介電層110上,而留下閘極電極暴露在外。然後,對閘極電極104進行蝕刻製程,使閘極電極104之頂面下降至低於下層間介電層之頂面的位置。替代地,蝕刻製程可對閘極電極104具有高度選擇性而不需使用罩幕層。在一些實施方式中,此蝕刻製程包含例如垂直乾式蝕刻之非等向性蝕刻,且如圖式所示,閘極電極104之凹入的頂面為實質上平坦的。在一些替代實施方式中,此蝕刻製程包含例如濕式蝕刻之等向性蝕刻,雖然未繪示於圖式中,但閘極電極104之凹入的頂面可為凹面形狀。在一些實施方式中,側壁間隙壁106可與閘極電極104一起下降。取決於蝕刻劑之選擇比,可改變側壁間隙壁106,以使其具有
例如低於、等高於、或高於閘極電極104之頂面,如圖1A至圖1E所示。閘極電極凹入製程可暴露出下蝕刻終止層108之上側壁。此蝕刻製程控制閘極電極104的厚度,且因此將閘極電極104之有效功函數調整至所期望的值。
As shown in FIG. 6 , in some embodiments, the
如圖7所示,在一些實施方式中,形成閘極覆蓋前驅物層116’於凹入之閘極電極104上,而可作為保護層以保護閘極電極104不受後續製程步驟影響。在一些實施方式中,可沉積然後平面化閘極覆蓋前驅物層116’,使其與下層間介電層110及/或下蝕刻終止層108之頂面對齊或共平面。閘極覆蓋前驅物層116’可包含或由矽或氮化矽或金屬氧化物所組成。
As shown in FIG. 7 , in some embodiments, a gate capping precursor layer 116' is formed on the recessed
如圖8所示,形成開口118穿過下層間介電層110與下層間介電層110下方之下蝕刻終止層108。在一些例子中,開口118提供至源極/汲極區103、及/或本體接觸區的通道。舉個例子,可透過微影圖案化與蝕刻(例如,濕式或乾式蝕刻)製程之適合的組合,來形成開口118。
As shown in FIG. 8 , an
如圖9所示,在一些實施方式中,填充下源極/汲極接觸120於開口118中,且形成於源極/汲極區103上。在一些實施方式中,可透過完全移除下層間介電層110,來形成自我對準之下源極/汲極接觸120,且因此下源極/汲極接觸120可直接接觸下蝕刻終止層108之側壁。在開口118與下源極/汲極接觸120之製作期間,閘極覆蓋前驅物層116’覆蓋並保護閘極電極104。在一些例子中,下源極/汲極接觸120可包含鈷、或其他適合的材料,例如鎢、
銅、釕、鋁、銠、鉬、鉭、鈦。下源極/汲極接觸120亦可包含黏著劑或有助於接合及/或防止擴散之阻障層。在下源極/汲極接觸120之沉積後,可進行化學機械平坦化(CMP)製程,以移除下源極/汲極接觸120之過量材料以及平坦化工件之頂面。可在形成下源極/汲極接觸120前進行金屬化製程,以形成半導體金屬化合物薄膜(例如矽化物、鍺化物、鍺矽化物)於下源極/汲極接觸120與源極/汲極區103之上表面之露出部分的界面,因此提供低電阻接觸。
As shown in FIG. 9 , in some embodiments, a lower source/
如圖10所示,在一些實施方式中,移除閘極覆蓋前驅物層116’,並以介電常數較小之閘極覆蓋層116置換,因此減少寄生電容。閘極覆蓋層116可包含二氧化矽或介電常數小於3.9之低κ介電材料。舉例而言,閘極覆蓋層116可包含由矽、碳、氧、與氫(碳氫氧化矽(SiCOH))組成之摻雜碳的氧化物、低氫氣含量氮氧碳化矽(SiOCN)、碳氧化矽(SiOC)、或其他適合的低κ介電材料。
As shown in FIG. 10, in some embodiments, the gate capping precursor layer 116' is removed and replaced with a
如圖11所示,在一些實施方式中,凹入下源極/汲極接觸120,且因此形成開口122於下蝕刻終止層108之上部分中。對下源極/汲極接觸120進行蝕刻製程,以將下源極/汲極接觸120之頂面下降至低於下蝕刻終止層108之頂面的位置。在一些實施方式中,此蝕刻製程包含例如垂直乾式蝕刻之非等向性蝕刻,且如圖式所示,下源極/汲極接觸120之凹入的頂面為實質上平坦的。在一些替代實施方式中,此蝕刻製程包含例如濕式蝕刻之等向性蝕刻,雖然未繪示於圖式中,但下源極/汲極接觸120之凹入
的頂面可為凹面形狀。
As shown in FIG. 11 , in some embodiments, the lower source/
如圖12所示,形成源極/汲極覆蓋層124,以填充下源極/汲極接觸120之上部分中的開口122。在一些實施方式中,可透過沉積介電材料與隨後之化學機械平坦化製程,來形成源極/汲極覆蓋層124。源極/汲極覆蓋層124可具有與閘極覆蓋層116及/或下蝕刻終止層108之頂面對齊或共平面之頂面。源極/汲極覆蓋層124對下源極/汲極接觸120提供保護與隔離。
As shown in FIG. 12 , a source/
如圖13所示,形成上蝕刻終止層126於閘極覆蓋層116上,並形成上層間介電層128於上蝕刻終止層126上。舉個例子,上蝕刻終止層126可包含或由氧化鋁、氮化矽、或氧化鋯所組成。亦可使用其他適合的介電材料於上蝕刻終止層126。在一些實施方式中,上層間介電層128可包含材料,例如四乙氧基矽烷(TEOS)氧化物、未摻雜的矽玻璃、或摻雜的二氧化矽,例如硼磷矽玻璃(BPSG)、熔矽石玻璃(FSG)、磷矽玻璃(PSG)、摻雜硼的矽玻璃(BSG)、及/或其他適合的介電材料。因此,在一些例子中,上層間介電層128可與下層間介電層110實質相同。在多個實施方式中,可透過次大氣壓化學氣相沉積(SACVD)製程、可流動化學氣相沉積製程、原子層沉積製程、電漿增強式化學氣相沉積製程、或其他適合的沉積技術,來沉積上蝕刻終止層126與上層間介電層128。在一些例子中,上蝕刻終止層126具有約5nm至約20nm的厚度,且上層間介電層128具有約20nm至約40nm的厚
度。
As shown in FIG. 13 , an upper
如圖14至圖16所示,形成複數個開口130、132、134、以及136達閘極覆蓋層116或源極/汲極覆蓋層124,然後以核心金屬材料填充這些開口。在一些實施方式中,核心金屬材料可為鎢、鈷、釕、氮化鈦、氮化鉭、或其他適合的金屬。可以任意順序逐個或一些組合方式形成這些開口130、132、134、以及136,並可在同時填充核心金屬材料前形成所有這些開口。可根據後續製造步驟改變凹入之下源極/汲極接觸120與凹入之閘極電極104的高度,以及閘極覆蓋層116與源極/汲極覆蓋層124的厚度。舉例而言,如圖所示,下源極/汲極接觸120可較閘極電極104凹入得少,且可形成源極/汲極覆蓋層124較閘極覆蓋層116薄,使得當形成開口134與136穿過源極/汲極覆蓋層124時可更佳地保護閘極電極104。替代地,若先形成開口134與136,雖然未繪示於圖中,下源極/汲極接觸120可較閘極電極104凹入得多,且低於閘極電極104,使得當形成開口130與132穿過閘極覆蓋層116時可更佳地保護源極/汲極區103。可分別透過多步驟蝕刻製程,分別形成開口130、132、134、以及136,以提升蝕刻選擇比與提供過蝕刻控制。
As shown in FIGS. 14-16 , a plurality of
舉例而言,請參照圖14,可透過進行對上層間介電層128具有高蝕刻速率且停止在上蝕刻終止層126之第一道蝕刻,來形成作為第一圖案之開口130與132。然後,進行第二道蝕刻,以緩慢地蝕刻上蝕刻終止層126與閘極
覆蓋層116,且因此以適當的過蝕刻暴露出閘極電極104。替代地,可透過進行對上層間介電層128與上蝕刻終止層具有較高蝕刻速率且停止在閘極覆蓋層116之第一道蝕刻,來形成開口130。然後,進行第二道蝕刻,以蝕刻穿過閘極覆蓋層116,且因此暴露出閘極電極104。亦可透過微影圖案化與蝕刻(例如,濕式或乾式蝕刻)製程之適合的組合來形成開口130與132。
For example, referring to FIG. 14 ,
類似地,請參照圖15,可藉由進行對上層間介電層128具有高蝕刻速率且停止在上蝕刻終止層126之第一道蝕刻,來形成作為第二圖案之開口134與136。然後,進行第二道蝕刻,以緩慢地蝕刻上蝕刻終止層126與源極/汲極覆蓋層124,且因此以適當的過蝕刻暴露出下源極/汲極接觸120。亦可透過微影圖案化與蝕刻(例如,濕式或乾式蝕刻)製程之適合的組合來形成開口134與136。
Similarly, referring to FIG. 15 , the
如圖16所示,透過蝕刻穿過開口132與136之間的上層間介電層128與上蝕刻終止層126的方式,來合併開口132與136,以形成第三圖案。在一些實施方式中,圖案化製程(例如,用於此複數個開口之製作)可包含多步驟蝕刻製程,以個別蝕刻上層間介電層128與上蝕刻終止層126,藉以提升蝕刻選擇比與提供過蝕刻控制。
As shown in FIG. 16 ,
如圖17所示,形成一或多層金屬層於開口130、132、134、以及136中。在一些例子中,本體接觸141提供閘極電極104與相鄰源極、汲極、及/或本體區之間的直接接觸。上源極/汲極接觸137透過下源極/汲極接觸
120提供基材102中之源極/汲極區的通道,且閘極電極接觸139提供閘極電極104的通道。如以上討論,源極/汲極覆蓋層124隔離並保護下源極/汲極接觸120。閘極覆蓋層116隔離並保護閘極電極104。藉由如所揭示般安排閘極覆蓋層116、上蝕刻終止層126、位於下源極/汲極接觸120上之源極/汲極覆蓋層124、以及位於閘極電極104上之閘極覆蓋層116,可將上源極/汲極接觸137之製作製程與形成閘極電極接觸139及本體接觸141整合在一起。在一些實施方式中,金屬層之製作包含透過沉積製程形成金屬核心138與未繪示於圖中之阻障層。
As shown in FIG. 17 , one or more metal layers are formed in the
圖18係繪示形成具有互混阻障層之互連結構的整合晶片之方法之一些實施方式的流程圖。 18 is a flowchart illustrating some embodiments of a method of forming an integrated wafer with an interconnect structure of an intermixing barrier layer.
方法1800作為一系列動作或事件繪示或描述如下時,將理解所繪示之這些動作或事件的順序並非作為限制。舉例而言,一些動作可能以不同順序及/或與除了在此繪示及/或描述其他動作或之事件並行。此外,實施在此描述之一或多個方面或實施方式時,可能並非所有繪示之動作均需要。另外,在此描述之一或多個動作可於一或多個個別動作及/或階段中執行。
Where
在動作1802中,形成電晶體結構且由下層間介電層環繞。電晶體結構包含形成於基材上之閘極電極與設於閘極電極之相對邊之一對源極/汲極區。形成側壁間隙壁於閘極電極旁邊且襯著或覆蓋閘極電極之側壁。在一些實施方式中,形成第一蝕刻終止層襯著源極/汲極區之上表面,
且沿著閘極電極延伸。可透過移除閘極前驅物,並以高κ介電材料與金屬閘極材料置換閘極前驅物之閘極置換製程來形成閘極電極。圖4與圖5係繪示對應動作1802之一些實施方式的剖面視圖400與500。
In
在動作1804中,在一些實施方式中,凹入閘極電極,並形成閘極覆蓋前驅物層於閘極電極之凹入的上表面上。在一些實施方式中,閘極覆蓋前驅物層包含或由矽、氮化矽、或金屬氧化物所組成。圖6與圖7係繪示對應動作1804之一些實施方式的剖面視圖600與700。
In
在動作1806中,形成下源極/汲極接觸達基材中之電晶體結構的源極/汲極區上。在一些實施方式中,在備有閘極覆蓋前驅物層時,透過局部或完全地移除下蝕刻終止層來形成開口。然後填充導電材料至開口中作為下源極/汲極接觸。在下源極/汲極接觸之製作期間,閘極覆蓋前驅物層保護下方之閘極電極免於被暴露出。圖8與圖9係繪示對應動作1806之一些實施方式的剖面視圖800與900。
In
在動作1808中,在一些實施方式中,以介電常數較小之閘極覆蓋層置換閘極覆蓋前驅物層。在一些實施方式中,閘極覆蓋層包含或由二氧化矽或介電常數小於3.9之低κ介電材料所組成。因此,可減少有關閘極覆蓋層之寄生電容,並藉以提升元件效能。圖10係繪示對應動作1808之一些實施方式的剖面視圖1000。
In
在動作1810中,在一些實施方式中,可凹入下源
極/汲極接觸,並形成源極/汲極覆蓋層於下源極/汲極接觸上,以填充下蝕刻終止層之上部分中的開口。在一些實施方式中,可透過沉積介電材料與隨後之化學機械平坦化製程,來形成源極/汲極覆蓋層。圖11與圖12係繪示對應動作1810之一些實施方式的剖面視圖1100與1200。
In
在動作1812中,形成上層間介電層於閘極覆蓋層與源極/汲極覆蓋層上。可在上層間介電層之製作前形成上蝕刻終止層。圖13係繪示對應動作1812之一些實施方式的剖面視圖1300。
In
在動作1814中,形成複數個開口穿過上層間介電層與上蝕刻終止層,並進一步穿過閘極覆蓋層與源極/汲極覆蓋層,以暴露出閘極電極與下源極/汲極接觸。然後以金屬材料填充這些開口,以形成閘極電極、源極/汲極區、本體接觸區、及/或其他元件特徵之複數個接觸。在一些實施方式中,金屬材料包含鎢、鈷、釕、氮化鈦、氮化鉭、或其他適用的材料。圖14至圖17係繪示對應動作1814之一些實施方式的剖面視圖1400至1700。
In
因此,本揭露係關於一種新型積體電路元件,其包含在凹入之閘極電極上的閘極覆蓋層、在凹入之源極/汲極接觸上的源極/汲極覆蓋層、或二者,以保護與防止漏電流。閘極覆蓋層及/或源極/汲極覆蓋層可包含介電常數相對小之介電材料(例如,介電常數小於3.9之低κ介電材料),藉此可最小化寄生電容。 Accordingly, the present disclosure relates to a novel integrated circuit device comprising a gate capping layer on a recessed gate electrode, a source/drain capping layer on a recessed source/drain contact, or Both to protect and prevent leakage current. The gate capping layer and/or the source/drain capping layer may comprise a dielectric material with a relatively small dielectric constant (eg, a low-κ dielectric material with a dielectric constant less than 3.9), thereby minimizing parasitic capacitance.
因此,在一些實施方式中,本揭露係關於一種積體 電路元件。電晶體結構設於基材上,且包含一對源極/汲極區與位於此對源極/汲極區之間的閘極電極。下層間介電(ILD)層設於此對源極/汲極區上,且環繞閘極電極。閘極電極從下層間介電層之頂部凹入。閘極覆蓋層設於閘極電極上。閘極覆蓋層具有與下層間介電層之頂面對齊或共平面之頂面。 Accordingly, in some embodiments, the present disclosure relates to an integrated circuit components. The transistor structure is disposed on the substrate and includes a pair of source/drain regions and a gate electrode between the pair of source/drain regions. A lower interlayer dielectric (ILD) layer is disposed on the pair of source/drain regions and surrounds the gate electrode. The gate electrode is recessed from the top of the lower interlayer dielectric layer. The gate covering layer is disposed on the gate electrode. The gate capping layer has a top surface aligned or coplanar with the top surface of the underlying interlayer dielectric layer.
依照一些實施例,閘極覆蓋層包含低κ介電材料。依照一些實施例,積體電路元件更包含上層間介電層設於閘極覆蓋層與下層間介電層上,以及閘極電極接觸穿設於上層間介電層與閘極覆蓋層中,並到達閘極電極上。依照一些實施例,積體電路元件更包含下源極/汲極接觸設於此對源極/汲極區之源極/汲極區上、源極/汲極覆蓋層設於下源極/汲極接觸上、以及上源極/汲極接觸穿設於層間介電層與源極/汲極覆蓋層中,並到達下源極/汲極接觸上。依照一些實施例,源極/汲極覆蓋層包含碳化矽或氮化矽。依照一些實施例,閘極覆蓋層與源極/汲極覆蓋層具有互相對齊之頂面。依照一些實施例,積體電路元件更包含下蝕刻終止層襯著下層間介電層之側壁,其中下蝕刻終止層接觸下源極/汲極接觸之側壁。依照一些實施例,下蝕刻終止層具有與閘極覆蓋層之頂面及源極/汲極覆蓋層之頂面對齊的頂面。依照一些實施例,積體電路元件更包含上蝕刻終止層設於上層間介電層與下層間介電層之間,其中上蝕刻終止層之底面與閘極覆蓋層及源極/汲極覆蓋層接觸。依照一些實施例,積體電路元件更包含本體接觸,本體接觸包 含第一部分與第二部分,其中第一部分穿設於上層間介電層與閘極覆蓋層中,且其中第二部分穿設於上層間介電層與源極/汲極覆蓋層中。 According to some embodiments, the gate capping layer includes a low-κ dielectric material. According to some embodiments, the integrated circuit device further includes an upper interlayer dielectric layer disposed on the gate capping layer and the lower interlayer dielectric layer, and a gate electrode contact is disposed in the upper interlayer dielectric layer and the gate capping layer, and reach the gate electrode. According to some embodiments, the integrated circuit device further includes a lower source/drain contact on the source/drain region of the pair of source/drain regions, a source/drain capping layer on the lower source/drain region. The drain contact, and the upper source/drain contact penetrate through the interlayer dielectric layer and the source/drain capping layer, and reach the lower source/drain contact. According to some embodiments, the source/drain capping layer includes silicon carbide or silicon nitride. According to some embodiments, the gate capping layer and the source/drain capping layer have top surfaces aligned with each other. According to some embodiments, the integrated circuit device further includes a lower etch stop layer lining sidewalls of the lower ILD layer, wherein the lower etch stop layer contacts sidewalls of the lower source/drain contacts. According to some embodiments, the lower etch stop layer has a top surface aligned with a top surface of the gate capping layer and a top surface of the source/drain capping layer. According to some embodiments, the integrated circuit device further includes an upper etch stop layer disposed between the upper interlayer dielectric layer and the lower interlayer dielectric layer, wherein the bottom surface of the upper etch stop layer and the gate capping layer and the source/drain capping layer contact. According to some embodiments, the integrated circuit component further includes body contacts, the body contacts comprising It includes a first part and a second part, wherein the first part penetrates the upper interlayer dielectric layer and the gate capping layer, and wherein the second part penetrates the upper interlayer dielectric layer and the source/drain capping layer.
在其他實施方式中,本揭露係關於一種積體電路元件。電晶體結構設於基材上,且包含一對源極/汲極區與位於此對源極/汲極區之間的閘極電極。閘極覆蓋層設於閘極電極上。下蝕刻終止層襯著閘極電極與閘極覆蓋層之側壁。下源極/汲極接觸設於相對於閘極電極之下蝕刻終止層之一側,且到達此對源極/汲極區之第一源極/汲極區上。 In other embodiments, the disclosure relates to an integrated circuit device. The transistor structure is disposed on the substrate and includes a pair of source/drain regions and a gate electrode between the pair of source/drain regions. The gate covering layer is disposed on the gate electrode. The lower etch stop layer lines the sidewalls of the gate electrode and the gate capping layer. A lower source/drain contact is provided on the opposite side of the etch stop layer below the gate electrode and reaches on the first source/drain region of the pair of source/drain regions.
依照一些實施例,積體電路元件更包含源極/汲極覆蓋層設於下源極/汲極接觸上。依照一些實施例,積體電路元件更包含上層間介電層,設於閘極覆蓋層、下蝕刻終止層、以及源極/汲極覆蓋層上。依照一些實施例,積體電路元件更包含上源極/汲極接觸穿設於上層間介電層與源極/汲極覆蓋層中,並到達下源極/汲極接觸上。依照一些實施例,積體電路元件更包含閘極電極接觸穿設於上層間介電層與閘極覆蓋層中,並到達閘極電極上。依照一些實施例,積體電路元件更包含本體接觸,本體接觸包含第一部分與第二部分,其中第一部分穿設於上層間介電層與閘極覆蓋層中,且其中第二部分穿設於上層間介電層與源極/汲極覆蓋層中。依照一些實施例,積體電路元件更包含側壁間隙壁設於閘極電極與下蝕刻終止層之間。依照一些實施例,積體電路元件更包含上蝕刻終止層,設於閘極覆蓋層、下蝕刻終止層、以及側壁間隙壁上。 According to some embodiments, the integrated circuit device further includes a source/drain cap layer disposed on the lower source/drain contact. According to some embodiments, the integrated circuit device further includes an upper interlayer dielectric layer disposed on the gate capping layer, the lower etch stop layer, and the source/drain capping layer. According to some embodiments, the integrated circuit device further includes an upper source/drain contact penetrating through the upper interlayer dielectric layer and the source/drain capping layer, and reaching on the lower source/drain contact. According to some embodiments, the integrated circuit device further includes a gate electrode contact penetrating through the upper interlayer dielectric layer and the gate capping layer, and reaching on the gate electrode. According to some embodiments, the integrated circuit device further includes a body contact, the body contact includes a first portion and a second portion, wherein the first portion penetrates the upper interlayer dielectric layer and the gate capping layer, and wherein the second portion penetrates between the In the upper interlayer dielectric layer and the source/drain capping layer. According to some embodiments, the integrated circuit device further includes a sidewall spacer disposed between the gate electrode and the lower etch stop layer. According to some embodiments, the integrated circuit device further includes an upper etch stop layer disposed on the gate capping layer, the lower etch stop layer, and the sidewall spacers.
在另一些其他實施方式中,本揭露係關於一種形成積體電路元件的方法。此方法包含形成電晶體結構於基材上,電晶體結構包含一對源極/汲極區與位於此對源極/汲極區之間的閘極電極;以及形成下蝕刻終止層與下層間介電(ILD)層於此對源極/汲極區上,且環繞閘極電極。此方法更包含凹入閘極電極、形成閘極覆蓋前驅物層於凹入之閘極電極上、以及形成下源極/汲極接觸於此對源極/汲極區之一源極/汲極區上。此方法更包含以閘極覆蓋層置換閘極覆蓋前驅物層,閘極覆蓋層之介電常數小於閘極覆蓋前驅物層之介電常數;以及形成上層間介電層於下層間介電層與閘極覆蓋層上。此方法更包含形成閘極接觸穿過上層間介電層與閘極覆蓋層,並到達閘極電極上。 In still other embodiments, the present disclosure relates to a method of forming an integrated circuit device. The method comprises forming a transistor structure on a substrate, the transistor structure comprising a pair of source/drain regions and a gate electrode between the pair of source/drain regions; A dielectric (ILD) layer is on the pair of source/drain regions and surrounds the gate electrode. The method further includes recessing the gate electrode, forming a gate capping precursor layer on the recessed gate electrode, and forming a lower source/drain contact to one source/drain of the pair of source/drain regions on the polar region. The method further includes replacing the gate capping precursor layer with a gate capping layer having a dielectric constant less than that of the gate capping precursor layer; and forming an upper interlayer dielectric layer on a lower interlayer dielectric layer with gate overlay on. The method further includes forming a gate contact through the upper ILD layer and the gate capping layer and onto the gate electrode.
依照一些實施例,形成積體電路元件的方法更包含在形成上層間介電層前,形成源極/汲極覆蓋層於下源極/汲極接觸上,以及形成上源極/汲極接觸穿過上層間介電層與源極/汲極覆蓋層,並到達下源極/汲極接觸上。 According to some embodiments, the method of forming an integrated circuit device further includes forming a source/drain capping layer on the lower source/drain contact and forming an upper source/drain contact before forming the upper interlayer dielectric layer Pass through the upper ILD layer and the source/drain capping layer, and reach the lower source/drain contact.
上述揭露概述數個實施方式的特徵,因此熟習此技藝者可更好地理解本揭露的態樣。熟習此技藝者應理解,他們可輕易地利用本揭露作為基礎來設計或修飾其他製程及結構,以實現與在此所介紹之實施方式相同的目的及/或達成相同優勢。熟習此技藝者也應了解這種均等的架構並未脫離本揭露之精神與範疇,且他們可在不偏離本揭露之精神與範疇下在此做出各種改變、替換、以及變動。 The foregoing disclosure summarizes features of several embodiments, so those skilled in the art can better understand aspects of the present disclosure. Those skilled in the art will appreciate that they can easily use this disclosure as a basis to design or modify other processes and structures to achieve the same objectives and/or achieve the same advantages as the embodiments described herein. Those skilled in the art should also understand that this equal structure does not depart from the spirit and scope of the present disclosure, and they can make various changes, substitutions, and changes herein without departing from the spirit and scope of the present disclosure.
102:基材 102: Substrate
103:源極/汲極區 103: source/drain region
104:閘極電極 104: gate electrode
106:側壁間隙壁 106: side wall spacer
108:下蝕刻終止層 108: lower etch stop layer
116:閘極覆蓋層 116:Gate cover layer
120:下源極/汲極接觸 120: Lower source/drain contact
124:源極/汲極覆蓋層 124: Source/drain cover layer
126:上蝕刻終止層 126: upper etch stop layer
128:上層間介電層 128: upper interlayer dielectric layer
137:上源極/汲極接觸 137: Upper source/drain contact
139:閘極電極接觸 139: Gate electrode contact
141:本體接觸 141: body contact
Claims (10)
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202063081423P | 2020-09-22 | 2020-09-22 | |
US63/081,423 | 2020-09-22 | ||
US17/134,830 US20220093757A1 (en) | 2020-09-22 | 2020-12-28 | Middle-of-line interconnect structure and manufacturing method |
US17/134,830 | 2020-12-28 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202213683A TW202213683A (en) | 2022-04-01 |
TWI791214B true TWI791214B (en) | 2023-02-01 |
Family
ID=79232644
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110114942A TWI791214B (en) | 2020-09-22 | 2021-04-26 | Integrated circuit device and method for fabricating the same |
Country Status (5)
Country | Link |
---|---|
US (2) | US20220093757A1 (en) |
KR (1) | KR102606556B1 (en) |
CN (1) | CN113921462A (en) |
DE (1) | DE102021100042B4 (en) |
TW (1) | TWI791214B (en) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20220132139A (en) * | 2021-03-23 | 2022-09-30 | 삼성전자주식회사 | Semiconductor device and method for fabricating the same |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI458047B (en) * | 2009-06-29 | 2014-10-21 | Hynix Semiconductor Inc | Interconnection wiring structure of a semiconductor device and method for manufacturing same |
TWI536544B (en) * | 2013-11-01 | 2016-06-01 | 格羅方德半導體公司 | Methods of forming gate structures with multiple work functions and the resulting products |
TW201719809A (en) * | 2015-06-17 | 2017-06-01 | 格羅方德半導體公司 | A unique bi-layer etch stop to protect conductive structures during a metal hard mask removal process and methods of using same |
TW201816941A (en) * | 2016-08-05 | 2018-05-01 | 格羅方德半導體公司 | Methods of forming a high-k contact liner to improve effective via separation distance and the resulting devices |
Family Cites Families (58)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2010032456A1 (en) * | 2008-09-16 | 2010-03-25 | ローム株式会社 | Semiconductor storage device and method for manufacturing semiconductor storage device |
KR101602451B1 (en) * | 2010-01-22 | 2016-03-16 | 삼성전자주식회사 | Method of forming semiconductor device having contact plug and related device |
US8421077B2 (en) * | 2010-06-08 | 2013-04-16 | International Business Machines Corporation | Replacement gate MOSFET with self-aligned diffusion contact |
US8765585B2 (en) * | 2011-04-28 | 2014-07-01 | International Business Machines Corporation | Method of forming a borderless contact structure employing dual etch stop layers |
US9461143B2 (en) * | 2012-09-19 | 2016-10-04 | Intel Corporation | Gate contact structure over active gate and method to fabricate same |
KR101934037B1 (en) * | 2012-11-21 | 2018-12-31 | 삼성전자주식회사 | Semiconductor device having supporter and method of forming the same |
US9349812B2 (en) * | 2013-05-27 | 2016-05-24 | United Microelectronics Corp. | Semiconductor device with self-aligned contact and method of manufacturing the same |
US9231067B2 (en) * | 2014-02-26 | 2016-01-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and fabricating method thereof |
US9640625B2 (en) * | 2014-04-25 | 2017-05-02 | Globalfoundries Inc. | Self-aligned gate contact formation |
US9960256B2 (en) * | 2014-05-20 | 2018-05-01 | Globalfoundries Inc. | Merged gate and source/drain contacts in a semiconductor device |
US9911815B2 (en) * | 2014-06-18 | 2018-03-06 | Intel Corporation | Extended-drain structures for high voltage field effect transistors |
KR102088200B1 (en) * | 2014-07-01 | 2020-03-13 | 삼성전자주식회사 | Semiconductor device and method of manufacturing the same |
JP6415686B2 (en) * | 2014-08-19 | 2018-10-31 | インテル・コーポレーション | MOS type antifuse whose breakdown is accelerated by voids |
WO2016028267A1 (en) * | 2014-08-19 | 2016-02-25 | Intel Corporation | Transistor gate metal with laterally graduated work function |
KR102173638B1 (en) * | 2014-10-01 | 2020-11-04 | 삼성전자주식회사 | Semiconductor device and method of forming the same |
US9997522B2 (en) * | 2015-12-03 | 2018-06-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for fabricating a local interconnect in a semiconductor device |
US10163704B2 (en) * | 2015-12-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US9666533B1 (en) * | 2016-06-30 | 2017-05-30 | International Business Machines Corporation | Airgap formation between source/drain contacts and gates |
KR102472135B1 (en) * | 2016-10-06 | 2022-11-29 | 삼성전자주식회사 | Integrated circuit devices and method of manufacturing the same |
US10026824B1 (en) * | 2017-01-18 | 2018-07-17 | Globalfoundries Inc. | Air-gap gate sidewall spacer and method |
US10283406B2 (en) * | 2017-01-23 | 2019-05-07 | International Business Machines Corporation | Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains |
US10186456B2 (en) * | 2017-04-20 | 2019-01-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for forming contact plugs with reduced corrosion |
US10050149B1 (en) * | 2017-05-18 | 2018-08-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structure for semiconductor device |
US10522392B2 (en) * | 2017-05-31 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of fabricating the same |
US10128334B1 (en) * | 2017-08-09 | 2018-11-13 | Globalfoundries Inc. | Field effect transistor having an air-gap gate sidewall spacer and method |
US10763338B2 (en) * | 2017-08-30 | 2020-09-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Silicide implants |
KR102469885B1 (en) * | 2017-09-11 | 2022-11-22 | 삼성전자주식회사 | Semiconductor device |
US10297452B2 (en) * | 2017-09-22 | 2019-05-21 | Globalfoundries Inc. | Methods of forming a gate contact structure for a transistor |
US10157790B1 (en) * | 2017-09-28 | 2018-12-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for manufacturing the same |
US10651284B2 (en) * | 2017-10-24 | 2020-05-12 | Globalfoundries Inc. | Methods of forming gate contact structures and cross-coupled contact structures for transistor devices |
US10283617B1 (en) * | 2017-11-01 | 2019-05-07 | Globalfoundries Inc. | Hybrid spacer integration for field-effect transistors |
US10636697B2 (en) * | 2017-11-30 | 2020-04-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact formation method and related structure |
US10651292B2 (en) * | 2018-02-19 | 2020-05-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual metal via for contact resistance reduction |
US10971584B2 (en) * | 2018-03-07 | 2021-04-06 | International Business Machines Corporation | Low contact resistance nanowire FETs |
KR102419894B1 (en) * | 2018-03-14 | 2022-07-12 | 삼성전자주식회사 | Semiconductor device including non-active fin |
US10916498B2 (en) | 2018-03-28 | 2021-02-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structure for logic circuit |
US20200006491A1 (en) * | 2018-06-28 | 2020-01-02 | Intel Corporation | Source or drain structures with relatively high germanium content |
US10950732B2 (en) * | 2018-09-21 | 2021-03-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacturing the same |
US11482456B2 (en) * | 2019-03-21 | 2022-10-25 | Globalfoundries U.S. Inc. | Forming two portion spacer after metal gate and contact formation, and related IC structure |
US10818768B1 (en) * | 2019-05-30 | 2020-10-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming metal cap layers to improve performance of semiconductor structure |
US11031295B2 (en) * | 2019-06-03 | 2021-06-08 | International Business Machines Corporation | Gate cap last for self-aligned contact |
US20210057273A1 (en) * | 2019-08-22 | 2021-02-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Barrier-Less Structures |
US11164948B2 (en) * | 2019-09-24 | 2021-11-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Field-effect transistor and method of manufacturing the same |
DE102020110480B4 (en) * | 2019-09-30 | 2024-06-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Middle-of-line interconnect structure and manufacturing process |
US11462471B2 (en) * | 2019-09-30 | 2022-10-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Middle-of-line interconnect structure and manufacturing method |
KR20210096400A (en) * | 2020-01-28 | 2021-08-05 | 삼성전자주식회사 | Semiconductor device |
US11189525B2 (en) * | 2020-02-21 | 2021-11-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Via-first process for connecting a contact and a gate electrode |
US11563001B2 (en) * | 2020-03-30 | 2023-01-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Air spacer and capping structures in semiconductor devices |
CN113809007B (en) * | 2020-06-11 | 2024-03-01 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and forming method thereof |
CN114068481A (en) * | 2020-07-31 | 2022-02-18 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and forming method thereof |
US11652149B2 (en) * | 2020-08-13 | 2023-05-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Common rail contact |
TW202301631A (en) * | 2021-03-18 | 2023-01-01 | 南韓商三星電子股份有限公司 | Semiconductor device |
KR20230018025A (en) * | 2021-07-29 | 2023-02-07 | 삼성전자주식회사 | Semiconductor structures |
US20230178623A1 (en) * | 2021-12-08 | 2023-06-08 | International Business Machines Corporation | Gate all-around device with through-stack nanosheet 2d channel |
US20230197802A1 (en) * | 2021-12-16 | 2023-06-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Connection between gate and source/drain feature |
KR20230161704A (en) * | 2022-05-19 | 2023-11-28 | 삼성전자주식회사 | Semiconductor devices |
US20230377998A1 (en) * | 2022-05-20 | 2023-11-23 | Tokyo Electron Limited | Method of forming confined growth s/d contact with selective deposition of inner spacer for cfet |
KR20230168358A (en) * | 2022-06-07 | 2023-12-14 | 삼성전자주식회사 | Semiconductor device |
-
2020
- 2020-12-28 US US17/134,830 patent/US20220093757A1/en active Pending
-
2021
- 2021-01-05 DE DE102021100042.4A patent/DE102021100042B4/en active Active
- 2021-02-26 KR KR1020210026932A patent/KR102606556B1/en active IP Right Grant
- 2021-03-26 CN CN202110324969.4A patent/CN113921462A/en active Pending
- 2021-04-26 TW TW110114942A patent/TWI791214B/en active
-
2023
- 2023-08-04 US US18/365,503 patent/US20230378291A1/en active Pending
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI458047B (en) * | 2009-06-29 | 2014-10-21 | Hynix Semiconductor Inc | Interconnection wiring structure of a semiconductor device and method for manufacturing same |
TWI536544B (en) * | 2013-11-01 | 2016-06-01 | 格羅方德半導體公司 | Methods of forming gate structures with multiple work functions and the resulting products |
TW201719809A (en) * | 2015-06-17 | 2017-06-01 | 格羅方德半導體公司 | A unique bi-layer etch stop to protect conductive structures during a metal hard mask removal process and methods of using same |
TW201816941A (en) * | 2016-08-05 | 2018-05-01 | 格羅方德半導體公司 | Methods of forming a high-k contact liner to improve effective via separation distance and the resulting devices |
Also Published As
Publication number | Publication date |
---|---|
US20220093757A1 (en) | 2022-03-24 |
US20230378291A1 (en) | 2023-11-23 |
KR20220039525A (en) | 2022-03-29 |
DE102021100042A1 (en) | 2022-03-24 |
CN113921462A (en) | 2022-01-11 |
DE102021100042B4 (en) | 2023-03-30 |
KR102606556B1 (en) | 2023-11-24 |
TW202213683A (en) | 2022-04-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US6734489B2 (en) | Semiconductor element and MIM-type capacitor formed in different layers of a semiconductor device | |
KR102510730B1 (en) | Drain side recess for back-side power rail device | |
TWI734440B (en) | Integrated chip and method of forming the same | |
US20180082951A1 (en) | Contact having self-aligned air gap spacers | |
US11127630B2 (en) | Contact plug without seam hole and methods of forming the same | |
TW202143485A (en) | Semiconductor transistor device and method for forming the same | |
US7741174B2 (en) | Methods of forming pad structures and related methods of manufacturing recessed channel transistors that include such pad structures | |
US11417665B2 (en) | Semiconductor devices | |
US12009294B2 (en) | Middle-of-line interconnect structure and manufacturing method | |
KR102469899B1 (en) | Middle-of-line interconnect structure and manufacturing method | |
TWI787787B (en) | Semiconductor transistor device and method of forming semiconductor transistor device | |
US9741615B1 (en) | Contacts for a fin-type field-effect transistor | |
US7052952B2 (en) | Method for forming wire line by damascene process using hard mask formed from contacts | |
KR101561061B1 (en) | Semiconductor device having a protrusion typed isolation layer | |
US20230378291A1 (en) | Middle-of-line interconnect structure and manufacturing method | |
CN113517227B (en) | Semiconductor device and method of forming semiconductor transistor device | |
US20120070950A1 (en) | Method of Manufacturing a Semiconductor Device | |
US20220238667A1 (en) | Semiconductor structure and forming method thereof | |
KR102436689B1 (en) | Capacitance reduction for back-side power rail device | |
US20230363143A1 (en) | Semiconductor memory device | |
JP2024132937A (en) | Semiconductor memory device | |
CN117956790A (en) | Semiconductor memory device having a memory cell with a memory cell having a memory cell with a memory cell | |
KR20070020753A (en) | Semiconductor devices having air gap in inter-level dielectrics and method of fabricating the same |