KR102436689B1 - Capacitance reduction for back-side power rail device - Google Patents

Capacitance reduction for back-side power rail device Download PDF

Info

Publication number
KR102436689B1
KR102436689B1 KR1020200180127A KR20200180127A KR102436689B1 KR 102436689 B1 KR102436689 B1 KR 102436689B1 KR 1020200180127 A KR1020200180127 A KR 1020200180127A KR 20200180127 A KR20200180127 A KR 20200180127A KR 102436689 B1 KR102436689 B1 KR 102436689B1
Authority
KR
South Korea
Prior art keywords
source
drain
drain epitaxial
backside
gate
Prior art date
Application number
KR1020200180127A
Other languages
Korean (ko)
Other versions
KR20210138468A (en
Inventor
시 닝 주
쿠오-챙 치앙
치-하오 왕
웬-팅 란
쿠안-룬 챙
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/034,347 external-priority patent/US11289606B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210138468A publication Critical patent/KR20210138468A/en
Application granted granted Critical
Publication of KR102436689B1 publication Critical patent/KR102436689B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

반도체 트랜지스터 디바이스는 채널 구조물, 게이트 구조물, 제 1 소스/드레인 에피택셜 구조물, 제 2 소스/드레인 에피택셜 구조물, 게이트 컨택트, 및 후면 측 소스/드레인 컨택트를 포함한다. 게이트 구조물은 채널 구조물을 감싼다. 제 1 소스/드레인 에피택셜 구조물 및 제 2 소스/드레인 에피택셜 구조물은 채널 구조물의 대향 단부들 상에 배치된다. 게이트 컨택트는 게이트 구조물 상에 배치된다. 후면 측 소스/드레인 컨택트는 제 1 소스/드레인 에피택셜 구조물 아래에 배치된다. 제 1 소스/드레인 에피택셜 구조물은 후면 측 소스/드레인 컨택트와 접촉하는 오목한 하단 표면을 갖는다.The semiconductor transistor device includes a channel structure, a gate structure, a first source/drain epitaxial structure, a second source/drain epitaxial structure, a gate contact, and a backside source/drain contact. The gate structure surrounds the channel structure. A first source/drain epitaxial structure and a second source/drain epitaxial structure are disposed on opposite ends of the channel structure. A gate contact is disposed on the gate structure. The backside source/drain contacts are disposed below the first source/drain epitaxial structure. The first source/drain epitaxial structure has a concave bottom surface in contact with the backside source/drain contacts.

Description

후면 측 전력 레일 디바이스를 위한 캐패시턴스 감소{CAPACITANCE REDUCTION FOR BACK-SIDE POWER RAIL DEVICE}Capacitance REDUCTION FOR BACK-SIDE POWER RAIL DEVICE

본 출원은 2020년 5월 11일 출원된 미국 가특허 출원 번호 제63/022,666호의 우선권을 주장하며, 이 미국 가출원의 내용은 그 전체가 본원에 참고로 포함된다.This application claims priority to U.S. Provisional Patent Application No. 63/022,666, filed on May 11, 2020, the contents of which are incorporated herein by reference in their entirety.

반도체 집적 회로(integrated circuit)(IC) 산업은 기하급수적으로 성장했다. IC 재료들 및 디자인의 기술적 진보로 인해 ICs 세대들이 생성되었으며, 각 세대는 이전 세대보다 보다 작고 복잡한 회로들을 갖는다. IC 진화 과정에서, 기능 밀도(functional density)(즉, 칩 면적 당 상호접속된 디바이스들의 수)는 일반적으로 증가한 반면 지오메트리 사이즈(geometry size)(즉, 제조 공정을 사용하여 생성될 수 있는 최소 컴포넌트(또는 라인))는 감소했다. 이러한 스케일링 다운(scaling down) 공정은 일반적으로 생산 효율을 높이고 관련 비용을 낮추는 이점들을 제공한다. 이러한 스케일링 다운은 또한 IC 처리 및 제조의 복잡성도 증가시켰다.The semiconductor integrated circuit (IC) industry has grown exponentially. Technological advances in IC materials and design have created generations of ICs, each with smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component that can be created using a manufacturing process) or line)) decreased. This scaling down process generally offers advantages of increasing production efficiency and lowering associated costs. This scaling down also increased the complexity of IC processing and manufacturing.

반도체 트랜지스터 디바이스는 채널 구조물, 게이트 구조물, 제 1 소스/드레인 에피택셜 구조물, 제 2 소스/드레인 에피택셜 구조물, 게이트 컨택트, 및 후면 측 소스/드레인 컨택트를 포함한다. 게이트 구조물은 채널 구조물을 감싼다. 제 1 소스/드레인 에피택셜 구조물 및 제 2 소스/드레인 에피택셜 구조물은 채널 구조물의 대향 단부들 상에 배치된다. 게이트 컨택트는 게이트 구조물 상에 배치된다. 후면 측 소스/드레인 컨택트는 제 1 소스/드레인 에피택셜 구조물 아래에 배치된다. 제 1 소스/드레인 에피택셜 구조물은 후면 측 소스/드레인 컨택트와 접촉하는 오목한 하단 표면을 갖는다.The semiconductor transistor device includes a channel structure, a gate structure, a first source/drain epitaxial structure, a second source/drain epitaxial structure, a gate contact, and a backside source/drain contact. The gate structure surrounds the channel structure. A first source/drain epitaxial structure and a second source/drain epitaxial structure are disposed on opposite ends of the channel structure. A gate contact is disposed on the gate structure. The backside source/drain contacts are disposed below the first source/drain epitaxial structure. The first source/drain epitaxial structure has a concave bottom surface in contact with the backside source/drain contacts.

본 개시 내용의 양태들은 첨부 도면과 함께 읽혀지는 이하의 상세한 설명으로부터 최상으로 이해된다. 주목할 것은 본 산업의 표준 관행에 따라 다양한 피처들(features)이 축척대로 도시되는 것은 아니라는 것이다. 실제로, 다양한 피처들의 치수들은 설명의 명확성을 위해 임의로 증가 또는 감소될 수 있다.
도 1은 후면 측 전력 레일(back-side power rail)을 갖는 반도체 트랜지스터 디바이스의 일부 실시예의 단면도를 도시한 것이다.
도 2는 후면 측 전력 레일을 갖는 반도체 트랜지스터 디바이스의 일부 추가 실시예의 단면도를 도시한 것이다.
도 3은 후면 측 전력 레일을 갖는 반도체 트랜지스터 디바이스의 일부 추가 실시예의 단면도를 도시한 것이다.
도 4는 후면 측 전력 레일을 갖는 반도체 트랜지스터 디바이스의 일부 추가 실시예의 단면도를 도시한 것이다.
도 5는 후면 측 전력 레일을 갖는 반도체 트랜지스터 디바이스의 일부 실시예의 단면도를 도시한 것이다.
도 6a는 도 5의 라인 A-A'를 따른 반도체 트랜지스터 디바이스의 일부 실시예의 단면도를 도시한 것이다.
도 6b는 도 5의 라인 B-B'를 따른 반도체 트랜지스터 디바이스의 일부 실시예의 단면도를 도시한 것이다.
도 6c는 도 5의 라인 C-C'를 따른 반도체 트랜지스터 디바이스의 일부 실시예의 단면도를 도시한 것이다.
도 7 내지 도 27b는 다양한 스테이지들에서 후면 측 전력 레일을 갖는 반도체 트랜지스터 디바이스를 형성하는 방법의 일부 실시예의 다양한 도면들을 도시한 것이다.
도 28은 도 7 내지 도 27b에 대응하는 방법의 일부 실시예의 플로우 다이어그램을 도시한 것이다.
Aspects of the present disclosure are best understood from the following detailed description read in conjunction with the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, various features are not drawn to scale. Indeed, the dimensions of the various features may be arbitrarily increased or decreased for clarity of description.
1 shows a cross-sectional view of some embodiments of a semiconductor transistor device having a back-side power rail.
2 shows a cross-sectional view of some further embodiments of a semiconductor transistor device having a backside power rail.
3 shows a cross-sectional view of some additional embodiments of a semiconductor transistor device having a backside power rail.
4 shows a cross-sectional view of some further embodiments of a semiconductor transistor device having a backside power rail.
5 shows a cross-sectional view of some embodiments of a semiconductor transistor device having a backside power rail.
6A illustrates a cross-sectional view of some embodiments of a semiconductor transistor device along line A-A' in FIG. 5;
6B shows a cross-sectional view of some embodiments of a semiconductor transistor device along line B-B' of FIG. 5 .
6C illustrates a cross-sectional view of some embodiments of a semiconductor transistor device along line C-C' in FIG. 5 .
7-27B show various views of some embodiments of a method of forming a semiconductor transistor device having a backside power rail at various stages.
Fig. 28 shows a flow diagram of some embodiments of the method corresponding to Figs. 7-27B.

이하의 개시 내용은 제공된 요지의 상이한 피처들을 구현하기 위한 많은 상이한 실시예들 또는 예들을 제공한다. 이하에서는 본 개시 내용을 단순화하기 위해 특정 예의 컴포넌트들 및 배열체들이 기술된다. 이들은 물론 예에 불과할 뿐이며 제한하려는 것이 아니다. 예를 들어, 이하의 설명에서 제 2 피처 위에 또는 제 2 피처 상에 제 1 피처를 형성하는 것은 제 1 피처 및 제 2 피처가 직접 접촉하여 형성되는 실시예를 포함할 수 있고, 또한 제 1 피처 및 제 2 피처가 직접 접촉하지 않을 수 있도록 제 1 피처와 제 2 피처 사이에 추가적인 피처들이 형성될 수 있는 실시예를 포함할 수 있다. 또한, 본 개시 내용은 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 단순성 및 명확성을 위한 것이며, 그 자체가 논의된 다양한 실시예들 및/또는 구성들 간의 관계를 나타내는 것은 아니다. The following disclosure provides many different embodiments or examples for implementing different features of the presented subject matter. Specific example components and arrangements are described below to simplify the present disclosure. These are, of course, examples only and are not intended to be limiting. For example, in the description below, forming a first feature on or on a second feature may include embodiments in which the first feature and the second feature are formed in direct contact, and also the first feature and embodiments in which additional features may be formed between the first and second features such that the second features may not be in direct contact. In addition, this disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for simplicity and clarity, and does not in itself represent a relationship between the various embodiments and/or configurations discussed.

또한, "바로 아래", "아래", "하부", "위", "상부" 등과 같은 공간 관련 용어는 본원에서 하나의 요소 또는 피처의 다른 요소(들) 또는 피처(들)에 대한 관계를 도면에 도시한 바와 같이 기술하기 위한 설명의 편의를 위해 사용될 수 있다. 이들 공간 관련 용어는 도면에 도시된 방향 외에도 사용 중인 또는 동작 중인 디바이스의 다양한 방향을 포함하도록 의도된다. 장치는 다른 방식으로 배향될 수 있고(90도 또는 다른 방향으로 회전될 수 있고), 그에 따라 본원에서 사용되는 공간 관련 서술자가 마찬가지로 해석될 수 있다.Also, spatially related terms such as “immediately below,” “below,” “below,” “above,” “above,” and the like, refer herein to the relationship of one element or feature to another element(s) or feature(s). It may be used for convenience of description for description as shown in the drawings. These spatially related terms are intended to include various orientations of the device in use or in operation in addition to the orientation shown in the figures. The device may be otherwise oriented (rotated 90 degrees or in other orientations), and the spatially related descriptors used herein may likewise be interpreted accordingly.

본원에 사용되는 "쯤(around)", "약(about)", "대략(approximately)" 또는 "실질적으로(substantially)"는 일반적으로 주어진 값 또는 범위의 20 % 이내, 또는 10 % 이내, 또는 5 % 이내를 의미할 것이다. 본원에 제공되는 수량들은, 명시적으로 언급되지 않을 경우, 용어 "쯤", "약", "대략적으로" 또는 "실질적으로"가 추론될 수 있다는 것을 의미하는 근사치들이다.As used herein, “around”, “about”, “approximately” or “substantially” generally means within 20%, or within 10% of a given value or range, or It would mean within 5%. The quantities provided herein are approximations, meaning that the terms “about,” “about,” “approximately,” or “substantially,” can be inferred, unless explicitly stated otherwise.

게이트 올 어라운드(gate all around)(GAA) 트랜지스터 구조물들은 임의의 적합한 방법에 의해 패터닝될 수 있다. 예를 들어, 이들 구조물들은 이중 패터닝 또는 다중 패터닝 공정들을 포함하는 하나 이상의 포토리소그래피 공정을 사용하여 패터닝될 수 있다. 일반적으로, 이중 패터닝 또는 다중 패터닝 공정들은 포토리소그래피 공정과 자체 정렬 공정(self-aligned process)을 결합하여, 예를 들어, 단일 직접 포토리소그래피 공정(single, direct photolithography process)을 사용하여 다른 방식으로 얻을 수 있는 것보다 작은 피치들(pitches)을 갖는 패턴들을 생성할 수 있다. 예를 들어, 일 실시예에서, 희생 층은 기판 위에 형성되고, 포토리소그래피 공정을 사용하여 패터닝된다. 스페이서들은 자체 정렬 공정을 사용하여 패터닝된 희생 층 옆에 형성된다. 희생 층은 그 후 제거되고, 나머지 스페이서들은 그 후 GAA 트랜지스터 구조물들을 패터닝하는 데 사용될 수 있다. GAA 트랜지스터 구조물들을 형성한 후, 층간 유전체(interlayer dielectric)(ILD) 층들 내에 배치된 전력 레일들 및 신호 라인들을 포함하는 상호접속 구조물이 그 GAA 트랜지스터 구조물들 위에 형성될 수 있다.Gate all around (GAA) transistor structures may be patterned by any suitable method. For example, these structures may be patterned using one or more photolithographic processes including double patterning or multiple patterning processes. In general, double patterning or multiple patterning processes combine a photolithography process with a self-aligned process, which can be otherwise obtained using, for example, a single, direct photolithography process. It is possible to create patterns with smaller pitches than possible. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed next to the patterned sacrificial layer using a self-aligning process. The sacrificial layer is then removed, and the remaining spacers can then be used to pattern the GAA transistor structures. After forming the GAA transistor structures, an interconnect structure including power rails and signal lines disposed in interlayer dielectric (ILD) layers may be formed over the GAA transistor structures.

현재 전력 레일 디자인은 반도체 공정이, 예를 들어, 3 nm를 초과하여 지속적으로 축소될 경우, 백엔드 오브 라인(back-end-of-line)(BEOL)에서 복잡한 금속 층 라우팅을 겪게 될 것이다. 복잡한 금속 층 라우팅의 결과로서, 보다 많은 마스크들이 필요하며, 금속 와이어들이 얇아질 경우 전압 강하(IR 강하라고도 지칭되기도 함)가 발생한다. Current power rail designs will suffer from complex metal layer routing at the back-end-of-line (BEOL) as semiconductor processes continue to shrink beyond, for example, 3 nm. As a result of complex metal layer routing, more masks are needed, and a voltage drop (sometimes referred to as an IR drop) occurs as the metal wires become thinner.

이러한 관점에서, 본 개시 내용은 후면 측 전력 레일을 갖는 반도체 트랜지스터 디바이스 및 그 제조 방법들에 관한 것이다. 전력 레일을 반도체 트랜지스터 디바이스의 전면 측으로부터 후면 측으로 이동시키게 되면, BEOL에서 금속층 라우팅은 완화된다. 따라서, 마스크들은 덜 필요하고 IR 강하는 개선되며, 전력 레일 구역과 활성 영역이 모두 확대될 수 있다.In this respect, the present disclosure relates to a semiconductor transistor device having a backside power rail and methods of manufacturing the same. Moving the power rails from the front side to the back side of the semiconductor transistor device relieves metal layer routing in the BEOL. Thus, less masks are needed, the IR drop is improved, and both the power rail area and the active area can be enlarged.

보다 구체적으로, 본 개시 내용의 일부 실시예는 GAA 디바이스에 관한 것이다. GAA 디바이스는 채널 구조물, 채널 구조물을 감싸는 게이트 구조물, 채널 구조물의 대향 단부들 상에 배치된 제 1 소스/드레인 에피택셜 구조물 및 제 2 소스/드레인 에피택셜 구조물, 및 게이트 구조물 상에 배치된 게이트 컨택트를 포함한다. GAA 디바이스는 제 1 소스/드레인 에피택셜 구조물의 리세싱된 하단 표면 상에 랜딩되는 후면 측 소스/드레인 컨택트, 및 후면 측 소스/드레인 컨택트 하부에 배치되어 후면 측 소스/드레인 컨택트에 접속되는 후면 측 전력 레일을 더 포함한다. 후면 측 소스/드레인 컨택트 및 후면 측 전력 레일은, 예를 들어, 금속 재료들을 포함할 수 있다. 일부 실시예에서, 제 1 소스/드레인 에피택셜 구조물의 하단 표면은 게이트 구조물 또는 채널 구조물의 하단 표면보다 수직으로 더 깊은 위치로 리세싱될 수 있다. 따라서, 셀 캐패시턴스(cell capacitance)는 감소될 수 있다. More specifically, some embodiments of the present disclosure relate to GAA devices. The GAA device includes a channel structure, a gate structure surrounding the channel structure, first source/drain epitaxial structures and second source/drain epitaxial structures disposed on opposite ends of the channel structure, and a gate contact disposed on the gate structure. includes The GAA device has a back side source/drain contact landing on a recessed bottom surface of the first source/drain epitaxial structure, and a back side source/drain contact disposed below the back side source/drain contact and connected to the back side source/drain contact. It further includes a power rail. The back side source/drain contact and the back side power rail may include, for example, metallic materials. In some embodiments, the bottom surface of the first source/drain epitaxial structure may be recessed to a position that is vertically deeper than the bottom surface of the gate structure or channel structure. Accordingly, cell capacitance may be reduced.

일부 실시예에서, 후면 측 소스/드레인 컨택트는 제 1 소스/드레인 에피택셜 구조물을 형성하기 전에 희생 후면 측 컨택트를 형성함으로써 자체 정렬되게 형성된다. 더미 후면 측 컨택트(dummy back-side contact)는 나중에 선택적으로 제거되고 후면 측 소스/드레인 컨택트로 대체되어, 컨택트 랜딩(contact landing)의 오버레이 시프트(overlay shift)가 제거된다. In some embodiments, the backside source/drain contacts are formed to self-align by forming a sacrificial backside contact prior to forming the first source/drain epitaxial structure. The dummy back-side contact is later optionally removed and replaced with a back-side source/drain contact, eliminating the overlay shift of the contact landing.

일부 추가 실시예에서, GAA 디바이스는 게이트 구조물 및 제 2 소스/드레인 에피택셜 구조물 아래에 배치된 후면 측 유전체 캡(back-side dielectric cap)을 더 포함한다. 후면 측 유전체 캡은 산화물, 질화물, 탄소 질화물, 또는 로우-κ (low-k) 유전체 재료들을 포함할 수 있다. 후면 측 유전체 캡은 오리지널 반도체 본체 재료를 대체하므로, 셀 캐패시턴스를 감소시키며, 이로써 게이트 구조물과 후면 측 소스/드레인 컨택트 사이의 누설과 같은 전류 누설 문제를 제거한다. In some further embodiments, the GAA device further includes a back-side dielectric cap disposed below the gate structure and the second source/drain epitaxial structure. The backside dielectric cap may include oxide, nitride, carbon nitride, or low-k dielectric materials. The backside dielectric cap replaces the original semiconductor body material, thereby reducing cell capacitance, thereby eliminating current leakage problems such as leakage between the gate structure and the backside source/drain contacts.

또한, 제 2 소스/드레인 에피택셜 구조물은 리세싱된 하단 표면을 가질 수 있다. 제 2 소스/드레인 에피택셜 구조물의 하단 표면은 게이트 구조물의 하단 표면과 수직으로 정렬된 위치 또는 심지어는 게이트 구조물의 하단 표면보다 더 깊은 위치로 리세싱될 수 있다. 따라서, 셀 캐패시턴스는 추가로 감소될 수 있다. Additionally, the second source/drain epitaxial structure may have a recessed bottom surface. The bottom surface of the second source/drain epitaxial structure may be recessed into a position vertically aligned with the bottom surface of the gate structure or even deeper than the bottom surface of the gate structure. Accordingly, the cell capacitance can be further reduced.

본원에 제시되는 GAA 디바이스들은 p 타입 GAA 디바이스 또는 n 타입 GAA 디바이스를 포함한다. 또한, GAA 디바이스들은 단일의 연속적인 게이트 구조물 또는 다중 게이트 구조물들과 연관된 하나 이상의 채널 영역들(예컨대, 반도체 나노와이어들(semiconductor nanowires), 또는 나노도트들(nanodots) 등)을 가질 수 있다. 통상의 기술자는 본 개시 내용의 양태들로부터 이익을 얻을 수 있는 다른 예들의 반도체 트랜지스터 디바이스들을 인식할 수 있다. GAA 디바이스들은 정적 랜덤 액세스 메모리(static random access memory)(SRAM), 로직 회로들(logic circuits), 수동 컴포넌트들(passive components), 예를 들어, 저항기들, 캐패시터들, 및 인덕터들, 및/또는 능동 컴포넌트들(active components), 예를 들어, p 타입 전계 효과 트랜지스터들(PFETs), n 타입 FETs (NFETs), 다중 게이트 FETs, 금속 산화물 반도체 전계 효과 트랜지스터들(metal-oxide semiconductor field effect transistors)(MOSFETs), 상보형 금속 산화물 반도체(complementary metal-oxide semiconductor)(CMOS) 트랜지스터들, 바이폴라 트랜지스터들, 고전압 트랜지스터들, 고주파 트랜지스터들, 다른 메모리 셀들, 및 이들의 조합들을 포함할 수 있는 집적 회로(IC)의 일부일 수 있다.The GAA devices presented herein include a p-type GAA device or an n-type GAA device. Additionally, GAA devices may have one or more channel regions (eg, semiconductor nanowires, or nanodots, etc.) associated with a single continuous gate structure or multiple gate structures. One of ordinary skill in the art may recognize other examples of semiconductor transistor devices that could benefit from aspects of the present disclosure. GAA devices include static random access memory (SRAM), logic circuits, passive components such as resistors, capacitors, and inductors, and/or active components such as p-type field effect transistors (PFETs), n-type FETs (NFETs), multi-gate FETs, metal-oxide semiconductor field effect transistors ( MOSFETs), complementary metal-oxide semiconductor (CMOS) transistors, bipolar transistors, high voltage transistors, high frequency transistors, other memory cells, and combinations thereof. ) may be part of

도 1은 일부 실시예에 따른 반도체 트랜지스터 디바이스(100)의 단면도를 도시한 것이다. 반도체 트랜지스터 디바이스(100)는 채널 구조물(102) 및 채널 구조물(102)을 감싸는 게이트 구조물(104)을 포함한다. 채널 구조물(102)은 게이트 구조물(104)의 금속 컴포넌트들의 스택에 의해 분리되고 둘러싸인 반도체 층들의 스택을 포함할 수 있다. 제 1 소스/드레인 에피택셜 구조물(106) 및 제 2 소스/드레인 에피택셜 구조물(108)은 채널 구조물(102)의 대향 단부들 상에 배치된다. 내부 스페이서들(128)은 게이트 구조물(104)의 금속 컴포넌트들의 대향 단부들 상에 배치되어, 게이트 구조물(104)을 제 1 및 제 2 소스/드레인 에피택셜 구조물들(106, 108)로부터 격리시킨다. 일부 실시예에서, 게이트 스페이서들(134)은 게이트 구조물(104)의 상부 부분의 대향 측벽들을 따라 배치된다. 내부 스페이서들(128)의 외부 표면들은 채널 구조물(102) 및/또는 게이트 스페이서들(134)의 외부 표면들과 실질적으로 동일 평면 상에 있을 수 있다. 일부 실시예에서, 상부 격리 구조물(220)은 게이트 스페이서들(134) 간의 트렌치들 내에 배치된다. 상부 격리 구조물(220)은 게이트 구조물들(104) 사이에 전기 절연을 제공한다. 일 예로서, 채널 구조물(102)은 p 타입 및 n 타입 불순물들로 도핑되지 않은 순수한 실리콘 층들일 수 있다. 채널 구조물(102)의 두께는 약 3 nm 내지 약 15 nm의 범위일 수 있다. 일 예로서, 게이트 구조물(104)은 하이-κ 재료들(κ는 7보다 큼)과 같은 게이트 유전체 재료, 일함수 금속 재료, 및 텅스텐 또는 알루미늄과 같은 충전 금속 재료를 포함할 수 있다. 게이트 구조물(104)의 두께는 약 2 nm 내지 약 10 nm의 범위일 수 있다. 일부 실시예에서, 제 1 및 제 2 소스/드레인 에피택셜 구조물들(106, 108)은 실리콘, 게르마늄, 또는 실리콘 게르마늄과 같은 반도체 재료를 포함한다. 제 1 및 제 2 소스/드레인 에피택셜 구조물들(106, 108)은 육각형 또는 다이아몬드형 형상들일 수 있다. 일부 실시예에서, 제 1 및 제 2 소스/드레인 에피택셜 구조물들(106, 108)은 상이한 도전성 타입들을 갖는다. 예를 들어, 제 1 소스/드레인 에피택셜 구조물(106)은 N 타입 에피택셜 구조물일 수 있고, 제 2 소스/드레인 에피택셜 구조물(108)은 P 타입 에피택셜 구조물일 수 있거나, 그 반대일 수도 있다. 제 1 및 제 2 소스/드레인 에피택셜 구조물들(106, 108)은 각각 반도체 트랜지스터 디바이스(100)의 소스 및 드레인일 수 있다. 1 illustrates a cross-sectional view of a semiconductor transistor device 100 in accordance with some embodiments. The semiconductor transistor device 100 includes a channel structure 102 and a gate structure 104 surrounding the channel structure 102 . The channel structure 102 may include a stack of semiconductor layers separated and surrounded by a stack of metal components of the gate structure 104 . A first source/drain epitaxial structure 106 and a second source/drain epitaxial structure 108 are disposed on opposite ends of the channel structure 102 . The inner spacers 128 are disposed on opposite ends of the metal components of the gate structure 104 to isolate the gate structure 104 from the first and second source/drain epitaxial structures 106 , 108 . . In some embodiments, the gate spacers 134 are disposed along opposite sidewalls of the upper portion of the gate structure 104 . The outer surfaces of the inner spacers 128 may be substantially coplanar with the outer surfaces of the channel structure 102 and/or the gate spacers 134 . In some embodiments, the upper isolation structure 220 is disposed in the trenches between the gate spacers 134 . The upper isolation structure 220 provides electrical insulation between the gate structures 104 . As an example, the channel structure 102 may be pure silicon layers that are not doped with p-type and n-type impurities. The thickness of the channel structure 102 may range from about 3 nm to about 15 nm. As an example, the gate structure 104 may include a gate dielectric material, such as high-κ materials (κ is greater than 7), a work function metal material, and a filling metal material, such as tungsten or aluminum. The thickness of the gate structure 104 may range from about 2 nm to about 10 nm. In some embodiments, the first and second source/drain epitaxial structures 106 , 108 include a semiconductor material such as silicon, germanium, or silicon germanium. The first and second source/drain epitaxial structures 106 , 108 may be hexagonal or diamond-shaped shapes. In some embodiments, the first and second source/drain epitaxial structures 106 , 108 have different conductivity types. For example, the first source/drain epitaxial structure 106 may be an N-type epitaxial structure, and the second source/drain epitaxial structure 108 may be a P-type epitaxial structure, or vice versa. have. The first and second source/drain epitaxial structures 106 , 108 may be the source and drain of the semiconductor transistor device 100 , respectively.

반도체 트랜지스터 디바이스(100)의 전면 측에는, 전면 측 상호접속 구조물(114)이 게이트 구조물(104) 및 제 1 및 제 2 소스/드레인 에피택셜 구조물들(106, 108) 위에 배치될 수 있다. 전면 측 상호접속 구조물(114)은 전면 측 층간 유전체 층(112) 내에 배치되고 이로 둘러싸인 복수의 전면 측 금속 층들(116)을 포함할 수 있다. 전면 측 금속 층들(116)은 비아들 또는 컨택트들과 같은 수직 상호접속물들, 및 금속 라인들과 같은 수평 상호접속물들을 포함한다. 전면 측 상호접속 구조물(114)은 반도체 트랜지스터 디바이스의 다양한 피처들 또는 구조물들을 전기적으로 접속한다. 예를 들어, 게이트 컨택트(110)는 게이트 구조물(104) 상에 배치될 수 있고, 전면 측 금속 층들(116)을 통해 외부 회로들에 접속될 수 있다. On the front side of the semiconductor transistor device 100 , a front side interconnect structure 114 may be disposed over the gate structure 104 and the first and second source/drain epitaxial structures 106 , 108 . The front side interconnect structure 114 may include a plurality of front side metal layers 116 disposed within and surrounded by the front side interlayer dielectric layer 112 . Front side metal layers 116 include vertical interconnects, such as vias or contacts, and horizontal interconnects, such as metal lines. The front side interconnect structure 114 electrically connects various features or structures of the semiconductor transistor device. For example, the gate contact 110 may be disposed on the gate structure 104 and may be connected to external circuits through the front side metal layers 116 .

반도체 트랜지스터 디바이스(100)의 후면 측에는, 일부 실시예에서, 후면 측 소스/드레인 컨택트(120)가 제 1 소스/드레인 에피택셜 구조물(106) 아래에 배치되고, 제 1 소스/드레인 에피택셜 구조물(106)을 후면 측 소스/드레인 컨택트(120) 아래에 배치된 후면 측 전력 레일(122)에 접속한다. 일부 실시예에서, 유전체 측벽 스페이서(118)는 후면 측 소스/드레인 컨택트(120)의 측벽을 따라 배치되고, 후면 측 소스/드레인 컨택트(120)를 후면 측 유전체 캡(126)으로부터 분리시킨다. 후면 측 소스/드레인 컨택트(120) 및 후면 측 전력 레일(122)은, 예를 들어, 금속 재료들을 포함할 수 있다. 예를 들어, 후면 측 소스/드레인 컨택트(120)는 텅스텐(W), 코발트(Co), 루테늄(Ru), 알루미늄(Al), 구리(Cu), 또는 다른 적합한 재료들과 같은 금속을 포함할 수 있다. 따라서, 제 1 소스/드레인 에피택셜 구조물(106)은 후면 측 소스/드레인 컨택트(120)를 통해 반도체 트랜지스터 디바이스(100)의 후면 측으로부터의 외부 회로들에 접속될 수 있다. 이에 따라, 보다 많은 금속 라우팅 유연성이 제공되고, 셀 캐패시턴스가 감소될 수 있다. On the back side of the semiconductor transistor device 100 , in some embodiments, a back side source/drain contact 120 is disposed below the first source/drain epitaxial structure 106 , the first source/drain epitaxial structure ( 106 is connected to a backside power rail 122 disposed below the backside source/drain contacts 120 . In some embodiments, dielectric sidewall spacers 118 are disposed along the sidewalls of the backside source/drain contacts 120 and separate the backside source/drain contacts 120 from the backside dielectric caps 126 . The back side source/drain contact 120 and the back side power rail 122 may include, for example, metallic materials. For example, the back side source/drain contacts 120 may include a metal such as tungsten (W), cobalt (Co), ruthenium (Ru), aluminum (Al), copper (Cu), or other suitable materials. can Accordingly, the first source/drain epitaxial structure 106 may be connected to external circuits from the backside of the semiconductor transistor device 100 through the backside source/drain contacts 120 . Accordingly, more metal routing flexibility is provided and cell capacitance can be reduced.

또한, 후면 측 소스/드레인 컨택트(120)는 제 1 소스/드레인 에피택셜 구조물(106)의 리세싱된 하단 표면(106b) 상에 랜딩될 수 있다. 일부 실시예에서, 제 1 소스/드레인 에피택셜 구조물(106)의 하단 표면(106b)은 게이트 구조물(104)의 하단 표면(104b)보다 수직으로 더 깊은 위치에 도달하는 볼록 형상으로 리세싱될 수 있다. Further, the backside source/drain contacts 120 may land on the recessed bottom surface 106b of the first source/drain epitaxial structure 106 . In some embodiments, the bottom surface 106b of the first source/drain epitaxial structure 106 may be recessed into a convex shape that reaches a position vertically deeper than the bottom surface 104b of the gate structure 104 . have.

또한 반도체 트랜지스터 디바이스(100)의 후면 측에는, 일부 실시예에서, 후면 측 유전체 캡(126)이 게이트 구조물(104) 아래에 배치된다. 후면 측 유전체 캡(126)은 또한 제 2 소스/드레인 에피택셜 구조물(108) 아래로 연장될 수도 있다. 후면 측 유전체 캡(126)은 오리지널 반도체 본체 재료를 대체하고, 게이트 구조물(104)과 후면 측 소스/드레인 컨택트(120)를 분리 및 절연하는 데 도움을 주며, 따라서 셀 캐패시턴스를 감소시키고, 게이트 구조물(104)과 후면 측 소스/드레인 컨택트(120) 사이의 누설과 같은 전류 누설 문제들을 제거한다. 후면 측 유전체 캡(126)은 산화물, 질화물, 탄소 질화물, 또는 로우-κ (low-k) 유전체 재료들을 포함할 수 있다. Also on the backside of the semiconductor transistor device 100 , in some embodiments, a backside dielectric cap 126 is disposed below the gate structure 104 . The backside dielectric cap 126 may also extend below the second source/drain epitaxial structure 108 . The backside dielectric cap 126 replaces the original semiconductor body material and helps to isolate and insulate the gate structure 104 and the backside source/drain contacts 120 , thus reducing cell capacitance and the gate structure. Eliminates current leakage problems such as leakage between 104 and the back side source/drain contact 120 . The backside dielectric cap 126 may include oxide, nitride, carbon nitride, or low-k dielectric materials.

도 2는 일부 실시예에 따른 후면 측 전력 레일을 갖는 반도체 트랜지스터 디바이스(200)의 단면도를 도시한 것이다. 도 1을 참조하여 개시된 피처들 외에도, 일부 추가 실시예에서, 제 1 소스/드레인 에피택셜 구조물(106)의 하단 표면(106b)은 채널 구조물(102)의 하단 표면(102b)을 수직으로 초과하는 위치로 더 깊숙이 리세싱될 수 있다. 셀 캐패시턴스는 도 1의 반도체 트랜지스터 디바이스(100)에 비해 더 감소되며, 여기서 제 1 소스/드레인 에피택셜 구조물(106)의 하단 표면(106b)은 채널 구조물(102)의 최하단 아래에 있다.2 illustrates a cross-sectional view of a semiconductor transistor device 200 with a backside power rail in accordance with some embodiments. In addition to the features disclosed with reference to FIG. 1 , in some further embodiments, the bottom surface 106b of the first source/drain epitaxial structure 106 vertically exceeds the bottom surface 102b of the channel structure 102 . may be recessed deeper into position. The cell capacitance is further reduced compared to the semiconductor transistor device 100 of FIG. 1 , where the bottom surface 106b of the first source/drain epitaxial structure 106 is below the bottom of the channel structure 102 .

도 3은 일부 실시예에 따른 후면 측 전력 레일을 갖는 반도체 트랜지스터 디바이스(300)의 단면도를 도시한 것이다. 도 1 및 도 2를 참조하여 개시된 피처들 외에도, 일부 추가 실시예에서, 제 2 소스/드레인 에피택셜 구조물(108)의 하단 표면(108b)은 후방으로 게이트 구조물(104)의 하단 표면(104b)과 동일한 레벨의 포지션까지 리세싱될 수 있고, 도 1 및 도 2에 도시된 바와 같은 오목 형상을 가질 수 있다. 셀 캐패시턴스는 도 1 및 도 2의 반도체 트랜지스터 디바이스들(100, 200)에 비해 더 감소될 수 있다.3 illustrates a cross-sectional view of a semiconductor transistor device 300 with a backside power rail in accordance with some embodiments. In addition to the features disclosed with reference to FIGS. 1 and 2 , in some further embodiments, the bottom surface 108b of the second source/drain epitaxial structure 108 is rearwardly the bottom surface 104b of the gate structure 104 . It may be recessed to a position at the same level as , and may have a concave shape as shown in FIGS. 1 and 2 . The cell capacitance can be further reduced compared to the semiconductor transistor devices 100 and 200 of FIGS. 1 and 2 .

도 4는 일부 실시예에 따른 후면 측 전력 레일을 갖는 반도체 트랜지스터 디바이스(400)의 단면도를 도시한 것이다. 위에 개시된 피처들 외에도, 일부 추가 실시예에서, 제 2 소스/드레인 에피택셜 구조물(108)의 하단 표면(108b)은 게이트 구조물(104)의 하단 표면(104b)보다 수직으로 더 깊은 위치로 리세싱될 수 있고, 셀 캐패시턴스는 도 1, 도 2, 및 도 3의 반도체 트랜지스터 디바이스들(100, 200, 및 300)에 비해 더 감소될 수 있다.4 illustrates a cross-sectional view of a semiconductor transistor device 400 with a backside power rail in accordance with some embodiments. In addition to the features disclosed above, in some further embodiments, the bottom surface 108b of the second source/drain epitaxial structure 108 is recessed to a position vertically deeper than the bottom surface 104b of the gate structure 104 . , and the cell capacitance can be further reduced compared to the semiconductor transistor devices 100 , 200 , and 300 of FIGS. 1 , 2 , and 3 .

도 5는 일부 실시예에 따른 도 4의 반도체 트랜지스터 디바이스(400)의 사시도를 도시한 것이다. 도 4는 도 5의 x 방향에 따른 단면도로 간주될 수 있다. 도 6a 내지 도 6c는 각각 도 5의 게이트 영역, 제 1 소스/드레인 영역, 및 제 2 소스/드레인 영역에서 y 방향에 따른 단면도들로 간주될 수 있다. 대안적으로, 도 4 내지 도 6c, 및 이후의 다른 도면들은 또한 다양한 실시예들을 나타내기 위해 독립적일 수 있고, 하나의 도면과 관련하여 논의된 피처들은 적용 가능한 경우 다른 도면들에 통합될 수 있다. 5 illustrates a perspective view of the semiconductor transistor device 400 of FIG. 4 in accordance with some embodiments. FIG. 4 may be regarded as a cross-sectional view taken along the x-direction of FIG. 5 . 6A to 6C may be regarded as cross-sectional views along the y-direction in the gate region, the first source/drain region, and the second source/drain region of FIG. 5 , respectively. Alternatively, FIGS. 4-6C , and other figures thereafter, may also be standalone to illustrate various embodiments, and features discussed in relation to one figure may be incorporated into other figures where applicable. .

도 5 내지 도 6c에 도시된 바와 같이, 일부 실시예에서, 하부 격리 구조물(160), 중간 격리 구조물(132), 및 하드 마스크(136)는 집합적으로 두 개의 반도체 트랜지스터 디바이스들(400a, 400b)을 y 방향을 따라 분리하는 절연 구조물로서 기능할 수 있다. 도 6a에 도시된 바와 같이, 일부 실시예에서, 게이트 구조물(104)은 게이트 유전체 층(232) 및 게이트 전극(230)을 포함한다. 게이트 전극(230)은 하나 이상의 일함수 금속 층(들) 및 충전 금속을 포함한다. 게이트 유전체 층(232)은 게이트 전극(230)의 외부 표면들을 라이닝하게 컨포멀하게 형성될 수 있다. 게이트 유전체 층(232)은 하부 격리 구조물(160) 및 채널 구조물(102)과 접촉할 수 있다. 일부 실시예에서, 게이트 유전체 층(232)은 하프늄 산화물(HfO2), 지르코늄 산화물(ZrO2), 란탄 산화물(La2O3), 하프늄 알루미늄 산화물(HfAlO2), 하프늄 실리콘 산화물(HfSiO2), 알루미늄 산화물(Al2O3), 또는 다른 적합한 재료들과 같은 하이-k 재료(k는 7보다 큼)를 포함한다. 5-6C , in some embodiments, the lower isolation structure 160 , the intermediate isolation structure 132 , and the hard mask 136 are collectively two semiconductor transistor devices 400a , 400b . ) can function as an insulating structure that separates them along the y-direction. As shown in FIG. 6A , in some embodiments, the gate structure 104 includes a gate dielectric layer 232 and a gate electrode 230 . The gate electrode 230 includes one or more work function metal layer(s) and a filling metal. The gate dielectric layer 232 may be conformally formed to line the outer surfaces of the gate electrode 230 . The gate dielectric layer 232 may contact the underlying isolation structure 160 and the channel structure 102 . In some embodiments, the gate dielectric layer 232 includes hafnium oxide (HfO2), zirconium oxide (ZrO2), lanthanum oxide (La2O3), hafnium aluminum oxide (HfAlO2), hafnium silicon oxide (HfSiO2), aluminum oxide (Al2O3), or a high-k material (k greater than 7) such as other suitable materials.

도 5 및 도 6c에 도시된 바와 같이, 제 1 소스/드레인 에피택셜 구조물(106)은 리세싱된 하단 표면(예컨대, 볼록) 및 리세싱된 하단 표면에 전기적으로 연결된 후면 측 소스/드레인 컨택트(120)를 가질 수 있다. 도 5, 도 6a, 및 도 6b에 도시된 바와 같이, 제 2 소스/드레인 에피택셜 구조물(108)은 리세싱된 하단 표면(예컨대, 볼록), 및 제 2 소스/드레인 에피택셜 구조물(108) 및 게이트 구조물(104) 바로 아래에 배치된 후방 측 유전체 캡(126)을 가질 수 있다. 후면 측 유전체 캡(126)은 하부 격리 구조물(160)에 의해 둘러싸일 수 있다. 일부 실시예에서, 에어 갭들(air gaps)(192)은 제 1 소스/드레인 에피택셜 구조물(106) 및 제 2 소스/드레인 에피택셜 구조물(108)의 하부 부분들을 둘러싸도록 형성될 수 있다. 5 and 6C, the first source/drain epitaxial structure 106 has a recessed bottom surface (e.g., convex) and back-side source/drain contacts electrically connected to the recessed bottom surface (e.g., convex). 120) may have. 5 , 6A, and 6B , the second source/drain epitaxial structure 108 has a recessed bottom surface (eg, convex), and a second source/drain epitaxial structure 108 . and a backside dielectric cap 126 disposed directly below the gate structure 104 . The backside dielectric cap 126 may be surrounded by a lower isolation structure 160 . In some embodiments, air gaps 192 may be formed to surround lower portions of the first source/drain epitaxial structure 106 and the second source/drain epitaxial structure 108 .

도 7 내지 도 27b는 본 개시 내용의 일부 실시예에 따른 다양한 스테이지에서 반도체 트랜지스터 디바이스를 제조하는방법을 도시한 것이다. 일부 실시예에서, 도 7 내지 도 27b에 도시된 반도체 트랜지스터 디바이스는 집적 회로(IC) 또는 그 일부의 처리 동안 제조되는 중간 디바이스들일 수 있고, 이 집적 회로는 정적 랜덤 액세스 메모리(SRAM), 로직 회로들, 수동 컴포넌트들, 예를 들어, 저항기들, 캐패시터들, 및 인덕터들, 및/또는 능동 컴포넌트들, 예를 들어, p 타입 전계 효과 트랜지스터들(PFETs), n 타입 FETs (NFETs), 다중 게이트 FETs, 금속 산화물 반도체 전계 효과 트랜지스터들(MOSFETs), 상보형 금속 산화물 반도체(CMOS) 트랜지스터들, 바이폴라 트랜지스터들, 고전압 트랜지스터들, 고주파 트랜지스터들, 다른 메모리 셀들, 및 이들의 조합들을 포함할 수 있다.7-27B illustrate a method of manufacturing a semiconductor transistor device at various stages in accordance with some embodiments of the present disclosure. In some embodiments, the semiconductor transistor devices shown in FIGS. 7-27B may be intermediate devices fabricated during processing of an integrated circuit (IC) or portion thereof, the integrated circuit comprising a static random access memory (SRAM), a logic circuit , passive components such as resistors, capacitors, and inductors, and/or active components such as p-type field effect transistors (PFETs), n-type FETs (NFETs), multiple gates FETs, metal oxide semiconductor field effect transistors (MOSFETs), complementary metal oxide semiconductor (CMOS) transistors, bipolar transistors, high voltage transistors, high frequency transistors, other memory cells, and combinations thereof.

도 7의 사시도에 도시된 바와 같이, 일부 실시예에서, 적층 구조물(150)은 기판(140) 상에 형성된다. 일부 실시예에서, 기판(140)은 웨이퍼의 일부일 수 있고, 실리콘(Si), 게르마늄(Ge), 실리콘 게르마늄(SiGe), 갈륨 비화물(GaAs) 또는 다른 적절한 반도체 재료들을 포함할 수 있다. 일부 실시예에서, 기판(140)은 벌크 기판(142), 벌크 기판(142) 상의 절연체 기판 층(144), 및 절연체 기판 층(144) 상의 반도체 기판 층(146)을 포함하는 반도체 온 절연체(semiconductor-on-insulator)(SOI) 구조물이다. 다양한 실시예에서, 기판(140)은 다양한 기판 구조물들 및 재료들 중 임의의 것을 포함할 수 있다.As shown in the perspective view of FIG. 7 , in some embodiments, the stacked structure 150 is formed on the substrate 140 . In some embodiments, the substrate 140 may be part of a wafer and may include silicon (Si), germanium (Ge), silicon germanium (SiGe), gallium arsenide (GaAs), or other suitable semiconductor materials. In some embodiments, the substrate 140 is a semiconductor on insulator ( semiconductor-on-insulator (SOI) structures. In various embodiments, substrate 140 may include any of a variety of substrate structures and materials.

적층 구조물(150)은 교대로 적층되는 제 1 반도체 층들(152) 및 제 2 반도체 층들(154)을 포함한다. 제 1 반도체 층들(152)은 반도체 트랜지스터 디바이스의 채널 영역들로서 기능할 것이고, 제 2 반도체 층들(154)은 후속적으로 제거되어 게이트 재료로 대체될 희생 층들이다. 제 1 반도체 층들(152) 및 제 2 반도체 층들(154)은 상이한 격자 상수들을 갖는 재료들로 제조되며, Si, Ge, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb 또는 InP의 하나 이상의 층을 포함할 수 있다. 일부 실시예에서, 제 1 반도체 층들(152) 및 제 2 반도체 층들(154)은 Si, Si 화합물, SiGe, Ge 또는 Ge 화합물로 제조된다. 적층 구조물(150)은 에피택시를 통해 기판(140) 상에 형성될 수 있고, 그에 따라 적층 구조물(150)은 결정 층들을 형성하게 된다. 도 7은 4 개의 층의 제 1 반도체 층(152)과 3 개의 층의 제 2 반도체 층(154)을 도시하고 있지만, 이들 층의 수는 그렇게 제한되지 않으며, 각 층에 대해 1만큼 작을 수 있다. 일부 실시예에서, 제 1 및 제 2 반도체 층들의 각각에 대해 2 내지10 개의 층이 형성된다. 적층된 층들의 수를 조정함으로써 반도체 트랜지스터 디바이스의 구동 전류를 조정할 수 있다.The stacked structure 150 includes first semiconductor layers 152 and second semiconductor layers 154 that are alternately stacked. The first semiconductor layers 152 will serve as channel regions of the semiconductor transistor device, and the second semiconductor layers 154 are sacrificial layers to be subsequently removed and replaced with gate material. The first semiconductor layers 152 and the second semiconductor layers 154 are made of materials having different lattice constants, and are Si, Ge, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb or InP. may include one or more layers of In some embodiments, first semiconductor layers 152 and second semiconductor layers 154 are made of Si, a Si compound, SiGe, Ge, or a Ge compound. The stacked structure 150 may be formed on the substrate 140 through epitaxy, so that the stacked structure 150 forms crystal layers. 7 shows a first semiconductor layer 152 of four layers and a second semiconductor layer 154 of three layers, the number of these layers is not so limited and may be as small as one for each layer. . In some embodiments, 2 to 10 layers are formed for each of the first and second semiconductor layers. By adjusting the number of stacked layers, it is possible to adjust the drive current of the semiconductor transistor device.

일부 실시예에서, 제 1 반도체 층(152)들은 게르마늄이 없는 순수한 실리콘 층들일 수 있다. 제 1 반도체 층들(152)은 또한, 예를 들어, 약 1 % 미만의 게르마늄 원자 백분율을 갖는 실질적으로 순수한 실리콘 층들일 수도 있다. 게다가, 제 1 반도체 층들(152)은 p 타입 및 n 타입 불순물들로 도핑되지 않은 진성 층들일 수 있다. 일부 실시예에서, 제 1 반도체 층들(152)의 두께는 약 3 nm 내지 약 15 nm의 범위 내에 있다. In some embodiments, the first semiconductor layers 152 may be pure silicon layers free of germanium. The first semiconductor layers 152 may also be substantially pure silicon layers, for example, having an atomic percent germanium of less than about 1%. Furthermore, the first semiconductor layers 152 may be intrinsic layers that are not doped with p-type and n-type impurities. In some embodiments, the thickness of the first semiconductor layers 152 is in the range of about 3 nm to about 15 nm.

일부 실시예에서, 제 2 반도체 층들(154)은 0보다 큰 게르마늄 원자 백분율을 갖는 SiGe 층들일 수 있다. 일부 실시예에서, 제 2 반도체 층들(154)의 게르마늄 백분율은 약 10 퍼센트 내지 약 50 퍼센트의 범위 내에 있다. 일부 실시예에서, 제 2 반도체 층들(154)의 두께는 약 2 nm 내지 약 10 nm의 범위 내에 있다. In some embodiments, the second semiconductor layers 154 may be SiGe layers having a germanium atomic percentage greater than zero. In some embodiments, the germanium percentage of the second semiconductor layers 154 is in a range from about 10 percent to about 50 percent. In some embodiments, the thickness of the second semiconductor layers 154 is in the range of about 2 nm to about 10 nm.

도 8의 사시도에 도시된 바와 같이, 일부 실시예에서, 적층 구조물(150)은 X 방향으로 연장되는 핀 구조물들(156) 및 트렌치들(158)을 형성하도록 패터닝된다(도 7 참조). 일부 실시예에서, 적층 구조물(150)은 패터닝된 마스크 층(157)을 에칭 마스크로 사용하는 에칭 공정에 의해 패터닝되고, 그에 따라 패터닝된 마스크 층(157)에 의해 덮여 있지 않은 적층 구조물(150)의 부분들은 제거된다. 반도체 기판 층(146)은 이 과정에서 부분적으로 또는 완전히 제거될 수도 있다. 마스크 층(157)은 제 1 마스크 층 및 제 2 마스크 층을 포함할 수 있다. 제 1 마스크 층은 열적 산화에 의해 형성될 수 있는 실리콘 산화물로 제조된 패드 산화물 층일 수 있다. 제 2 마스크 층은 저압 CVD(low pressure CVD)(LPCVD) 및 플라즈마 강화 CVD(plasma enhanced CVD)(PECVD)를 포함하는 화학 기상 증착(chemical vapor deposition)(CVD), 물리 기상 증착(physical vapor deposition)(PVD), 원자 층 증착(atomic layer deposition)(ALD), 또는 다른 적합한 공정에 의해 형성되는 실리콘 질화물(SiN)로 제조될 수 있다. 마스크 층(157)은 다양한 다중 패터닝 기술들을 사용하여 패터닝될 수 있다. 도 8은 Y 방향으로 배열되고 서로 평행한 2 개의 핀 구조물들(156)을 도시하지만, 핀 구조물들의 수는 이에 제한되지 않으며, 1 개 만큼 작을 수 있고 3 개 이상일 수 있다. 일부 실시예에서, 하나 이상의 더미 핀 구조물은 핀 구조물(156)의 양 측에 형성되어 패터닝 작업들에서의 패턴 충실도를 개선한다.As shown in the perspective view of FIG. 8 , in some embodiments, the stacked structure 150 is patterned to form fin structures 156 and trenches 158 extending in the X direction (see FIG. 7 ). In some embodiments, the stacked structure 150 is patterned by an etching process using the patterned mask layer 157 as an etching mask, and thus the stacked structure 150 is not covered by the patterned mask layer 157 . parts of the are removed. The semiconductor substrate layer 146 may be partially or completely removed during this process. The mask layer 157 may include a first mask layer and a second mask layer. The first mask layer may be a pad oxide layer made of silicon oxide, which may be formed by thermal oxidation. The second mask layer is a chemical vapor deposition (CVD), physical vapor deposition, including low pressure CVD (LPCVD) and plasma enhanced CVD (PECVD). silicon nitride (SiN) formed by (PVD), atomic layer deposition (ALD), or other suitable process. Mask layer 157 may be patterned using a variety of multiple patterning techniques. Although FIG. 8 shows two fin structures 156 arranged in the Y direction and parallel to each other, the number of the fin structures is not limited thereto, and may be as small as one and may be three or more. In some embodiments, one or more dummy fin structures are formed on either side of the fin structure 156 to improve pattern fidelity in patterning operations.

도 9의 사시도에 도시된 바와 같이, 일부 실시예에서, 하부 격리 구조물(160)이 트렌치들(158)의 하부 부분들 내에서 절연체 기판 층(144) 위에 형성되며, 이는 또한 얕은 트렌치 격리(shallow trench isolation)(STI) 구조물이라고 지칭되기도 한다. 핀 구조물들(156)의 상부 부분들은 하부 격리 구조물(160)로부터 노출된다. 하부 격리 구조물(160)은 절연체 기판 층(144) 위에 절연 재료를 형성하고 이어서 평탄화 작업이 후속됨으로써 형성될 수 있다. 그 후, 절연 재료는 하부 격리 구조물(160)을 형성하도록 리세싱되고, 그에 따라 핀 구조물들(156)의 상부 부분들이 노출된다. 절연 재료는, 예를 들어, 질화물(예컨대, 실리콘 질화물, 실리콘 옥시 질화물, 실리콘 산소 탄소 질화물, 실리콘 탄소 질화물), 탄화물(예컨대, 실리콘 탄화물, 실리콘 산소 탄화물), 산화물(예컨대, 실리콘 산화물), 보로실리케이트 글래스(borosilicate glass)(BSG), 인 실리케이트 글래스(phosphoric silicate glass(PSG), 보로포스포실리케이트 글래스(borophosphosilicate glass)(BPSG), 유전 상수가 7 미만인 로우-κ 유전체 재료(예컨대, 탄소 도핑된 산화물, SiCOH) 등과 같은 유전체 재료를 포함할 수 있다. 일부 실시예에서, 하부 격리 구조물(160)은 열적 산화 또는 증착 공정(예컨대, 물리 기상 증착(PVD), 화학 기상 증착(CVD), PECVD, 원자 층 증착(ALD), 스퍼터링 등), 및 제거 공정(예컨대, 습식 에칭, 건식 에칭, 화학 기계적 평탄화(chemical mechanical planarization)(CMP) 등)을 통해 형성된다.As shown in the perspective view of FIG. 9 , in some embodiments, an underlying isolation structure 160 is formed over the insulator substrate layer 144 in lower portions of the trenches 158 , which also provides shallow trench isolation. Also referred to as a trench isolation (STI) structure. Upper portions of the fin structures 156 are exposed from the lower isolation structure 160 . The lower isolation structure 160 may be formed by forming an insulating material over the insulator substrate layer 144 followed by a planarization operation. The insulating material is then recessed to form the lower isolation structure 160 , thereby exposing upper portions of the fin structures 156 . The insulating material may be, for example, a nitride (eg, silicon nitride, silicon oxynitride, silicon oxygen carbon nitride, silicon carbon nitride), a carbide (eg, silicon carbide, silicon oxygen carbide), an oxide (eg, silicon oxide), boro borosilicate glass (BSG), phosphoric silicate glass (PSG), borophosphosilicate glass (BPSG), low-κ dielectric materials with a dielectric constant less than 7 (e.g., carbon doped oxide, SiCOH), etc. In some embodiments, the underlying isolation structure 160 may be formed by a thermal oxidation or deposition process (eg, physical vapor deposition (PVD), chemical vapor deposition (CVD), PECVD, It is formed through atomic layer deposition (ALD), sputtering, etc.), and removal processes (eg, wet etching, dry etching, chemical mechanical planarization (CMP), etc.).

도 10의 사시도에 도시된 바와 같이, 일부 실시예에서, 핀 구조물들(156)의 외부 표면들 위에 클래딩 반도체 층(161)이 형성된다. 일부 실시예에서, 클래딩 반도체 층(161)은 게르마늄, 실리콘 게르마늄 등과 같은 반도체 재료를 포함한다. 일부 실시예에서, 클래딩 반도체 층(161)은 제 2 반도체 층들(154)과 동일한 재료를 포함한다. 또한, 일부 실시예에서, 클래딩 반도체 층(161)은 에피택시 성장 공정 또는 증착 공정(예컨대, PVD, CVD, PE-CVD, ALD, 스퍼터링 등)에 의해 형성될 수 있다. As shown in the perspective view of FIG. 10 , in some embodiments, a cladding semiconductor layer 161 is formed over outer surfaces of the fin structures 156 . In some embodiments, the cladding semiconductor layer 161 includes a semiconductor material such as germanium, silicon germanium, or the like. In some embodiments, the cladding semiconductor layer 161 includes the same material as the second semiconductor layers 154 . Further, in some embodiments, the cladding semiconductor layer 161 may be formed by an epitaxial growth process or a deposition process (eg, PVD, CVD, PE-CVD, ALD, sputtering, etc.).

도 11의 사시도에 도시된 바와 같이, 일부 실시예에서, 핀 구조물들(156) 간의 하부 격리 구조물(160) 위에 중간 격리 구조물(132)이 형성된다. 유전체 라이너(130)는 중간 격리 구조물(132)과 하부 격리 구조물(160) 사이에서 클래딩 반도체 층(161) 및 하부 격리 구조물(160)의 측벽들을 따라 형성될 수 있다. 하드 마스크(136)는 그 후 중간 격리 구조물(132) 및 유전체 라이너(130)의 상단에 형성될 수 있다. 중간 격리 구조물(132) 및 유전체 라이너(130)는 핀 구조물들(156) 사이에 전기 절연을 제공하며, 하드 마스크(136)는 차후의 패터닝 단계들 동안 중간 격리 구조물(132)의 손실을 방지한다. As shown in the perspective view of FIG. 11 , in some embodiments, an intermediate isolation structure 132 is formed over the lower isolation structure 160 between the fin structures 156 . The dielectric liner 130 may be formed between the intermediate isolation structure 132 and the lower isolation structure 160 along sidewalls of the cladding semiconductor layer 161 and the lower isolation structure 160 . A hard mask 136 may then be formed on top of the intermediate isolation structure 132 and the dielectric liner 130 . The intermediate isolation structure 132 and the dielectric liner 130 provide electrical insulation between the fin structures 156 and the hard mask 136 prevents loss of the intermediate isolation structure 132 during subsequent patterning steps. .

일부 실시예에서, 유전체 라이너(130), 중간 격리 구조물(132), 및 하드 마스크(136)는 증착(예컨대, PVD, CVD, PE-CVD, ALD, 스퍼터링 등) 및 제거(예컨대, 에칭, 화학 기계적 평탄화(CMP) 등) 공정들에 의해 형성된다. 중간 격리 구조물(132)은 핀 구조물들(156)의 상단 표면보다 낮은 상단 표면을 가질 수 있다. 도 11에 도시되지 않은 일부 실시예에서, 하드 마스크(136)의 평탄화 공정은 또한 핀 구조물들(156)로부터 위의 클래딩 반도체 층(161)을 제거할 수 있다. 하드 마스크(136)는 핀 구조물들(156)의 상단 표면과 동일 평면 상에 있는 상단 표면을 가질 수 있다. 일부 실시예에서, 유전체 라이너(130), 중간 격리 구조물(132), 및 하부 격리 구조물(160)은 각각 유전 상수가 7 미만인 로우-k 유전체 재료, 예를 들어, 실리콘 옥시 질화물, 실리콘 탄소 질화물, 실리콘 산소 탄화물, 실리콘 산소 탄소 질화물, 실리콘 질화물, 또는 일부 다른 적합한 로우-k 유전체 재료를 포함할 수 있다. 유전체 라이너(130)는 선택적 제거 공정들을 위해 중간 격리 구조물(132)과는 상이한 재료를 포함할 수 있다. 하드 마스크(136)는 유전 상수가 7을 초과하는 하이-k 유전체 재료, 예를 들어, 하프늄 산화물, 지르코늄 산화물, 하프늄 알루미늄 산화물, 하프늄 실리콘 산화물, 알루미늄 산화물, 또는 일부 다른 적합한 하이-κ 유전체 재료를 포함할 수 있다.In some embodiments, dielectric liner 130 , intermediate isolation structure 132 , and hard mask 136 are deposited (eg, PVD, CVD, PE-CVD, ALD, sputtering, etc.) and removed (eg, etched, chemically mechanical planarization (CMP), etc.) processes. The intermediate isolation structure 132 may have a lower top surface than the top surface of the fin structures 156 . In some embodiments not shown in FIG. 11 , the planarization process of the hard mask 136 may also remove the overlying cladding semiconductor layer 161 from the fin structures 156 . The hard mask 136 may have a top surface that is coplanar with a top surface of the fin structures 156 . In some embodiments, the dielectric liner 130, the intermediate isolation structure 132, and the lower isolation structure 160 are each formed of a low-k dielectric material having a dielectric constant of less than 7, e.g., silicon oxynitride, silicon carbon nitride, silicon oxycarbide, silicon oxycarbon nitride, silicon nitride, or some other suitable low-k dielectric material. The dielectric liner 130 may include a different material than the intermediate isolation structure 132 for selective removal processes. The hard mask 136 is formed of a high-k dielectric material having a dielectric constant greater than 7, for example, hafnium oxide, zirconium oxide, hafnium aluminum oxide, hafnium silicon oxide, aluminum oxide, or some other suitable high-κ dielectric material. may include

도 12의 사시도에 도시된 바와 같이, 일부 실시예에서, 하드 마스크(136)는 핀 구조물들(156)의 상단으로부터 선택적으로 제거된다. 제 1 반도체 층(152) 및 클래딩 반도체 층(161)의 상단 표면들은 제거 공정으로부터 노출될 수 있다. 일부 실시예에서, 하드 마스크(136)는, 예를 들어, 건식 에칭 공정 및/또는 습식 에칭 공정에 의해 선택적으로 에칭된다.12 , in some embodiments, the hard mask 136 is selectively removed from the top of the fin structures 156 . Top surfaces of the first semiconductor layer 152 and the cladding semiconductor layer 161 may be exposed from the removal process. In some embodiments, the hard mask 136 is selectively etched by, for example, a dry etching process and/or a wet etching process.

도 13의 사시도에 도시된 바와 같이, 일부 실시예에서, 더미 게이트 구조물들(170)은 핀 구조물들(156) 위에서 x 방향으로 서로 떨어져 있는 y 방향을 따라 형성된다. 일부 실시예에서, 더미 게이트 구조물들(170)은 희생 게이트 유전체 층(162), 희생 게이트 전극 층(164), 패드 층(166), 및 마스크 층(168)을 포함할 수 있되, 각각의 층은 명시된 순서의 다른 층 위에 적층되어 있다. 두 개의 더미 게이트 구조물(170)이 도 13에 도시되어 있지만, 더미 게이트 구조물들(170)의 수는 이에 제한되지 않으며, 두 개보다 많거나 적을 수 있다. 일부 실시예에서, 희생 게이트 유전체 층(162)은, 예를 들어, 유전체 재료, 예컨대, 질화물(예를 들어, 실리콘 질화물, 실리콘 옥시 질화물), 탄화물(예컨대, 실리콘 탄화물), 산화물(예컨대, 실리콘 산화물), 또는 일부 다른 적합한 재료를 포함할 수 있다. 희생 게이트 전극 층(164)은, 예를 들어, 폴리실리콘을 포함할 수 있다. 패드 층(166) 및 마스크 층(168)은 열적 산화물, 질화물, 및/또는 다른 하드 마스크 재료들을 포함할 수 있고, 포토리소그래피 공정들에 의해 형성된다. As shown in the perspective view of FIG. 13 , in some embodiments, the dummy gate structures 170 are formed along the y-direction spaced apart from each other in the x-direction on the fin structures 156 . In some embodiments, dummy gate structures 170 may include a sacrificial gate dielectric layer 162 , a sacrificial gate electrode layer 164 , a pad layer 166 , and a mask layer 168 , each layer is stacked on top of the other layers in the specified order. Although two dummy gate structures 170 are illustrated in FIG. 13 , the number of dummy gate structures 170 is not limited thereto, and may be more or less than two. In some embodiments, the sacrificial gate dielectric layer 162 is, for example, a dielectric material such as a nitride (eg, silicon nitride, silicon oxynitride), a carbide (eg, silicon carbide), an oxide (eg, silicon) oxide), or some other suitable material. The sacrificial gate electrode layer 164 may include, for example, polysilicon. Pad layer 166 and mask layer 168 may include thermal oxide, nitride, and/or other hard mask materials, and are formed by photolithography processes.

다음, 게이트 스페이서들(134)은 더미 게이트 구조물들(170)의 대향 측벽들을 따라 형성된다. 예를 들어, 측벽 스페이서들을 위한 절연 재료의 블랭킷 층은 플라즈마 강화 화학 기상 증착(PECVD), 저압 화학 기상 증착(LPCVD), 대기압 미만 화학 기상 증착(sub-atmospheric chemical vapor deposition)(SACVD) 등을 사용함으로써 더미 게이트 구조물들을 덮도록 컨포멀하게 형성된다. 블랭킷 층은 컨포멀한 방식으로 증착되므로, 더미 게이트 구조물들(170)의 측벽들과 같은 수직 표면들, 수평 표면들, 및 상단에서 실질적으로 동일한 두께를 갖도록 형성된다. 일부 실시예에서, 블랭킷 층의 절연 재료는 실리콘 질화물 기반 재료를 포함할 수 있다. 블랭킷 층은 그 후 이방성 공정을 사용하여 에칭되어 더미 게이트 구조물들(170)의 대향 측벽들 상에 게이트 스페이서들(134)을 형성하게 된다. Next, gate spacers 134 are formed along opposite sidewalls of the dummy gate structures 170 . For example, a blanket layer of insulating material for sidewall spacers can be made using plasma enhanced chemical vapor deposition (PECVD), low pressure chemical vapor deposition (LPCVD), sub-atmospheric chemical vapor deposition (SACVD), etc. Thus, it is conformally formed to cover the dummy gate structures. Since the blanket layer is deposited in a conformal manner, it is formed to have substantially the same thickness at the top, horizontal surfaces, and vertical surfaces, such as sidewalls of the dummy gate structures 170 . In some embodiments, the insulating material of the blanket layer may include a silicon nitride based material. The blanket layer is then etched using an anisotropic process to form gate spacers 134 on opposite sidewalls of the dummy gate structures 170 .

게이트 영역에서의 도 14a의 사시도, 도 14b의 x 방향 단면도, 도 14c의 y 방향 단면도, 및 소스 영역 또는 드레인 영역에서의 도 14d의 y 방향 단면도에 도시된 바와 같이, 일부 실시예에서, 더미 게이트 구조물들(170)에 따라 제 1 소스/드레인 영역(176) 및 제 2 소스/드레인 영역(178)으로부터 핀 구조물들(156)을 제거하기 위한 제거 공정이 수행된다. 그 결과, 제 1 반도체 층들(152) 및 제 2 반도체 층들(154)은 x 방향을 따라 단축되고, 게이트 스페이서들(134)과 수직으로 정렬될 수 있다. 일 예로서, 핀 구조물들(156)의 노출된 부분들은 변형된 소스/드레인(strained source/drain)(SSD) 에칭 공정을 사용하여 제거된다. SSD 에칭 공정은 다양한 방식으로 수행될 수 있다. 일부 실시예에서, SSD 에칭 공정은 플라즈마 소스 및 반응 가스를 사용한 건식 화학 에칭에 의해 수행될 수 있다. 플라즈마 소스는 유도성 결합 플라즈마(inductively coupled plasma)(ICR) 에칭, 변압기 결합 플라즈마(transformer coupled plasma)(TCP) 에칭, 전자 사이클로트론 공명(electron cyclotron resonance)(ECR) 에칭, 반응성 이온 에칭(reactive ion etch)(RIE) 등일 수 있고, 반응 가스는 불소 기반 가스, 염화물(Cl2), 수소 브롬화물(HBr), 산소(O2) 등, 또는 이들의 조합들일 수 있다. 일부 다른 실시예에서, SSD 에칭 공정은 암모늄 페록사이드 혼합물(ammonium peroxide mixture)(APM), 암모늄 하이드록사이드(ammonium hydroxide)(NH4OH), 테트라메틸암모늄 하이드록사이드(tetramethylammonium hydroxide)(TMAH), 이들의 조합들 등과 같은 습식 화학 에칭에 의해 수행될 수 있다. 또 다른 일부 실시예에서, SSD 에칭 단계는 건식 화학 에칭 및 습식 화학 에칭의 조합에 의해 수행될 수 있다. 또한, 일부 실시예에서, 제거 공정은 또한 최하단 제 1 반도체 층(152)을 제거한 후 더미 게이트 구조물들(170) 간의 반도체 기판 층(146)의 상부 부분을 제거할 수 있다. 반도체 기판 층(146) 또는 최하단 제 1 반도체 층(152)은 제 1 소스/드레인 영역(176) 및 제 2 소스/드레인 영역(178)에서 x 방향을 따라 오목한 상단 표면을 가질 수 있다. 상단 표면은 하부 격리 구조물(160) 사이에서 리세싱될 수 있다. As shown in the perspective view of FIG. 14A in the gate region, the cross-sectional view in the x-direction of FIG. 14B, the cross-sectional view in the y direction of FIG. 14C, and the cross-sectional view in the y direction of FIG. 14D in the source or drain region, in some embodiments, a dummy gate A removal process for removing the fin structures 156 from the first source/drain region 176 and the second source/drain region 178 is performed according to the structures 170 . As a result, the first semiconductor layers 152 and the second semiconductor layers 154 may be shortened along the x direction and vertically aligned with the gate spacers 134 . As an example, exposed portions of the fin structures 156 are removed using a strained source/drain (SSD) etch process. The SSD etching process may be performed in a variety of ways. In some embodiments, the SSD etching process may be performed by dry chemical etching using a plasma source and a reactive gas. The plasma source is an inductively coupled plasma (ICR) etch, a transformer coupled plasma (TCP) etch, an electron cyclotron resonance (ECR) etch, a reactive ion etch ) (RIE), and the like, and the reactive gas may be a fluorine-based gas, chloride (Cl2), hydrogen bromide (HBr), oxygen (O2), etc., or combinations thereof. In some other embodiments, the SSD etching process comprises ammonium peroxide mixture (APM), ammonium hydroxide (NHOH), tetramethylammonium hydroxide (TMAH), these may be performed by wet chemical etching, such as combinations of In some other embodiments, the SSD etching step may be performed by a combination of dry chemical etching and wet chemical etching. Further, in some embodiments, the removal process may also remove an upper portion of the semiconductor substrate layer 146 between the dummy gate structures 170 after removing the lowermost first semiconductor layer 152 . The semiconductor substrate layer 146 or the lowermost first semiconductor layer 152 may have a top surface concave along the x-direction in the first source/drain region 176 and the second source/drain region 178 . The top surface may be recessed between the lower isolation structures 160 .

또한, 제거 공정은 게이트 스페이서들(134) 및/또는 더미 게이트 구조물들(170) 아래의 제 2 반도체 층들(154)의 단부 부분들을 추가로 제거하기 위한 등방성 에칭제를 또한 포함할 수 있다. 따라서, 제거 공정 후, 제 1 반도체 층들(152)은 x 방향에서 제 2 반도체 층들(154)보다 넓다. 제 1 반도체 층들(152)은 제거 공정 후 트랜지스터 디바이스의 채널 구조물로서 형성될 수 있다. 채널 구조물은 도 14b 및 다른 도면들의 단면도에 도시된 바와 같은 적층된 직사각형 형상을 나타낼 수 있는 반면, 다른 실시예에서 채널 구조물은 원, 팔각형, 타원, 다이아몬드 등과 같은 다른 형상을 나타낼 수 있음이 이해될 것이다. Further, the removal process may also include an isotropic etchant to further remove the end portions of the second semiconductor layers 154 under the gate spacers 134 and/or the dummy gate structures 170 . Accordingly, after the removal process, the first semiconductor layers 152 are wider than the second semiconductor layers 154 in the x direction. The first semiconductor layers 152 may be formed as a channel structure of the transistor device after the removal process. It will be appreciated that the channel structure may exhibit a stacked rectangular shape as shown in the cross-sectional views of FIG. 14B and other figures, while in other embodiments the channel structure may exhibit other shapes such as circles, octagons, ellipses, diamonds, and the like. will be.

도 15a의 사시도 및 도 15b의 x 방향 단면도에 도시된 바와 같이, 일부 실시예에서, 내부 스페이서들(128)은 최외부 측벽들을 갖는 x 방향으로의 제 2 반도체 층들(154)의 단부들 상에 형성된다. 내부 스페이서들(128)의 최외부 측벽들은 제 1 반도체 층들(152) 및/또는 게이트 스페이서들(134)의 외부 표면들과 실질적으로 동일 평면 상에 있을 수 있다. 일부 실시예에서, 내부 스페이서들(128)은 증착 공정(예컨대, CVD, PVD, PE-CVD, ALD, 스퍼터링 등), 및 후속될 수 있는 선택적 제거 공정(예컨대, 에칭)에 의해 형성된다. 예를 들어, 일부 실시예에서, 연속적인 층이 먼저 측벽들을 따라 더미 게이트 구조물들(170) 위에 형성될 수 있다. 그 후, 수직 에칭 공정이 게이트 스페이서들(134)에 의해 수직으로 덮이지 않은 연속적인 층의 부분들을 제거하도록 수행되어 내부 스페이서들(128)을 형성할 수 있다. 또한, 일부 실시예에서, 내부 스페이서들(128)은 로우-κ 유전체 재료(즉, 7 미만의 유전 상수), 예를 들어, 실리콘 옥시 질화물, 실리콘 탄소 질화물, 실리콘 산소 탄화물, 실리콘 산소 탄소 질화물, 실리콘 질화물, 또는 일부 다른 적절한 재료를 포함한다.As shown in the perspective view of FIG. 15A and the cross-sectional view in the x-direction of FIG. 15B , in some embodiments, the inner spacers 128 are on the ends of the second semiconductor layers 154 in the x-direction having outermost sidewalls. is formed The outermost sidewalls of the inner spacers 128 may be substantially coplanar with the outer surfaces of the first semiconductor layers 152 and/or the gate spacers 134 . In some embodiments, the inner spacers 128 are formed by a deposition process (eg, CVD, PVD, PE-CVD, ALD, sputtering, etc.), followed by a selective removal process (eg, etching). For example, in some embodiments, a continuous layer may first be formed over the dummy gate structures 170 along sidewalls. A vertical etch process may then be performed to remove portions of the continuous layer not vertically covered by gate spacers 134 to form inner spacers 128 . Further, in some embodiments, the inner spacers 128 may be formed of a low-κ dielectric material (ie, a dielectric constant less than 7), such as silicon oxynitride, silicon carbon nitride, silicon oxycarbide, silicon oxycarbon nitride, silicon nitride, or some other suitable material.

제 1 소스/드레인 영역에서의 도 16a의 사시도, 도 16b의 x 방향 단면도, 및 도 16c의 y 방향 단면도에 도시된 바와 같이, 일부 실시예에서, 제 1 희생 소스/드레인 컨택트(180)는 제 1 소스/드레인 영역(176) 아래에 형성되며, 하드 마스크 층(182)은 제 2 소스/드레인 영역(178)을 덮는다. 일부 실시예에서, 트렌치는 먼저 제 1 소스/드레인 영역(176) 바로 아래의 제 1 반도체 층(152) 및/또는 반도체 기판 층(146)의 일부를 에칭함으로써 형성된다. 그 후, 희생 재료가 트렌치 내에 충전되어 제 1 희생 소스/드레인 컨택트(180)를 형성하게 된다. 일부 실시예에서, 제 1 희생 소스/드레인 컨택트(180)는 0보다 큰 게르마늄 원자 백분율을 갖는 SiGe 재료를 포함할 수 있다. 일부 실시예에서, 제 1 희생 소스/드레인 컨택트(180)의 게르마늄 백분율은 약 10 퍼센트 내지 약 50 퍼센트의 범위 내에 있다. 일부 실시예에서, 제 1 희생 소스/드레인 컨택트(180)는 제 2 반도체 층들(154)과 동일한 재료를 포함한다. 또한, 일부 실시예에서, 제 1 희생 소스/드레인 컨택트(180)는 에피택시 성장 공정 또는 증착 공정(예컨대, PVD, CVD, PE-CVD, ALD, 스퍼터링 등)에 의해 형성될 수 있다. 트렌치와 그 내부에 제 1 희생 소스/드레인 컨택트(180)를 형성하면, 소스/드레인 컨택트는 나중에 제 1 희생 소스/드레인 컨택트(180)를 대체하여 자체 정렬되도록 형성될 수 있으므로, 컨택트 랜딩의 오버레이 시프트가 제거된다. As shown in the perspective view of FIG. 16A, the cross-sectional view in the x-direction of FIG. 16B, and the cross-sectional view in the y-direction of FIG. 16C in the first source/drain region, in some embodiments, the first sacrificial source/drain contact 180 is A hard mask layer 182 is formed under the first source/drain region 176 and covers the second source/drain region 178 . In some embodiments, the trenches are formed by first etching a portion of the first semiconductor layer 152 and/or the semiconductor substrate layer 146 directly below the first source/drain regions 176 . A sacrificial material is then filled into the trenches to form first sacrificial source/drain contacts 180 . In some embodiments, the first sacrificial source/drain contact 180 may include a SiGe material having an atomic percentage germanium greater than zero. In some embodiments, the germanium percentage of the first sacrificial source/drain contact 180 is in a range from about 10 percent to about 50 percent. In some embodiments, the first sacrificial source/drain contact 180 includes the same material as the second semiconductor layers 154 . Further, in some embodiments, the first sacrificial source/drain contact 180 may be formed by an epitaxial growth process or a deposition process (eg, PVD, CVD, PE-CVD, ALD, sputtering, etc.). By forming the first sacrificial source/drain contacts 180 in the trench and therein, the source/drain contacts may later be formed to self-align to replace the first sacrificial source/drain contacts 180, thus overlaying the contact landings. The shift is removed.

제 1 소스/드레인 영역에서의 도 17a의 사시도, 도 17b의 x 방향 단면도, 및 도 17c의 y 방향 단면도, 및 제 2 소스/드레인 영역에서의 도 17d의 y 방향 단면도에 도시된 바와 같이, 일부 실시예에서, 제 1 소스/드레인 에피택셜 구조물(106) 및 제 2 소스/드레인 에피택셜 구조물(108)은 더미 게이트 구조물(170)의 대향 측면들 상에 형성된다(도 17b 참조). 일부 실시예에서, 제 1 및 제 2 소스/드레인 에피택셜 구조물들(106, 108)은 제 1 반도체 층(152)의 단부들과 직접 접촉할 수 있다. 제 1 소스/드레인 에피택셜 구조물(106)은 제 1 희생 소스/드레인 컨택트(180) 상에 형성될 수 있다(도 17c 참조). 제 2 소스/드레인 에피택셜 구조물(108)은 최하단 제 1 반도체 층(152) 또는 반도체 기판 층(146) 상에 형성될 수 있다(도 17d 참조). 제 1 및 제 2 소스/드레인 에피택셜 구조물들(106, 108)은 각각 반도체 트랜지스터 디바이스의 소스 및 드레인일 수 있다. 일부 실시예에서, 제 1 및 제 2 소스/드레인 에피택셜 구조물들(106, 108)은 반도체 재료를 포함한다. 일부 실시예에서, 제 1 및 제 2 소스/드레인 에피택셜 구조물들(106, 108)은 실리콘, 게르마늄, 또는 실리콘 게르마늄을 포함할 수 있다. 일부 실시예에서, 제 1 및 제 2 소스/드레인 에피택셜 구조물들(106, 108)은 에피택시 성장 공정에 의해 형성된다. 제 1 및 제 2 소스/드레인 에피택셜 구조물들(106, 108)은 육각형 또는 다이아몬드형 형상들일 수 있다. 에어 갭들(192)은 제 1 소스/드레인 에피택셜 구조물(106) 및 제 2 소스/드레인 에피택셜 구조물(108)의 하부 부분들을 둘러싸도록 형성될 수 있다. As shown in the perspective view of FIG. 17A in the first source/drain region, the cross-sectional view in the x-direction of FIG. 17B, and the cross-sectional view in the y direction of FIG. 17C, and the cross-sectional view in the y-direction of FIG. 17D in the second source/drain region, some In an embodiment, the first source/drain epitaxial structure 106 and the second source/drain epitaxial structure 108 are formed on opposite sides of the dummy gate structure 170 (see FIG. 17B ). In some embodiments, the first and second source/drain epitaxial structures 106 , 108 may be in direct contact with ends of the first semiconductor layer 152 . A first source/drain epitaxial structure 106 may be formed on the first sacrificial source/drain contact 180 (see FIG. 17C ). The second source/drain epitaxial structure 108 may be formed on the lowermost first semiconductor layer 152 or the semiconductor substrate layer 146 (see FIG. 17D ). The first and second source/drain epitaxial structures 106 and 108 may be the source and drain of a semiconductor transistor device, respectively. In some embodiments, the first and second source/drain epitaxial structures 106 , 108 include a semiconductor material. In some embodiments, the first and second source/drain epitaxial structures 106 , 108 may include silicon, germanium, or silicon germanium. In some embodiments, the first and second source/drain epitaxial structures 106 , 108 are formed by an epitaxial growth process. The first and second source/drain epitaxial structures 106 , 108 may be hexagonal or diamond-shaped shapes. Air gaps 192 may be formed to surround lower portions of the first source/drain epitaxial structure 106 and the second source/drain epitaxial structure 108 .

제 1 소스/드레인 영역에서의 도 18a의 사시도, 도 18b의 x 방향 단면도, 및 도 18c의 y 방향 단면도, 및 제 2 소스/드레인 영역에서의 도 18d의 y 방향 단면도에 도시된 바와 같이, 일부 실시예에서, 상부 격리 구조물(220)은 이전에 형성된 구조물 위에 형성되어 제 1 및 제 2 소스/드레인 에피택셜 구조물들(106, 108)을 덮게 된다. 이어서, 평탄화 공정은 게이트 스페이서들(134)을 낮추고 동일한 수평면 상의 희생 게이트 유전체 층(162) 및 희생 게이트 전극 층(164)을 노출하도록 수행된다. 도면에는 도시되어 있지 않지만, 상부 격리 구조물(220)을 형성하기 전에 이전에 형성된 구조물을 라이닝하도록 에칭 정지 라이너가 컨포멀하게 형성될 수 있다. 에칭 정지 라이너는 인장 응력을 가질 수 있으며 Si3N4로 형성될 수 있다. 일부 다른 실시예에서, 에칭 정지 라이너는 옥시 질화물들과 같은 재료들을 포함한다. 또 다른 일부 실시예에서, 에칭 정지 라이너는 실리콘 산화물 층 위에 놓인 실리콘 질화물 층과 같은 복수의 층을 포함하는 복합 구조물을 가질 수 있다. 에칭 정지 라이너는 플라즈마 강화 CVD (PECVD)를 사용하여 형성될 수 있지만, 저압 CVD (LPCVD), 원자 층 증착(ALD) 등과 같은 다른 적합한 방법들도 사용될 수 있다. 상부 격리 구조물(220)은 화학 기상 증착(CVD), 고밀도 플라즈마 CVD, 스핀-온, 스퍼터링, 또는 다른 적합한 방법들에 의해 형성될 수 있다. 일부 실시예에서, 상부 격리 구조물(220)은 실리콘 산화물을 포함한다. 일부 다른 실시예에서, 상부 격리 구조물(220)은 실리콘 옥시 질화물, 실리콘 질화물, Si, O, C 및/또는 H(예컨대, 실리콘 산화물, SiCOH 및 SiOC)를 포함하는 화합물들, 로우-κ 재료, 또는 유기 재료들(예컨대, 폴리머들)을 포함할 수 있다. 평탄화 작업은 화학 기계적 평탄화(chemical-mechanical planarization)(CMP) 공정을 포함할 수 있다. As shown in the perspective view of FIG. 18A in the first source/drain region, the cross-sectional view in the x-direction of FIG. 18B, and the cross-sectional view in the y direction of FIG. 18C, and the cross-sectional view in the y direction of FIG. 18D in the second source/drain region, some In an embodiment, the upper isolation structure 220 is formed over the previously formed structure to cover the first and second source/drain epitaxial structures 106 , 108 . A planarization process is then performed to lower the gate spacers 134 and expose the sacrificial gate dielectric layer 162 and the sacrificial gate electrode layer 164 on the same horizontal plane. Although not shown in the figure, an etch stop liner may be conformally formed to line the previously formed structure prior to forming the upper isolation structure 220 . The etch stop liner may have a tensile stress and may be formed of Si3N4. In some other embodiments, the etch stop liner includes materials such as oxynitrides. In some other embodiments, the etch stop liner may have a composite structure comprising a plurality of layers, such as a silicon nitride layer overlying a silicon oxide layer. The etch stop liner may be formed using plasma enhanced CVD (PECVD), although other suitable methods may also be used, such as low pressure CVD (LPCVD), atomic layer deposition (ALD), and the like. The upper isolation structure 220 may be formed by chemical vapor deposition (CVD), high density plasma CVD, spin-on, sputtering, or other suitable methods. In some embodiments, upper isolation structure 220 includes silicon oxide. In some other embodiments, the upper isolation structure 220 comprises silicon oxynitride, silicon nitride, compounds comprising Si, O, C and/or H (e.g., silicon oxide, SiCOH and SiOC), a low-κ material, or organic materials (eg, polymers). The planarization operation may include a chemical-mechanical planarization (CMP) process.

게이트 영역에서의 도 19a의 사시도, 도 19b의 x 방향 단면도, 및 도 19c의 y 방향 단면도에 도시된 바와 같이, 일부 실시예에서, 게이트 구조물(104)을 형성하도록 대체 게이트 공정이 수행된다. 희생 게이트 유전체 층(162) 및 희생 게이트 전극 층(164)이 제거되어, 제 1 및 제 2 반도체 층들(152, 154)을 노출시킨다. 상부 격리 구조물(220)은 희생 게이트 유전체 층(162) 및 희생 게이트 전극 층(164)의 제거 동안 제 1 및 제 2 소스/드레인 에피택셜 구조물들(106, 108)을 보호한다. 희생 게이트 전극 층(164)은 플라즈마 건식 에칭 및/또는 습식 에칭을 사용하여 제거될 수 있다. 희생 게이트 전극 층(164)이 폴리실리콘이고 상부 격리 구조물(220)이 실리콘 산화물인 경우, TMAH 용액과 같은 습식 에칭제는 희생 게이트 전극 층(164)을 선택적으로 제거하는 데 사용될 수 있다. 희생 게이트 전극 층(164)은 플라즈마 건식 에칭 및/또는 습식 에칭을 사용하여 제거될 수 있다. 그 후, 희생 게이트 유전체 층(162)이 또한 제거된다. 따라서, 제 1 및 제 2 반도체 층들(152, 154)이 노출된다.As shown in the perspective view of FIG. 19A , the x-direction cross-sectional view of FIG. 19B , and the y-direction cross-sectional view of FIG. 19C in the gate region, in some embodiments, a replacement gate process is performed to form the gate structure 104 . The sacrificial gate dielectric layer 162 and the sacrificial gate electrode layer 164 are removed to expose the first and second semiconductor layers 152 , 154 . The upper isolation structure 220 protects the first and second source/drain epitaxial structures 106 , 108 during the removal of the sacrificial gate dielectric layer 162 and the sacrificial gate electrode layer 164 . The sacrificial gate electrode layer 164 may be removed using plasma dry etching and/or wet etching. When the sacrificial gate electrode layer 164 is polysilicon and the upper isolation structure 220 is silicon oxide, a wet etchant such as a TMAH solution may be used to selectively remove the sacrificial gate electrode layer 164 . The sacrificial gate electrode layer 164 may be removed using plasma dry etching and/or wet etching. Thereafter, the sacrificial gate dielectric layer 162 is also removed. Accordingly, the first and second semiconductor layers 152 and 154 are exposed.

그 후, 제 2 반도체 층들(154) 및 클래딩 반도체 층(161)(도 14c 참조)은 제 1 반도체 층들(152)을 에칭하는 것보다 빠른 에칭 레이트로 제 2 반도체 층들(154) 및 클래딩 반도체 층(161)을 선택적으로 에칭할 수 있는 에칭제를 사용하여 제거되거나 에칭된다. 내부 스페이서들(128)은 제 2 반도체 층들(154) 및 클래딩 반도체 층(161)의 재료에 대한 에칭 선택도를 갖는 재료로 제조되므로, 내부 스페이서들(128)은 제 2 반도체 층들(154) 및 클래딩 반도체 층(161)을 에칭하는 데 사용되는 에칭제로부터 제 1 및 제 2 소스/드레인 에피택셜 구조물들(106, 108)을 보호한다. Thereafter, the second semiconductor layers 154 and the cladding semiconductor layer 161 (see FIG. 14C ) are applied to the second semiconductor layers 154 and the cladding semiconductor layer at a faster etch rate than etching the first semiconductor layers 152 . 161 is removed or etched using an etchant capable of selectively etching 161 . Since the inner spacers 128 are made of a material having an etch selectivity with respect to the material of the second semiconductor layers 154 and the cladding semiconductor layer 161 , the inner spacers 128 are formed from the second semiconductor layers 154 and Protects the first and second source/drain epitaxial structures 106 , 108 from the etchant used to etch the cladding semiconductor layer 161 .

게이트 구조물(104)은 그 후 게이트 스페이서들(134)과 내부 스페이서들(128) 사이에서 형성 및/또는 충전된다. 즉, 게이트 구조물(104)은 제 1 반도체 층들(152)을 에워싸며(또는 둘러싸거나 감싸며), 여기서 제 1 반도체 층들(152)은 반도체 트랜지스터 디바이스의 채널들이라고 지칭된다. 게이트 스페이서들(134)은 게이트 구조물(104)의 대향 측면들 상에 배치된다. 게이트 구조물(104)은 게이트 유전체 층(232) 및 게이트 전극(230)을 포함한다. 게이트 전극(230)은 하나 이상의 일함수 금속 층(들) 및 충전 금속을 포함한다. 게이트 유전체 층(232)은 컨포멀하게 형성될 수 있다. 즉, 게이트 유전체 층(232)은 하부 격리 구조물(160) 및 제 1 반도체 층들(152)과 접촉한다. 일부 실시예에서, 게이트 유전체 층(232)은 하프늄 산화물(HfO2), 지르코늄 산화물(ZrO2), 란탄 산화물(La2O3), 하프늄 알루미늄 산화물(HfAlO2), 하프늄 실리콘 산화물(HfSiO2), 알루미늄 산화물(Al2O3), 또는 다른 적합한 재료들과 같은 하이-k 재료(k는 7보다 큼)를 포함한다. 다양한 실시예에서, 게이트 유전체 층(232)은 ALD 공정 또는 다른 적합한 공정을 수행함으로써 형성될 수 있다.Gate structure 104 is then formed and/or filled between gate spacers 134 and inner spacers 128 . That is, the gate structure 104 surrounds (or surrounds or surrounds) the first semiconductor layers 152 , where the first semiconductor layers 152 are referred to as channels of the semiconductor transistor device. Gate spacers 134 are disposed on opposite sides of the gate structure 104 . The gate structure 104 includes a gate dielectric layer 232 and a gate electrode 230 . The gate electrode 230 includes one or more work function metal layer(s) and a filling metal. The gate dielectric layer 232 may be conformally formed. That is, the gate dielectric layer 232 is in contact with the lower isolation structure 160 and the first semiconductor layers 152 . In some embodiments, the gate dielectric layer 232 includes hafnium oxide (HfO2), zirconium oxide (ZrO2), lanthanum oxide (La2O3), hafnium aluminum oxide (HfAlO2), hafnium silicon oxide (HfSiO2), aluminum oxide (Al2O3), or a high-k material (k greater than 7) such as other suitable materials. In various embodiments, the gate dielectric layer 232 may be formed by performing an ALD process or other suitable process.

게이트 전극(230)의 일함수 금속 층은 게이트 유전체 층(232) 상에 형성되며, 일함수 금속 층은 일부 실시예에서 제 1 반도체 층들(152)을 둘러싼다. 일함수 금속 층은 재료들, 예를 들어, 티타늄 질화물(TiN), 탄탈륨(TaN), 티타늄 알루미늄 실리콘(TiAlSi), 티타늄 실리콘 질화물(TiSiN), 티타늄 알루미늄(TiAl), 탄탈륨 알루미늄(TaAl), 또는 다른 적합한 재료들을 포함할 수 있다. 일부 실시예에서, 일함수 금속 층은 ALD 공정 또는 다른 적합한 공정을 수행함으로써 형성될 수 있다. 게이트 전극(230)의 충전 금속은 게이트 스페이서들(134) 사이에서 그리고 내부 스페이서들(128) 사이에서 남아 있는 공간을 충전한다. 즉, 일함수 금속 층(들)은 게이트 유전체 층(232)과 충전 금속 사이에서 이들과 접촉한다. 충전 금속은 텅스텐 또는 알루미늄과 같은 재료를 포함할 수 있다. 게이트 유전체 층(232) 및 게이트 전극(230)의 증착 후, CMP 공정과 같은 평탄화 공정은 게이트 유전체 층(232) 및 게이트 전극(230)의 과잉 부분들을 제거하도록 수행되어 게이트 구조물(104)을 형성할 수 있다.A workfunction metal layer of the gate electrode 230 is formed on the gate dielectric layer 232 , which in some embodiments surrounds the first semiconductor layers 152 . The work function metal layer may be formed of materials such as titanium nitride (TiN), tantalum (TaN), titanium aluminum silicon (TiAlSi), titanium silicon nitride (TiSiN), titanium aluminum (TiAl), tantalum aluminum (TaAl), or Other suitable materials may be included. In some embodiments, the work function metal layer may be formed by performing an ALD process or other suitable process. The filling metal of the gate electrode 230 fills the remaining space between the gate spacers 134 and between the inner spacers 128 . That is, the work function metal layer(s) is between and in contact with the gate dielectric layer 232 and the fill metal. The filling metal may include a material such as tungsten or aluminum. After deposition of gate dielectric layer 232 and gate electrode 230 , a planarization process, such as a CMP process, is performed to remove excess portions of gate dielectric layer 232 and gate electrode 230 to form gate structure 104 . can do.

일부 실시예에서, 계면 층(도시되지 않음)은 게이트 구조물(104)을 형성하기 전에 선택적으로 형성되어, 제 1 반도체 층들(152)의 노출된 표면들 및 반도체 기판 층(146)의 노출된 표면들을 둘러싼다. 다양한 실시예에서, 계면 층은 실리콘 산화물(SiO2) 또는 실리콘 옥시 질화물(SiON)과 같은 유전체 재료를 포함할 수 있고, 화학적 산화, 열적 산화, 원자 층 증착(ALD), 화학 기상 증착(CVD), 및/또는 다른 적합한 방법들에 의해 형성될 수 있다.In some embodiments, an interfacial layer (not shown) is optionally formed prior to forming the gate structure 104 , such that the exposed surfaces of the first semiconductor layers 152 and the exposed surface of the semiconductor substrate layer 146 are surround them In various embodiments, the interfacial layer may comprise a dielectric material, such as silicon oxide (SiO2) or silicon oxynitride (SiON), and may include chemical oxidation, thermal oxidation, atomic layer deposition (ALD), chemical vapor deposition (CVD), and/or other suitable methods.

도 20의 사시도에 도시된 바와 같이, 일부 실시예에서, 전면 측 상호접속 구조물(114)이 게이트 구조물(104) 및 제 1 및 제 2 소스/드레인 에피택셜 구조물들(106, 108) 위에 형성된다. 전면 측 상호접속 구조물(114)은 전면 측 층간 유전체 층(112) 내에 배치되고 이로 둘러싸인 복수의 전면 측 금속 층들(116)을 포함할 수 있다. 전면 측 상호접속 구조물(114)은 반도체 트랜지스터 디바이스의 다양한 피처들 또는 구조물들(예컨대, 게이트 컨택트(110) 및/또는 다른 컨택트들)을 전기적으로 접속한다. 전면 측 금속 층들(116)은 비아들 또는 컨택트들과 같은 수직 상호접속물들, 및 금속 라인들과 같은 수평 상호접속물들을 포함한다. 다양한 상호접속 피처들은 구리, 텅스텐 및 실리사이드를 포함한 다양한 도전성 재료들을 구현할 수 있다. 일부 예에서, 다마신 공정은 구리 다중 층 상호접속 구조물을 형성하는 데 사용된다. 이어서, 캐리어 기판(240)은 전면 측 상호접속 구조물(114) 위에 형성된다. 예를 들어, 캐리어 기판(240)은 전면 측 상호접속 구조물(114)에 본딩된다. 일부 실시예에서, 캐리어 기판(240)은 사파이어(sapphire)이다. 일부 다른 실시예에서, 캐리어 기판(240)은 실리콘, 열가소성 폴리머, 산화물, 탄화물, 또는 다른 적합한 재료이다.As shown in the perspective view of FIG. 20 , in some embodiments, a front side interconnect structure 114 is formed over the gate structure 104 and the first and second source/drain epitaxial structures 106 , 108 . . The front side interconnect structure 114 may include a plurality of front side metal layers 116 disposed within and surrounded by the front side interlayer dielectric layer 112 . The front side interconnect structure 114 electrically connects various features or structures (eg, gate contact 110 and/or other contacts) of the semiconductor transistor device. Front side metal layers 116 include vertical interconnects, such as vias or contacts, and horizontal interconnects, such as metal lines. The various interconnect features may implement various conductive materials including copper, tungsten and silicide. In some examples, a damascene process is used to form a copper multi-layer interconnect structure. A carrier substrate 240 is then formed over the front side interconnect structure 114 . For example, the carrier substrate 240 is bonded to the front side interconnect structure 114 . In some embodiments, the carrier substrate 240 is sapphire. In some other embodiments, carrier substrate 240 is silicon, a thermoplastic polymer, oxide, carbide, or other suitable material.

도 21의 사시도에 도시된 바와 같이, 일부 실시예에서, 공작물(workpiece)은 후면 측으로부터 제 1 희생 소스/드레인 컨택트(180) 및 반도체 기판 층(146)을 노출하기 위해 거꾸로 "뒤집어지고" 박형화된다(thinned). 벌크 기판(142), 절연체 기판 층(144), 및 하부 격리 구조물(160)의 적어도 상부 부분은 제거된다. 벌크 기판(142) 및 하부 격리 구조물(160)은 복수의 공정 작업들에서, 예를 들어, 먼저 벌크 기판(142)을 제거하는 작업과, 이에 후속하여 하부 격리 구조물(160)을 제거하는 작업에서 제거될 수 있다. 일부 실시예에서, 제거 공정들은, 예를 들어, CMP, HNA, 및/또는 TMAH 에칭을 사용하여 벌크 기판(142) 및 하부 격리 구조물(160)을 제거하는 것을 포함한다. As shown in the perspective view of FIG. 21 , in some embodiments, the workpiece is “flipped” upside down to expose the first sacrificial source/drain contact 180 and the semiconductor substrate layer 146 from the back side and thinned out. thinned. At least a top portion of the bulk substrate 142 , the insulator substrate layer 144 , and the underlying isolation structure 160 is removed. The bulk substrate 142 and the lower isolation structure 160 may be formed in a plurality of process operations, for example, first removing the bulk substrate 142 and subsequently removing the lower isolation structure 160 . can be removed. In some embodiments, the removal processes include removing the bulk substrate 142 and underlying isolation structure 160 using, for example, CMP, HNA, and/or TMAH etching.

제 1 소스/드레인 영역에서의 도 22a의 사시도, 도 22b의 x 방향 단면도, 및 도 22c의 y 방향 단면도에 도시된 바와 같이, 일부 실시예에서, 제 1 희생 소스/드레인 컨택트(180)가 제거되고, 하부의 제 1 소스/드레인 에피택셜 구조물(106)이 그의 후면 측으로부터 리세싱되어 제 1 소스/드레인 에피택셜 구조물(106)의 상부 부분 내로 리세싱되는 후면 측 소스/드레인 컨택트 트렌치(234)를 형성한다. 제 1 소스/드레인 에피택셜 구조물(106)은 주변의 유전체 재료들을 에칭하는 것보다 빠른 에칭 레이트로 제 1 소스/드레인 에피택셜 구조물(106)을 선택적으로 에칭할 수 있는 에칭제를 사용하여 리세싱되거나 에칭될 수 있다.As shown in the perspective view of FIG. 22A , the cross-sectional view in the x-direction of FIG. 22B, and the cross-sectional view in the y-direction of FIG. 22C in the first source/drain region, in some embodiments, the first sacrificial source/drain contact 180 is removed. and a backside source/drain contact trench 234 with the underlying first source/drain epitaxial structure 106 recessed from its backside side and recessed into an upper portion of the first source/drain epitaxial structure 106 . ) to form The first source/drain epitaxial structure 106 is recessed using an etchant capable of selectively etching the first source/drain epitaxial structure 106 at an etch rate that is faster than etching the surrounding dielectric materials. or can be etched.

제 1 소스/드레인 영역에서의 도 23a의 사시도, 도 23b의 x 방향 단면도, 및 도 23c의 y 방향 단면도에 도시된 바와 같이, 일부 실시예에서, 제 2 희생 소스/드레인 컨택트(236)는 후면 측 소스/드레인 컨택트 트렌치(234) 내에 충전된다. 일부 실시예에서, 제 2 희생 소스/드레인 컨택트(236)는, 후면 측 소스/드레인 컨택트 트렌치(234) 내에 실리콘 질화물과 같은 유전체 재료를 증착하고, 이어서 후속되는 평탄화 공정에 의해 과잉 부분들을 제거함으로써 형성되고, 따라서 제 2 희생 소스/드레인 컨택트(236)는 하부 격리 구조물(160) 및 반도체 기판 층(146)과 동일한 평면 상에 있을 수 있다. As shown in the perspective view of FIG. 23A , the cross-sectional view in the x-direction of FIG. 23B, and the cross-sectional view in the y-direction of FIG. 23C in the first source/drain region, in some embodiments, the second sacrificial source/drain contact 236 is Filled in side source/drain contact trench 234 . In some embodiments, the second sacrificial source/drain contact 236 is formed by depositing a dielectric material such as silicon nitride within the backside source/drain contact trench 234 and then removing the excess portions by a subsequent planarization process. Thus, the second sacrificial source/drain contact 236 may be coplanar with the underlying isolation structure 160 and the semiconductor substrate layer 146 .

제 2 소스/드레인 영역에서의 도 24a의 사시도, 도 24b의 x 방향 단면도, 및 도 24c의 y 방향 단면도에 도시된 바와 같이, 일부 실시예에서, 반도체 기판 층(146)은 제 2 소스/드레인 에피택셜 구조물(108) 및 게이트 구조물(104) 위의 후면 측 캡핑 트렌치들(238)을 형성하도록 제거된다. 하부의 제 2 소스/드레인 에피택셜 구조물(108) 및 게이트 구조물(104)은 노출될 수 있다. 일부 실시예에서, 제 2 소스/드레인 에피택셜 구조물(108)은 후면 측으로부터 리세싱되고, 후면 측 캡핑 트렌치(238)는 제 2 소스/드레인 에피택셜 구조물(108)의 상부 부분 내에 형성된다.As shown in the perspective view of FIG. 24A , the cross-sectional view in the x-direction of FIG. 24B, and the cross-sectional view in the y-direction of FIG. 24C in the second source/drain region, in some embodiments, the semiconductor substrate layer 146 includes the second source/drain It is removed to form backside capping trenches 238 over the epitaxial structure 108 and the gate structure 104 . The lower second source/drain epitaxial structure 108 and the gate structure 104 may be exposed. In some embodiments, the second source/drain epitaxial structure 108 is recessed from the back side, and the back side capping trench 238 is formed in an upper portion of the second source/drain epitaxial structure 108 .

게이트 영역에서의 도 25a의 사시도, 도 25b의 x 방향 단면도, 및 도 25c의 y 방향 단면도, 및 제 2 소스/드레인 영역에서의 도 25d의 y 방향 단면도에 도시된 바와 같이, 일부 실시예에서, 후면 측 유전체 캡(126)은 후면 측 캡핑 트렌치들(238) 내에 형성된다(도 24a 참조). 후면 측 유전체 캡(126)은 제 2 소스/드레인 에피택셜 구조물(108) 및 게이트 구조물(104) 바로 위에 형성될 수 있다. 후면 측 유전체 캡(126)은, 예를 들어, 후면 측 캡핑 트렌치들(238) 내에 유전체 재료를 증착하는 증착 공정, 및 후속해서 후면 측 캡핑 트렌치들(238) 외부의 과잉 유전체 재료를 제거하는 CMP 공정에 의해 형성될 수 있다. 일부 실시예에서, 후면 측 유전체 캡(126)은 제 2 희생 소스/드레인 컨택트(236)와는 다른 유전체 재료, 예를 들어, 실리콘 산화물을 포함한다. 다른 적용 가능한 재료들은 SiO2, SiN, SiCN, SiOCN, Al2O3, AlON, ZrO2, HfO2, 또는 이들의 조합 등을 포함할 수 있다. 일부 실시예에서, 후면 측 유전체 캡(126)은 후면 측 유전체 캡(126)과 제 2 소스/드레인 에피택셜 구조물(108) 사이의 계면에서 볼록한 상단 표면(126s)을 갖는다. As shown in the perspective view of FIG. 25A in the gate region, the cross-sectional view in the x-direction of FIG. 25B, and the cross-sectional view in the y direction of FIG. 25C, and the cross-sectional view in the y direction of FIG. 25D in the second source/drain region, in some embodiments: A backside dielectric cap 126 is formed in the backside capping trenches 238 (see FIG. 24A ). A backside dielectric cap 126 may be formed directly over the second source/drain epitaxial structure 108 and the gate structure 104 . The backside dielectric cap 126 is formed by, for example, a deposition process that deposits dielectric material within the backside capping trenches 238 , followed by a CMP process to remove excess dielectric material outside the backside capping trenches 238 . It can be formed by a process. In some embodiments, the backside dielectric cap 126 includes a different dielectric material than the second sacrificial source/drain contact 236 , eg, silicon oxide. Other applicable materials may include SiO2, SiN, SiCN, SiOCN, Al2O3, AlON, ZrO2, HfO2, or combinations thereof, and the like. In some embodiments, the backside dielectric cap 126 has a convex top surface 126s at the interface between the backside dielectric cap 126 and the second source/drain epitaxial structure 108 .

제 1 소스/드레인 영역에서의 도 26a의 사시도, 도 26b의 x 방향 단면도, 및 도 26c의 y 방향 단면도에 도시된 바와 같이, 일부 실시예에서, 후면 측 소스/드레인 컨택트(120)는 후면 측 소스/드레인 컨택트 트렌치(234)의 적어도 일부 내에 형성되어 제 2 희생 소스/드레인 컨택트(236)를 대체한다(도 23a 참조). 일부 실시예에서, 제 2 희생 소스/드레인 컨택트(236)의 외부 부분은 유전체 측벽 스페이서(118)로서 후면 측 소스/드레인 컨택트 트렌치(234)에 남아 있어 후면 측 유전체 캡(126)으로부터 후면 측 소스/드레인 컨택트(120)를 분리시킨다. 후면 측 소스/드레인 컨택트(120)는 제 1 소스/드레인 에피택셜 구조물(106)의 리세싱된 하단 표면(106b) 상에 도달하게 된다. 하단 표면(106b)은, 예를 들어, 도 22a 내지 도 22c에 도시된 바와 같이, 이전 단계들 동안 리세싱될 수 있다. 일부 실시예에서, 후면 측 소스/드레인 컨택트(120)를 형성하기 전에, 후면 측 금속 합금 층이 제 1 소스/드레인 에피택셜 구조물(106) 상에 형성될 수 있다. 후면 측 금속 합금 층은 자체 정렬된 살리사이드 공정(self-aligned salicide process)에 의해 형성된 실리사이드 층들일 수 있다. 후면 측 금속 합금 층은 티타늄 실리사이드, 코발트 실리사이드, 니켈 실리사이드, 백금 실리사이드, 니켈 백금 실리사이드, 에르븀 실리사이드, 팔라듐 실리사이드, 이들의 조합들, 또는 다른 적합한 재료들로부터 선택된 재료를 포함할 수 있다. 일부 실시예에서, 후면 측 금속 합금 층은 게르마늄을 포함할 수 있다. 일부 실시예에서, 후면 측 소스/드레인 컨택트(120)는 W, Co, Ru, Al, Cu, 또는 다른 적합한 재료들과 같은 금속으로 제조될 수 있다. 후면 측 소스/드레인 컨택트(120)의 증착 후, 화학 기계적 평탄화(CMP) 공정과 같은 평탄화 공정이 수행될 수 있다. 일부 실시예에서, 장벽 층은 후면 측 소스/드레인 컨택트(120)의 형성 전에 후면 측 소스/드레인 컨택트 트렌치(234) 내에 형성될 수 있다. 장벽 층은 TiN, TaN, 또는 이들의 조합들로 제조될 수 있다.As shown in the perspective view of FIG. 26A, the cross-sectional view in the x-direction of FIG. 26B, and the cross-sectional view in the y-direction of FIG. 26C in a first source/drain region, in some embodiments, the back-side source/drain contact 120 is the back-side Formed in at least a portion of the source/drain contact trench 234 to replace the second sacrificial source/drain contact 236 (see FIG. 23A ). In some embodiments, an outer portion of the second sacrificial source/drain contact 236 remains in the backside source/drain contact trench 234 as a dielectric sidewall spacer 118 so that the backside source/drain cap 126 is removed from the backside source in some embodiments. / Disconnect the drain contact 120 . The backside source/drain contacts 120 will reach on the recessed bottom surface 106b of the first source/drain epitaxial structure 106 . The bottom surface 106b may be recessed during the previous steps, for example, as shown in FIGS. 22A-22C . In some embodiments, prior to forming the backside source/drain contacts 120 , a backside metal alloy layer may be formed on the first source/drain epitaxial structure 106 . The back side metal alloy layer may be silicide layers formed by a self-aligned salicide process. The backside metal alloy layer may include a material selected from titanium silicide, cobalt silicide, nickel silicide, platinum silicide, nickel platinum silicide, erbium silicide, palladium silicide, combinations thereof, or other suitable materials. In some embodiments, the back side metal alloy layer may include germanium. In some embodiments, the backside source/drain contacts 120 may be made of a metal such as W, Co, Ru, Al, Cu, or other suitable materials. After deposition of the backside source/drain contacts 120 , a planarization process such as a chemical mechanical planarization (CMP) process may be performed. In some embodiments, a barrier layer may be formed in the backside source/drain contact trench 234 prior to formation of the backside source/drain contact 120 . The barrier layer may be made of TiN, TaN, or combinations thereof.

도 27a의 사시도 및 도 27b의 x 방향 단면도에 도시된 바와 같이, 일부 실시예에서, 후면 측 전력 레일(122) 및 후면 측 상호접속 구조물(124)은 후면 측 소스/드레인 컨택트(120)에 전기적으로 연결되도록 형성된다.As shown in the perspective view of FIG. 27A and the cross-sectional view in the x-direction of FIG. 27B , in some embodiments, the backside power rail 122 and the backside interconnect structure 124 are electrically connected to the backside source/drain contacts 120 . formed to be connected to

도 28은 에어 스페이서 구조물들과 하이-κ 유전체 스페이서 구조물들로 인해 디바이스 밀도가 높은 다중 트랜지스터 디바이스들을 갖는 집적 칩을 형성하는 방법(2800)의 일부 실시예의 플로우 다이어그램을 도시한 것이다.28 shows a flow diagram of some embodiments of a method 2800 of forming an integrated chip having multi-transistor devices with high device density due to air spacer structures and high-κ dielectric spacer structures.

방법(2800)이 이하에서 일련의 동작들 또는 이벤트들로서 예시되고 기술되고 있지만, 그러한 동작들 또는 이벤트들의 예시된 순서는 제한적인 의미로 해석되지 않아야 한다는 것이 이해될 것이다. 예를 들어, 일부 동작들은 본원에 예시되고 및/또는 기술된 것 이외에도 다른 동작들 또는 이벤트들과는 상이한 순서로 및/또는 동시에 발생할 수 있다. 추가적으로, 본원의 하나 이상의 양태 또는 실시예를 구현하기 위해 예시된 모든 동작들이 요구되는 것은 아닐 수 있다. 또한, 본원에 묘사된 하나 이상의 동작은 하나 이상의 개별 동작들 및/또는 단계들로 수행될 수 있다.Although method 2800 is illustrated and described below as a series of acts or events, it will be understood that the illustrated order of such acts or events should not be construed in a limiting sense. For example, some acts may occur in a different order and/or concurrently with other acts or events other than those illustrated and/or described herein. Additionally, not all illustrated acts may be required to implement one or more aspects or embodiments herein. In addition, one or more acts depicted herein may be performed in one or more separate acts and/or steps.

동작(2802)에서, 적층된 제 1 및 제 2 반도체 층들의 복수의 핀 구조물들을 기판 상에 형성한다. 핀 구조물들 사이에 격리 구조물들을 형성한다(예컨대, 도 7 내지 도 12 참조). 도 7 내지 도 12는 동작(2802)에 대응하는 일부 실시예의 사시도들을 도시한 것이다.In operation 2802 , a plurality of fin structures of the stacked first and second semiconductor layers are formed on the substrate. Isolation structures are formed between the fin structures (see, eg, FIGS. 7-12 ). 7-12 show perspective views of some embodiments corresponding to operation 2802 .

동작(2804)에서, 핀 구조물들 위에 복수의 더미 게이트 구조물들을 형성한다. 도 13은 동작(2804)에 대응하는 일부 실시예의 사시도를 도시한 것이다.In operation 2804 , a plurality of dummy gate structures are formed over the fin structures. 13 shows a perspective view of some embodiments corresponding to operation 2804 .

동작(2806)에서, 더미 게이트 구조물의 대향 측면들로부터 더미 게이트 구조물들에 의해 덮이지 않은 핀 구조물들의 부분들을 에칭하고 제거한다. 제 2 반도체 층들을 제 1 반도체 층들로부터 수평으로 리세싱한다. 도 14a 내지 도 14c는 동작(2806)에 대응하는 일부 실시예의 다양한 도면들을 도시한 것이다.In an operation 2806 , portions of the fin structures not covered by the dummy gate structures are etched and removed from opposite sides of the dummy gate structure. The second semiconductor layers are horizontally recessed from the first semiconductor layers. 14A-14C show various views of some embodiments corresponding to operation 2806.

동작(2808)에서, 제 2 반도체 층들의 대향 단부들 상에 내부 스페이서들을 형성한다. 도 15a 및 도 15b는 동작(2808)에 대응하는 일부 실시예의 다양한 도면들을 도시한 것이다.In operation 2808 , inner spacers are formed on opposite ends of the second semiconductor layers. 15A and 15B show various views of some embodiments corresponding to operation 2808 .

동작(2810)에서, 기판 내에 제 1 더미 후면 측 컨택트를 형성한다. 도 16a 내지 도 16c는 동작(2810)에 대응하는 일부 실시예의 다양한 도면들을 도시한 것이다.At operation 2810 , a first dummy backside contact is formed in the substrate. 16A-16C show various views of some embodiments corresponding to act 2810 .

동작(2812)에서, 리세싱된 핀 구조물의 대향 측면들 상에 제 1 및 제 2 소스/드레인 에피택셜 구조물들을 형성한다. 도 17a 내지 도 17d는 동작(2812)에 대응하는 일부 실시예의 다양한 도면들을 도시한 것이다.In operation 2812 , first and second source/drain epitaxial structures are formed on opposite sides of the recessed fin structure. 17A-17D show various views of some embodiments corresponding to act 2812 .

동작(2814)에서, 제 2 반도체 층들을 금속 게이트 구조물로 대체한다. 도 18a 내지 도 19c는 동작(2814)에 대응하는 일부 실시예의 다양한 도면들을 도시한 것이다. In operation 2814 , the second semiconductor layers are replaced with a metal gate structure. 18A-19C show various views of some embodiments corresponding to act 2814 .

동작(2816)에서, 게이트 컨택트 및 전면 측 상호접속 구조물을 형성한다. 도 20은 동작(2816)에 대응하는 일부 실시예의 사시도를 도시한 것이다.In operation 2816, the gate contact and front side interconnect structures are formed. 20 shows a perspective view of some embodiments corresponding to act 2816 .

동작(2818)에서, 제 1 소스/드레인 에피택셜 구조물의 하단 표면을 리세싱한다. 도 21 내지 도 22c는 동작(2818)에 대응하는 일부 실시예의 다양한 도면들을 도시한 것이다. At operation 2818 , the bottom surface of the first source/drain epitaxial structure is recessed. 21-22C show various views of some embodiments corresponding to act 2818 .

동작(2820)에서, 제 1 소스/드레인 에피택셜 구조물의 리세싱된 하단 표면에 도달하는 제 2 더미 후면 측 컨택트를 형성한다. 도 23a 내지 도 23c는 동작(2820)에 대응하는 일부 실시예의 다양한 도면들을 도시한 것이다. In an operation 2820 , a second dummy backside contact is formed that reaches the recessed bottom surface of the first source/drain epitaxial structure. 23A-23C show various views of some embodiments corresponding to operation 2820 .

동작(2822)에서, 제 2 소스/드레인 에피택셜 구조물의 하단 표면을 리세싱한다. 도 24a 내지 도 24d는 동작(2822)에 대응하는 일부 실시예의 다양한 도면들을 도시한 것이다. At operation 2822 , the bottom surface of the second source/drain epitaxial structure is recessed. 24A-24D show various views of some embodiments corresponding to act 2822 .

동작(2824)에서, 제 2 소스/드레인 에피택셜 구조물의 하단 표면 상에 후면 측 유전체 캡을 형성한다. 도 25a 내지 도 25d는 동작(2824)에 대응하는 일부 실시예의 다양한 도면들을 도시한 것이다.In operation 2824 , a backside dielectric cap is formed on the bottom surface of the second source/drain epitaxial structure. 25A-25D show various views of some embodiments corresponding to act 2824 .

동작(2826)에서, 제 1 소스/드레인 에피택셜 구조물의 하단 표면에 도달하는 후면 측 소스/드레인 컨택트를 형성한다. 도 26a 내지 도 26c는 동작(2826)에 대응하는 일부 실시예의 다양한 도면들을 도시한 것이다.In operation 2826 , a backside source/drain contact is formed that reaches the bottom surface of the first source/drain epitaxial structure. 26A-26C show various views of some embodiments corresponding to act 2826 .

동작(2828)에서, 후면 측 전력 레일 및 후면 측 상호접속 구조물을 형성한다. 도 27a 및 도 27b는 동작(2828)에 대응하는 일부 실시예의 다양한 도면들을 도시한 것이다.At operation 2828 , the backside power rail and backside interconnect structure are formed. 27A and 27B show various views of some embodiments corresponding to act 2828 .

따라서, 일부 실시예에서, 본 개시 내용은 반도체 트랜지스터 디바이스에 관한 것이다. 반도체 트랜지스터 디바이스는 채널 구조물 및 상기 채널 구조물을 감싸는 게이트 구조물을 포함한다. 반도체 트랜지스터 디바이스는 상기 채널 구조물의 대향 단부들 상에 배치된 제 1 소스/드레인 에피택셜 구조물 및 제 2 소스/드레인 에피택셜 구조물, 및 상기 제 1 소스/드레인 에피택셜 구조물 아래에 배치된 후면 측 소스/드레인 컨택트를 더 포함한다. 상기 제 1 소스/드레인 에피택셜 구조물은 상기 후면 측 소스/드레인 컨택트와 접촉하는 오목한 하단 표면을 갖는다. 반도체 트랜지스터 디바이스는 상기 게이트 구조물 상에 배치된 게이트 컨택트를 더 포함한다.Accordingly, in some embodiments, the present disclosure relates to a semiconductor transistor device. A semiconductor transistor device includes a channel structure and a gate structure surrounding the channel structure. The semiconductor transistor device includes a first source/drain epitaxial structure and a second source/drain epitaxial structure disposed on opposite ends of the channel structure, and a backside source disposed below the first source/drain epitaxial structure. /Includes more drain contacts. The first source/drain epitaxial structure has a concave bottom surface in contact with the backside source/drain contacts. The semiconductor transistor device further includes a gate contact disposed on the gate structure.

다른 실시예에서, 본 개시 내용은 반도체 트랜지스터 디바이스에 관한 것이다. 반도체 트랜지스터 디바이스는 채널 구조물 및 상기 채널 구조물을 감싸는 게이트 구조물을 포함한다. 반도체 트랜지스터 디바이스는 상기 채널 구조물의 대향 단부들 상에 배치된 제 1 소스/드레인 에피택셜 구조물 및 제 2 소스/드레인 에피택셜 구조물, 및 상기 제 1 소스/드레인 에피택셜 구조물 아래에 배치되고 상기 제 1 소스/드레인 에피택셜 구조물과 접촉하는 후면 측 소스/드레인 컨택트를 더 포함한다. 반도체 트랜지스터 디바이스는 상기 게이트 구조물 상에 배치된 게이트 컨택트, 및 상기 제 2 소스/드레인 에피택셜 구조물 및 상기 게이트 구조물 아래에 배치되고 상기 제 2 소스/드레인 에피택셜 구조물 및 상기 게이트 구조물과 접촉하는 후면 측 유전체 캡을 더 포함한다.In another embodiment, the present disclosure relates to a semiconductor transistor device. A semiconductor transistor device includes a channel structure and a gate structure surrounding the channel structure. A semiconductor transistor device includes a first source/drain epitaxial structure and a second source/drain epitaxial structure disposed on opposite ends of the channel structure, and a first source/drain epitaxial structure disposed below the first source/drain epitaxial structure. It further includes a backside source/drain contact in contact with the source/drain epitaxial structure. A semiconductor transistor device has a gate contact disposed on the gate structure, and a back side disposed below the second source/drain epitaxial structure and the gate structure and in contact with the second source/drain epitaxial structure and the gate structure. It further includes a dielectric cap.

또 다른 실시예에서, 본 개시 내용은 반도체 트랜지스터 디바이스를 제조하는 방법에 관한 것이다. 방법은 제 1 반도체 층들 및 제 2 반도체 층들을 교대로 적층함으로써 기판 위에 핀 구조물을 형성하는 단계 및 상기 핀 구조물 위에 더미 게이트 구조물을 형성하는 단계를 포함한다. 방법은 상기 더미 게이트 구조물에 의해 덮여 있지 않은 상기 핀 구조물의 일부를 제거하는 단계 및 상기 제 1 반도체 층들의 나머지 부분들의 대향 측면들 상에 내부 스페이서들을 형성하는 단계를 더 포함한다. 방법은 상기 핀 구조물의 대항 단부들 상에 제 1 소스/드레인 에피택셜 구조물 및 제 2 소스/드레인 에피택셜 구조물을 형성하는 단계를 더 포함한다. 방법은 상기 더미 게이트 구조물 및 상기 제 1 반도체 층들을 금속 게이트 구조물로 대체하는 단계를 더 포함한다. 방법은 상기 기판을 제거하고 후면 측 캡핑 트렌치를 형성하여, 상기 금속 게이트 구조물의 하단 표면들 및 상기 제 2 소스/드레인 에피택셜 구조물의 하단 표면을 노출시키는 단계를 더 포함한다. 상기 제 2 소스/드레인 에피택셜 구조물의 하단 표면은 리세싱된다. 방법은 상기 후면 측 캡핑 트렌치 내에 후면 측 유전체 캡을 형성하는 단계 및 상기 제 1 소스/드레인 에피택셜 구조물 아래에 있고 상기 제 1 소스/드레인 에피택셜 구조물과 접촉하는 후면 측 소스/드레인 컨택트를 형성하는 단계를 더 포함한다.In yet another embodiment, the present disclosure relates to a method of manufacturing a semiconductor transistor device. The method includes forming a fin structure over a substrate by alternately stacking first semiconductor layers and second semiconductor layers, and forming a dummy gate structure over the fin structure. The method further includes removing a portion of the fin structure not covered by the dummy gate structure and forming inner spacers on opposite sides of remaining portions of the first semiconductor layers. The method further includes forming a first source/drain epitaxial structure and a second source/drain epitaxial structure on opposite ends of the fin structure. The method further includes replacing the dummy gate structure and the first semiconductor layers with a metal gate structure. The method further includes removing the substrate and forming a backside capping trench to expose bottom surfaces of the metal gate structure and a bottom surface of the second source/drain epitaxial structure. A bottom surface of the second source/drain epitaxial structure is recessed. The method includes forming a backside dielectric cap within the backside capping trench and forming a backside source/drain contact below the first source/drain epitaxial structure and in contact with the first source/drain epitaxial structure. further comprising steps.

전술한 내용은 본 기술 분야의 기술자가 본 개시 내용의 양태들을 더 잘 이해할 수 있도록 몇몇 실시예의 특징들을 개략적으로 설명하고 있다. 본 기술 분야의 기술자는 본원에 도입된 실시예들과 동일한 목적을 수행하고 및/또는 동일한 효과를 달성하는 다른 공정들 및 구조물들을 디자인하거나 수정하기 위한 토대로서 본 개시 내용을 용이하게 사용할 수 있다는 것을 이해해야 한다. 본 기술 분야의 기술자는 또한 이러한 등가의 구성이 본 개시 내용의 사상 및 범위를 벗어나지 않으며, 본 개시 내용의 사상 및 범위를 벗어나지 않으면서 본원에서 다양한 변경, 대체, 및 변형을 행할 수 있다는 것을 인식해야 한다.The foregoing has outlined features of some embodiments so that those skilled in the art may better understand aspects of the present disclosure. A person skilled in the art can readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same effects as the embodiments introduced herein. you have to understand Those skilled in the art should also recognize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that various changes, substitutions, and modifications can be made herein without departing from the spirit and scope of the present disclosure. do.

실시예Example

실시예 1. 반도체 트랜지스터 디바이스에 있어서, Embodiment 1. A semiconductor transistor device comprising:

채널 구조물;channel structures;

상기 채널 구조물을 감싸는 게이트 구조물;a gate structure surrounding the channel structure;

상기 채널 구조물의 대향 단부들 상에 배치된 제 1 소스/드레인 에피택셜 구조물 및 제 2 소스/드레인 에피택셜 구조물;a first source/drain epitaxial structure and a second source/drain epitaxial structure disposed on opposite ends of the channel structure;

상기 게이트 구조물 상에 배치된 게이트 컨택트; 및a gate contact disposed on the gate structure; and

상기 제 1 소스/드레인 에피택셜 구조물 아래에 배치된 후면 측(back-side) 소스/드레인 컨택트를 포함하고, a back-side source/drain contact disposed below the first source/drain epitaxial structure;

상기 제 1 소스/드레인 에피택셜 구조물은 상기 후면 측 소스/드레인 컨택트와 접촉하는 오목한 하단 표면을 갖는 것인, 반도체 트랜지스터 디바이스.and the first source/drain epitaxial structure has a concave bottom surface in contact with the backside source/drain contact.

실시예 2. 실시예 1에 있어서, Example 2. The method of Example 1,

상기 제 2 소스/드레인 에피택셜 구조물 아래에 배치되고 상기 제 2 소스/드레인 에피택셜 구조물과 직접 접촉하는 후면 측 유전체 캡을 더 포함하는, 반도체 트랜지스터 디바이스.and a backside dielectric cap disposed below the second source/drain epitaxial structure and in direct contact with the second source/drain epitaxial structure.

실시예 3. 실시예 2에 있어서, Example 3. The method of Example 2,

상기 제 2 소스/드레인 에피택셜 구조물은 상기 후면 측 유전체 캡과 접촉하는 오목한 하단 표면을 갖는 것인, 반도체 트랜지스터 디바이스.and the second source/drain epitaxial structure has a concave bottom surface in contact with the backside dielectric cap.

실시예 4. 실시예 2에 있어서, Example 4. The method of Example 2,

상기 후면 측 유전체 캡은 상기 게이트 구조물 아래로 연장되는 것인, 반도체 트랜지스터 디바이스.and the backside dielectric cap extends below the gate structure.

실시예 5. 실시예 4에 있어서, Example 5. The method of Example 4,

상기 후면 측 유전체 캡은 상기 게이트 구조물과 직접 접촉하는 것인, 반도체 트랜지스터 디바이스.and the backside dielectric cap is in direct contact with the gate structure.

실시예 6. 실시예 2에 있어서, Example 6. The method of Example 2,

상기 게이트 구조물, 상기 제 1 소스/드레인 에피택셜 구조물, 및 상기 제 2 소스/드레인 에피택셜 구조물을 둘러싸는 중간 격리 구조물을 더 포함하는, 반도체 트랜지스터 디바이스.and an intermediate isolation structure surrounding the gate structure, the first source/drain epitaxial structure, and the second source/drain epitaxial structure.

실시예 7. 실시예 6에 있어서, Example 7. The method of Example 6,

상기 중간 격리 구조물 아래에 배치되고 상기 후면 측 유전체 캡을 둘러싸는 하부 격리 구조물을 더 포함하는, 반도체 트랜지스터 디바이스.and a lower isolation structure disposed below the intermediate isolation structure and surrounding the backside dielectric cap.

실시예 8. 실시예 1에 있어서, Example 8. The method of Example 1,

상기 후면 측 소스/드레인 컨택트의 측벽을 따라 배치된 유전체 측벽 스페이서를 더 포함하는, 반도체 트랜지스터 디바이스.and a dielectric sidewall spacer disposed along sidewalls of the backside source/drain contacts.

실시예 9. 실시예 1에 있어서, Example 9. The method of Example 1,

상기 제 1 소스/드레인 에피택셜 구조물 및 상기 제 2 소스/드레인 에피택셜 구조물로부터 상기 게이트 구조물을 분리하는 내부 스페이서를 더 포함하는, 반도체 트랜지스터 디바이스.and an inner spacer separating the gate structure from the first source/drain epitaxial structure and the second source/drain epitaxial structure.

실시예 10. 실시예 1에 있어서, Example 10. The method of Example 1,

상기 채널 구조물은 반도체 나노와이어들의 스택을 포함하는 것인, 반도체 트랜지스터 디바이스.wherein the channel structure comprises a stack of semiconductor nanowires.

실시예 11. 반도체 트랜지스터 디바이스에 있어서,Embodiment 11. A semiconductor transistor device comprising:

채널 구조물;channel structures;

상기 채널 구조물을 감싸는 게이트 구조물;a gate structure surrounding the channel structure;

상기 채널 구조물의 대향 단부들 상에 배치된 제 1 소스/드레인 에피택셜 구조물 및 제 2 소스/드레인 에피택셜 구조물;a first source/drain epitaxial structure and a second source/drain epitaxial structure disposed on opposite ends of the channel structure;

상기 게이트 구조물 상에 배치된 게이트 컨택트;a gate contact disposed on the gate structure;

상기 제 1 소스/드레인 에피택셜 구조물 아래에 배치되고 상기 제 1 소스/드레인 에피택셜 구조물과 접촉하는 후면 측 소스/드레인 컨택트; 및a backside source/drain contact disposed below the first source/drain epitaxial structure and in contact with the first source/drain epitaxial structure; and

상기 제 2 소스/드레인 에피택셜 구조물 및 상기 게이트 구조물 아래에 배치되고 상기 제 2 소스/드레인 에피택셜 구조물 및 상기 게이트 구조물과 접촉하는 후면 측 유전체 캡a backside dielectric cap disposed under the second source/drain epitaxial structure and the gate structure and in contact with the second source/drain epitaxial structure and the gate structure

을 포함하는, 반도체 트랜지스터 디바이스.A semiconductor transistor device comprising:

실시예 12. 실시예 11에 있어서, Example 12. The method of Example 11,

상기 제 2 소스/드레인 에피택셜 구조물은 상기 게이트 구조물의 하단 표면보다 높게 위치하는 하단 표면을 갖는 것인, 반도체 트랜지스터 디바이스.and the second source/drain epitaxial structure has a bottom surface positioned higher than a bottom surface of the gate structure.

실시예 13. 실시예 11에 있어서, Example 13. The method of Example 11,

상기 후면 측 소스/드레인 컨택트는 상기 게이트 구조물의 하단 표면보다 높게 위치하는 상단 표면을 갖는 것인, 반도체 트랜지스터 디바이스.and the backside source/drain contacts have a top surface positioned higher than a bottom surface of the gate structure.

실시예 14. 실시예 11에 있어서, Example 14. The method of Example 11,

상기 후면 측 소스/드레인 컨택트와 상기 후면 측 유전체 캡 사이에 배치되는 유전체 측벽 스페이서를 더 포함하는, 반도체 트랜지스터 디바이스.and a dielectric sidewall spacer disposed between the backside source/drain contact and the backside dielectric cap.

실시예 15. 실시예 11에 있어서, Example 15. The method of Example 11,

상기 게이트 구조물은:The gate structure comprises:

게이트 전극; 및gate electrode; and

상기 게이트 전극과 상기 채널 구조물 사이의 게이트 유전체a gate dielectric between the gate electrode and the channel structure

를 포함하는 것인, 반도체 트랜지스터 디바이스.A semiconductor transistor device comprising:

실시예 16. 실시예 11에 있어서, Example 16. The method of Example 11,

상기 채널 구조물은 반도체 나노와이어들의 스택을 포함하는 것인, 반도체 트랜지스터 디바이스.wherein the channel structure comprises a stack of semiconductor nanowires.

실시예 17. 실시예 11에 있어서, Example 17. The method of Example 11,

상기 제 1 소스/드레인 에피택셜 구조물 및 상기 제 2 소스/드레인 에피택셜 구조물로부터 상기 게이트 구조물을 분리하는 내부 스페이서를 더 포함하는, 반도체 트랜지스터 디바이스.and an inner spacer separating the gate structure from the first source/drain epitaxial structure and the second source/drain epitaxial structure.

실시예 18. 실시예 11에 있어서, Example 18. The method of Example 11,

상기 후면 측 유전체 캡은 SiO2, SiN, SiCN, SiOCN, Al2O3, AlON, ZrO2, HfO2, 또는 이들의 조합들을 포함하는 것인, 반도체 트랜지스터 디바이스.and the backside dielectric cap comprises SiO 2 , SiN, SiCN, SiOCN, Al 2 O 3 , AlON, ZrO 2 , HfO 2 , or combinations thereof.

실시예 19. 반도체 트랜지스터 디바이스를 형성하는 방법에 있어서,Embodiment 19. A method of forming a semiconductor transistor device, comprising:

제 1 반도체 층들 및 제 2 반도체 층들을 교대로 적층함으로써 기판 위에 핀 구조물을 형성하는 단계;forming a fin structure over the substrate by alternately stacking first semiconductor layers and second semiconductor layers;

상기 핀 구조물 위에 더미 게이트 구조물을 형성하는 단계;forming a dummy gate structure on the fin structure;

상기 더미 게이트 구조물에 의해 덮여 있지 않은 핀 구조물의 일부를 제거하는 단계;removing a portion of the fin structure not covered by the dummy gate structure;

상기 제 1 반도체 층들의 나머지 부분들의 대향측들 상에 내부 스페이서들을 형성하는 단계;forming inner spacers on opposite sides of the remaining portions of the first semiconductor layers;

상기 핀 구조물의 대향 단부들 상에 제 1 소스/드레인 에피택셜 구조물 및 제 2 소스/드레인 에피택셜 구조물을 형성하는 단계;forming a first source/drain epitaxial structure and a second source/drain epitaxial structure on opposite ends of the fin structure;

상기 더미 게이트 구조물 및 상기 제 1 반도체 층들을 금속 게이트 구조물로 대체하는 단계;replacing the dummy gate structure and the first semiconductor layers with a metal gate structure;

상기 기판을 제거하고 후면 측 캡핑 트렌치를 형성하여, 상기 금속 게이트 구조물의 하단 표면들 및 상기 제 2 소스/드레인 에피택셜 구조물의 하단 표면을 노출시키는 단계 ― 상기 제 2 소스/드레인 에피택셜 구조물의 하단 표면은 리세싱됨 ―;removing the substrate and forming a backside capping trench to expose bottom surfaces of the metal gate structure and a bottom surface of the second source/drain epitaxial structure - a bottom of the second source/drain epitaxial structure surface is recessed;

상기 후면 측 캡핑 트렌치 내에 후면 측 유전체 캡을 형성하는 단계; 및forming a backside dielectric cap within the backside capping trench; and

상기 제 1 소스/드레인 에피택셜 구조물 아래에, 상기 제 1 소스/드레인 에피택셜 구조물과 접촉하는 후면 측 소스/드레인 컨택트를 형성하는 단계forming a backside source/drain contact under the first source/drain epitaxial structure and in contact with the first source/drain epitaxial structure;

를 포함하는, 반도체 트랜지스터 디바이스를 형성하는 방법.A method of forming a semiconductor transistor device comprising:

실시예 20. 실시예 19에 있어서, Example 20. The method of Example 19,

상기 후면 측 소스/드레인 컨택트를 형성하는 단계는:The step of forming the back-side source/drain contact comprises:

상기 내부 스페이서들을 형성한 후, 후면 측 컨택트 트렌치를 형성하는 단계;after forming the inner spacers, forming a backside contact trench;

상기 후면 측 컨택트 트렌치를 희생 반도체 재료로 충전하는 단계;filling the backside contact trench with a sacrificial semiconductor material;

상기 후면 측 캡핑 트렌치를 형성하기 전에, 상기 희생 반도체 재료를 제거하고 측벽 스페이서 유전체 재료로 대체하는 단계; prior to forming the backside capping trench, removing the sacrificial semiconductor material and replacing it with a sidewall spacer dielectric material;

상기 측벽 스페이서 유전체 재료의 적어도 일부를 제거하는 단계; 및removing at least a portion of the sidewall spacer dielectric material; and

상기 후면 측 유전체 캡을 형성한 후, 상기 후면 측 소스/드레인 컨택트로 대체하는 단계After forming the backside dielectric cap, replacing the backside source/drain contacts with the

를 포함하는 것인, 반도체 트랜지스터 디바이스를 형성하는 방법.A method of forming a semiconductor transistor device comprising:

Claims (10)

반도체 트랜지스터 디바이스에 있어서,
채널 구조물;
상기 채널 구조물을 감싸는 게이트 구조물;
상기 채널 구조물의 대향 단부들 상에 배치된 제 1 소스/드레인 에피택셜 구조물 및 제 2 소스/드레인 에피택셜 구조물;
상기 게이트 구조물 상에 배치된 게이트 컨택트; 및
상기 제 1 소스/드레인 에피택셜 구조물 아래에 배치된 후면 측(back-side) 소스/드레인 컨택트를 포함하고,
상기 제 1 소스/드레인 에피택셜 구조물은 상기 후면 측 소스/드레인 컨택트와 접촉하는 오목한 하단 표면을 갖는 것인, 반도체 트랜지스터 디바이스.
A semiconductor transistor device comprising:
channel structures;
a gate structure surrounding the channel structure;
a first source/drain epitaxial structure and a second source/drain epitaxial structure disposed on opposite ends of the channel structure;
a gate contact disposed on the gate structure; and
a back-side source/drain contact disposed below the first source/drain epitaxial structure;
and the first source/drain epitaxial structure has a concave bottom surface in contact with the backside source/drain contact.
청구항 1에 있어서,
상기 제 2 소스/드레인 에피택셜 구조물 아래에 배치되고 상기 제 2 소스/드레인 에피택셜 구조물과 직접 접촉하는 후면 측 유전체 캡을 더 포함하는, 반도체 트랜지스터 디바이스.
The method according to claim 1,
and a backside dielectric cap disposed below the second source/drain epitaxial structure and in direct contact with the second source/drain epitaxial structure.
청구항 2에 있어서,
상기 제 2 소스/드레인 에피택셜 구조물은 상기 후면 측 유전체 캡과 접촉하는 오목한 하단 표면을 갖는 것인, 반도체 트랜지스터 디바이스.
3. The method according to claim 2,
and the second source/drain epitaxial structure has a concave bottom surface in contact with the backside dielectric cap.
청구항 2에 있어서,
상기 후면 측 유전체 캡은 상기 게이트 구조물 아래로 연장되는 것인, 반도체 트랜지스터 디바이스.
3. The method according to claim 2,
and the backside dielectric cap extends below the gate structure.
청구항 2에 있어서,
상기 게이트 구조물, 상기 제 1 소스/드레인 에피택셜 구조물, 및 상기 제 2 소스/드레인 에피택셜 구조물을 둘러싸는 중간 격리 구조물을 더 포함하는, 반도체 트랜지스터 디바이스.
3. The method according to claim 2,
and an intermediate isolation structure surrounding the gate structure, the first source/drain epitaxial structure, and the second source/drain epitaxial structure.
청구항 1에 있어서,
상기 후면 측 소스/드레인 컨택트의 측벽을 따라 배치된 유전체 측벽 스페이서를 더 포함하는, 반도체 트랜지스터 디바이스.
The method according to claim 1,
and a dielectric sidewall spacer disposed along sidewalls of the backside source/drain contacts.
청구항 1에 있어서,
상기 제 1 소스/드레인 에피택셜 구조물 및 상기 제 2 소스/드레인 에피택셜 구조물로부터 상기 게이트 구조물을 분리하는 내부 스페이서를 더 포함하는, 반도체 트랜지스터 디바이스.
The method according to claim 1,
and an inner spacer separating the gate structure from the first source/drain epitaxial structure and the second source/drain epitaxial structure.
청구항 1에 있어서,
상기 채널 구조물은 반도체 나노와이어들의 스택을 포함하는 것인, 반도체 트랜지스터 디바이스.
The method according to claim 1,
wherein the channel structure comprises a stack of semiconductor nanowires.
반도체 트랜지스터 디바이스에 있어서,
채널 구조물;
상기 채널 구조물을 감싸는 게이트 구조물;
상기 채널 구조물의 대향 단부들 상에 배치된 제 1 소스/드레인 에피택셜 구조물 및 제 2 소스/드레인 에피택셜 구조물;
상기 게이트 구조물 상에 배치된 게이트 컨택트;
상기 제 1 소스/드레인 에피택셜 구조물 아래에 배치되고 상기 제 1 소스/드레인 에피택셜 구조물과 접촉하는 후면 측 소스/드레인 컨택트; 및
상기 제 2 소스/드레인 에피택셜 구조물 및 상기 게이트 구조물 아래에 배치되고 상기 제 2 소스/드레인 에피택셜 구조물 및 상기 게이트 구조물과 접촉하는 후면 측 유전체 캡
을 포함하고,
상기 후면 측 소스/드레인 컨택트는 상기 게이트 구조물의 하단 표면보다 높게 위치하는 상단 표면을 갖는 것인, 반도체 트랜지스터 디바이스.
A semiconductor transistor device comprising:
channel structures;
a gate structure surrounding the channel structure;
a first source/drain epitaxial structure and a second source/drain epitaxial structure disposed on opposite ends of the channel structure;
a gate contact disposed on the gate structure;
a backside source/drain contact disposed below the first source/drain epitaxial structure and in contact with the first source/drain epitaxial structure; and
a backside dielectric cap disposed under the second source/drain epitaxial structure and the gate structure and in contact with the second source/drain epitaxial structure and the gate structure
including,
and the backside source/drain contact has a top surface positioned higher than a bottom surface of the gate structure.
반도체 트랜지스터 디바이스를 형성하는 방법에 있어서,
제 1 반도체 층들 및 제 2 반도체 층들을 교대로 적층함으로써 기판 위에 핀 구조물을 형성하는 단계;
상기 핀 구조물 위에 더미 게이트 구조물을 형성하는 단계;
상기 더미 게이트 구조물에 의해 덮여 있지 않은 상기 핀 구조물의 일부를 제거하는 단계;
상기 제 1 반도체 층들의 나머지 부분들의 대향 측들 상에 내부 스페이서들을 형성하는 단계;
상기 핀 구조물의 대향 단부들 상에 제 1 소스/드레인 에피택셜 구조물 및 제 2 소스/드레인 에피택셜 구조물을 형성하는 단계;
상기 더미 게이트 구조물 및 상기 제 1 반도체 층들을 금속 게이트 구조물로 대체하는 단계;
상기 기판을 제거하고 후면 측 캡핑 트렌치를 형성하여, 상기 금속 게이트 구조물의 하단 표면들 및 상기 제 2 소스/드레인 에피택셜 구조물의 하단 표면을 노출시키는 단계 - 상기 제 2 소스/드레인 에피택셜 구조물의 하단 표면은 리세싱됨 - ;
상기 후면 측 캡핑 트렌치 내에 후면 측 유전체 캡을 형성하는 단계; 및
상기 제 1 소스/드레인 에피택셜 구조물 아래에, 상기 제 1 소스/드레인 에피택셜 구조물과 접촉하는 후면 측 소스/드레인 컨택트를 형성하는 단계
를 포함하는, 반도체 트랜지스터 디바이스를 형성하는 방법.
A method of forming a semiconductor transistor device, comprising:
forming a fin structure over the substrate by alternately stacking first semiconductor layers and second semiconductor layers;
forming a dummy gate structure on the fin structure;
removing a portion of the fin structure not covered by the dummy gate structure;
forming inner spacers on opposite sides of the remaining portions of the first semiconductor layers;
forming a first source/drain epitaxial structure and a second source/drain epitaxial structure on opposite ends of the fin structure;
replacing the dummy gate structure and the first semiconductor layers with a metal gate structure;
removing the substrate and forming a backside capping trench to expose bottom surfaces of the metal gate structure and a bottom surface of the second source/drain epitaxial structure - a bottom of the second source/drain epitaxial structure surface is recessed - ;
forming a backside dielectric cap within the backside capping trench; and
forming a backside source/drain contact under the first source/drain epitaxial structure and in contact with the first source/drain epitaxial structure;
A method of forming a semiconductor transistor device comprising:
KR1020200180127A 2020-05-11 2020-12-21 Capacitance reduction for back-side power rail device KR102436689B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063022666P 2020-05-11 2020-05-11
US63/022,666 2020-05-11
US17/034,347 US11289606B2 (en) 2020-05-11 2020-09-28 Capacitance reduction for back-side power rail device
US17/034,347 2020-09-28

Publications (2)

Publication Number Publication Date
KR20210138468A KR20210138468A (en) 2021-11-19
KR102436689B1 true KR102436689B1 (en) 2022-08-25

Family

ID=78411813

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200180127A KR102436689B1 (en) 2020-05-11 2020-12-21 Capacitance reduction for back-side power rail device

Country Status (1)

Country Link
KR (1) KR102436689B1 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190164882A1 (en) * 2017-11-30 2019-05-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device integrating backside power grid and related integrated circuit and fabrication method
US20190221649A1 (en) 2016-09-30 2019-07-18 Intel Corporation Backside source/drain replacement for semiconductor devices with metallization on both sides
US20200035560A1 (en) 2016-08-26 2020-01-30 Intel Corporation Integrated circuit device structures and double-sided fabrication techniques

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9590038B1 (en) * 2015-10-23 2017-03-07 Samsung Electronics Co., Ltd. Semiconductor device having nanowire channel
KR102603279B1 (en) * 2016-07-01 2023-11-17 인텔 코포레이션 Reduced back contact resistance for semiconductor devices with metallization on both sides
US10872818B2 (en) * 2018-10-26 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Buried power rail and method forming same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200035560A1 (en) 2016-08-26 2020-01-30 Intel Corporation Integrated circuit device structures and double-sided fabrication techniques
US20190221649A1 (en) 2016-09-30 2019-07-18 Intel Corporation Backside source/drain replacement for semiconductor devices with metallization on both sides
US20190164882A1 (en) * 2017-11-30 2019-05-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device integrating backside power grid and related integrated circuit and fabrication method

Also Published As

Publication number Publication date
KR20210138468A (en) 2021-11-19

Similar Documents

Publication Publication Date Title
US11289606B2 (en) Capacitance reduction for back-side power rail device
KR102510730B1 (en) Drain side recess for back-side power rail device
KR102486683B1 (en) Method for forming long channel back-side power rail device
US11973077B2 (en) Semiconductor device and manufacturing method thereof
TWI787787B (en) Semiconductor transistor device and method of forming semiconductor transistor device
US11551969B2 (en) Integrated circuit structure with backside interconnection structure having air gap
US11637101B2 (en) Semiconductor device and manufacturing method thereof
CN113517227B (en) Semiconductor device and method of forming semiconductor transistor device
US11532720B2 (en) Semiconductor device and manufacturing method thereof
US20220359700A1 (en) Semiconductor device and manufacturing method thereof
KR102436689B1 (en) Capacitance reduction for back-side power rail device
US11942479B2 (en) Semiconductor device and manufacturing method thereof
US11855186B2 (en) Semiconductor device and manufacturing method thereof
US20240234420A1 (en) Semiconductor device
US20240063093A1 (en) Semiconductor device and method of manufacturing the same
US20230008020A1 (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant