TWI784192B - Three-dimensional memory devices and fabrication methods thereof - Google Patents

Three-dimensional memory devices and fabrication methods thereof Download PDF

Info

Publication number
TWI784192B
TWI784192B TW108130378A TW108130378A TWI784192B TW I784192 B TWI784192 B TW I784192B TW 108130378 A TW108130378 A TW 108130378A TW 108130378 A TW108130378 A TW 108130378A TW I784192 B TWI784192 B TW I784192B
Authority
TW
Taiwan
Prior art keywords
layer
layers
gate
forming
memory
Prior art date
Application number
TW108130378A
Other languages
Chinese (zh)
Other versions
TW202038439A (en
Inventor
肖莉紅
Original Assignee
大陸商長江存儲科技有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/CN2019/093442 external-priority patent/WO2020199387A1/en
Application filed by 大陸商長江存儲科技有限責任公司 filed Critical 大陸商長江存儲科技有限責任公司
Publication of TW202038439A publication Critical patent/TW202038439A/en
Application granted granted Critical
Publication of TWI784192B publication Critical patent/TWI784192B/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND

Abstract

The embodiment of method of forming three-dimensional memory includes following steps. First, forming initial channel holes in a stack structure of alternating first layers and second layers on a substrate. Forming shift features between lateral surfaces of each first layer and each second layer on sidewalls of the initial channel holes to form channel holes. Further forming semiconductor channels by filling the channel holes with channel forming structures. The semiconductor channel is provided with memory layer including first memory portions surrounding bottom of each second layer and second memory portions connecting adjacent first memory portions. The first memory portions and the second memory portions may stagger along a vertical direction.

Description

三維記憶體及其製造方法 Three-dimensional memory and its manufacturing method

本揭露書的實施例涉及三維(3D)記憶體及其製造方法。 Embodiments of the present disclosure relate to three-dimensional (3D) memories and methods of manufacturing the same.

通過改善製造技術、電路設計、程式設計演算法和製程,平面儲存單元被微縮到更小尺寸。然而,隨著儲存單元的特徵尺寸接近下限,平面製程和製造技術變得具有挑戰性且成本高昂。結果,平面儲存單元的儲存密度接近上限。 By improving manufacturing technology, circuit design, programming algorithm and manufacturing process, planar memory cells have been scaled down to smaller sizes. However, as the feature size of memory cells approaches the lower limit, planar processes and fabrication techniques become challenging and costly. As a result, the storage density of planar memory cells approaches an upper limit.

3D記憶體架構能夠解決平面存儲單元中的密度限制。3D記憶體架構包括記憶體陣列以及週邊元件,所述週邊元件用於控制至記憶體陣列的訊號以及控制來自記憶體陣列的訊號。 3D memory architectures can address density limitations in planar memory cells. A 3D memory architecture includes a memory array and peripheral components for controlling signals to and from the memory array.

本文公開了3D記憶體以及製造所述3D記憶體的製造方法的實施例。 Embodiments of a 3D memory and a manufacturing method for manufacturing the 3D memory are disclosed herein.

在一個示例中,一種用於形成3D記憶體的方法包括以下操作。首先,在基底上方交替設置的多個第一層和多個第二層的堆疊結構中形成初始通道孔。在所述初始通道孔的側壁上的每個第一層的側表面和每個第二層的側表面之間形成偏移,以形成通道孔。還通過利用通道形成結構填充所述通道孔來形 成半導體通道。所述半導體通道可以具有記憶體層,所述記憶體層具有圍繞每個第二層的底部的第一記憶體部分以及連接相鄰的第一記憶體部分的第二記憶體部分。所述第一記憶體部分和所述第二記憶體部分可以沿著垂直於所述基底的頂表面的垂直方向交錯。此外,基於所述多個第二層形成多個導體層,並且在相鄰導體層之間形成包括至少一個氮氧化矽子層的閘極到閘極介電層。 In one example, a method for forming a 3D memory includes the following operations. First, initial channel holes are formed in a stacked structure of a plurality of first layers and a plurality of second layers alternately arranged above the substrate. An offset is formed between the side surface of each first layer and the side surface of each second layer on the sidewall of the initial channel hole to form the channel hole. Also by filling the channel hole with a channel forming structure to form into a semiconductor channel. The semiconductor channel may have a memory layer with a first memory portion surrounding the bottom of each second layer and a second memory portion connecting adjacent first memory portions. The first memory portion and the second memory portion may be staggered along a vertical direction perpendicular to the top surface of the substrate. In addition, a plurality of conductor layers are formed based on the plurality of second layers, and a gate-to-gate dielectric layer including at least one silicon oxynitride sublayer is formed between adjacent conductor layers.

在另一示例中,一種用於形成3D記憶體的方法包括在基底上方交替設置的多個第一層和多個第二層的堆疊結構中形成初始通道孔;在所述初始通道孔的側壁上的所述每個第一層的側表面和所述每個第二層的側表面之間形成偏移,以形成通道孔;通過利用通道形成結構填充所述通道孔來形成半導體通道。所述半導體通道可以具有記憶體層,所述記憶體層包括圍繞每個第二層的底部的第一記憶體部分以及連接相鄰的第一記憶體部分的第二記憶體部分。所述第一記憶體部分和所述第二記憶體部分可以沿著垂直於所述基底的頂表面的垂直方向交錯。所述方法還可以包括去除所述多個第一層和所述多個第二層中的其中一者以及根據所述多個第一層和所述多個第二層中的剩餘一者形成閘極到閘極介電結構。 In another example, a method for forming a 3D memory includes forming an initial channel hole in a stack structure of a plurality of first layers and a plurality of second layers alternately arranged above a substrate; An offset is formed between the side surface of each of the first layers and the side surface of each of the second layers to form a channel hole; and a semiconductor channel is formed by filling the channel hole with a channel forming structure. The semiconductor channel may have a memory layer comprising a first memory portion surrounding the bottom of each second layer and a second memory portion connecting adjacent first memory portions. The first memory portion and the second memory portion may be staggered along a vertical direction perpendicular to the top surface of the substrate. The method may further include removing one of the plurality of first layers and the plurality of second layers and forming gate-to-gate dielectric structure.

在又一示例中,一種3D記憶體包括基底上方的閘極到閘極介電結構。所述閘極到閘極介電結構沿垂直於所述基底的頂表面的垂直方向在相鄰導體層之間可以包括至少一個氮氧化矽子層。在一些實施例中,所述3D記憶體還包括從所述堆疊結構的頂表面延伸到所述基底的半導體通道。所述半導體通道包括記憶體層,所述記憶體層具有圍繞每個導體層的底部的第一記憶體部分以及連接相鄰的第一記憶體部分的第二記憶體部分。所述第一記憶體部分和所述第二記憶體部分可以沿著所述垂直方向交錯。所述3D記憶體還包括從所述堆疊結構的所述頂表面延伸到所述基底的源極結構。 In yet another example, a 3D memory includes a gate-to-gate dielectric structure over a substrate. The gate-to-gate dielectric structure may include at least one silicon oxynitride sublayer between adjacent conductor layers in a vertical direction perpendicular to the top surface of the substrate. In some embodiments, the 3D memory further includes a semiconductor channel extending from the top surface of the stack structure to the substrate. The semiconductor channel includes a memory layer having a first memory portion surrounding the bottom of each conductor layer and a second memory portion connecting adjacent first memory portions. The first memory portion and the second memory portion may be staggered along the vertical direction. The 3D memory also includes a source structure extending from the top surface of the stack structure to the substrate.

10:基底 10: Base

14:半導體通道 14: Semiconductor channel

16:摻雜區 16: Doping area

17:閘極到閘極介電層 17: Gate to Gate Dielectric Layer

17-1,17-2:複合層 17-1, 17-2: composite layer

18:導體層 18: Conductor layer

19:介電芯 19: Dielectric core

20:基底 20: base

21:堆疊結構 21:Stack structure

22:初始通道孔 22: Initial channel hole

24:半導體通道 24: Semiconductor channel

25:第一初始縫隙開口 25: First initial slit opening

29:介電芯 29: Dielectric core

35A,35B:第二初始縫隙開口 35A, 35B: Second initial slit opening

36:摻雜區 36: Doping area

37:閘極到閘極介電層 37:Gate to gate dielectric layer

37-1,37-2:複合層 37-1, 37-2: composite layer

38:導體層 38: conductor layer

44:半導體通道 44: Semiconductor channel

45A,45B:第二初始開口 45A, 45B: second initial opening

46:摻雜區 46: Doping area

47:閘極到閘極介電層 47:Gate to gate dielectric layer

47-1,47-2:複合層 47-1, 47-2: composite layer

48:導體層 48: conductor layer

50:基底 50: base

51:堆疊結構 51:Stack structure

52:通道孔 52: Channel hole

54:半導體通道 54: Semiconductor channel

55:第一初始縫隙開口 55: First initial slit opening

55A,55B:第二初始縫隙開口 55A, 55B: Second initial slit opening

56:摻雜區 56: Doping area

57:閘極到閘極介電層 57:Gate to gate dielectric layer

57-1,57-2:複合層 57-1, 57-2: composite layer

58:導體層 58: Conductor layer

59:介電芯 59: Dielectric core

61:氧化層 61: oxide layer

62:橫向凹陷 62: Horizontal depression

65A,65B:第二初始縫隙開口 65A, 65B: Second initial slit opening

66:摻雜區 66: Doping area

67:閘極到閘極介電層 67:Gate to gate dielectric layer

67-1,67-2:複合層 67-1,67-2: composite layer

68:導體層 68: conductor layer

101,102,103,104,105,106:記憶體 101,102,103,104,105,106: memory

120:絕緣結構 120: Insulation structure

121:源極接觸部 121: source contact

124:黏合層 124: Adhesive layer

131:阻擋層 131: barrier layer

132:記憶體層 132: Memory layer

132-1:垂直部位 132-1: vertical part

132-2:橫向部位 132-2: Lateral part

132a:第一記憶體部位 132a: first memory location

132a-1:垂直部位 132a-1: vertical part

132a-2:橫向部位 132a-2: Lateral part

132b:第二記憶體部位 132b: Second memory location

133:通道層 133: Channel layer

134:半導體層 134: semiconductor layer

170:介電層 170: dielectric layer

173:氣隙 173: air gap

200:結構 200: structure

211:第一層 211: first floor

212:第二層 212: second floor

222:通道孔 222: Channel hole

224:偏移 224: Offset

231:阻擋層 231: barrier layer

232:記憶體層 232: Memory layer

232-1:垂直部位 232-1: vertical part

232-2:橫向部位 232-2: Lateral part

232a:第一記憶體部位 232a: The first memory location

232a-2:橫向部位 232a-2: Lateral part

232b:第二記憶體部位 232b: Second memory location

233:穿隧層 233: Tunneling layer

234:半導體層 234: semiconductor layer

320A,320B:絕緣結構 320A, 320B: insulation structure

321:源極接觸部 321: source contact

350A,350B:縫隙開口 350A, 350B: slot opening

373:氣隙 373: air gap

420A,420B:絕緣結構 420A, 420B: insulation structure

421:源極接觸部 421: source contact

431:阻擋層 431: barrier layer

432:記憶體層 432: memory layer

432-1:垂直部位 432-1: vertical part

432-2:橫向部位 432-2: Lateral part

433:穿隧層 433: Tunneling layer

450A,450B:縫隙開口 450A, 450B: slot opening

473:氣隙 473: air gap

511:第一層 511: first floor

512:第二層 512: second floor

520A,520B:絕緣結構 520A, 520B: insulation structure

521:源極接觸部 521: source contact

531:阻擋層 531: barrier layer

531m:阻擋材料層 531m: barrier material layer

532:記憶體層 532: memory layer

532m:記憶體材料層 532m: memory material layer

533:穿隧層 533: Tunneling layer

533m:穿隧材料層 533m: Tunneling material layer

534:半導體層 534: Semiconductor layer

550A,550B:縫隙開口 550A, 550B: slot opening

573:氣隙 573: air gap

620A,620B:絕緣結構 620A, 620B: insulation structure

621:源極接觸部 621: source contact

624:黏合層 624: Adhesive layer

650A,650B:縫隙開口 650A, 650B: slot opening

670:未反應介電層 670: Unreacted dielectric layer

900:製程 900: Process

902,904,906,908:操作 902, 904, 906, 908: Operation

920:製程 920: Process

922,924,926,928,930,932:操作 922, 924, 926, 928, 930, 932: Operation

940:流程圖 940: Flowchart

942,944,946,948,950,952,954:操作 942,944,946,948,950,952,954: Operation

960:流程圖 960: Flowchart

962,964,966,968,970:操作 962, 964, 966, 968, 970: Operation

1000:流程圖 1000: flow chart

1002,1004,1006,1008:操作 1002, 1004, 1006, 1008: Operation

X31:阻擋層 X31: barrier layer

X31a:第一阻擋層 X31a: first barrier layer

X31b:第二阻擋層 X31b: Second barrier layer

X31c:第一介電層 X31c: first dielectric layer

X31d:第二介電層 X31d: Second dielectric layer

X32:記憶體層 X32: memory layer

X32a:第一記憶體子層 X32a: First memory sublayer

X32b:第二記憶體子層 X32b: Second memory sublayer

X33:穿隧層 X33: tunneling layer

X33a:第一穿隧子層 X33a: First tunneling sublayer

X33b:第二穿隧子層 X33b: Second tunneling sublayer

X8-1,X8-2:複合層 X8-1, X8-2: composite layer

X81,X82:子層 X81,X82: sublayer

X83:氣隙 X83: air gap

附圖被併入本文並形成說明書的一部分,其例示了本揭露書之實施例並與說明書一起進一步用以解釋本發明之原理,並使相關領域的技術人員能夠做出和使用本案公開之內容。 The accompanying drawings, which are incorporated in and form a part of this specification, illustrate embodiments of the disclosure and together with the description further serve to explain the principles of the invention and to enable those skilled in the relevant art to make and use the disclosure disclosed herein .

第1A-1F圖均示出了根據本案一些實施例的3D記憶體的一部分的截面圖。 Figures 1A-1F each show a cross-sectional view of a portion of a 3D memory according to some embodiments of the present disclosure.

第2A-2G圖示出了根據本案一些實施例在示範性製造過程的不同階段的3D記憶體的結構。 Figures 2A-2G illustrate the structure of a 3D memory at various stages in an exemplary fabrication process according to some embodiments of the present disclosure.

第3A-3J圖示出了根據本案一些實施例在另一示範性製造過程的不同階段的3D記憶體的結構。 Figures 3A-3J illustrate the structure of a 3D memory at various stages in another exemplary fabrication process according to some embodiments of the present disclosure.

第4A-4G圖示出了根據本案一些實施例在另一示範性製造過程的不同階段的3D記憶體的結構。 Figures 4A-4G illustrate the structure of a 3D memory at various stages in another exemplary fabrication process according to some embodiments of the present disclosure.

第5A-5J圖示出了根據本案一些實施例在另一示範性製造過程的不同階段的3D記憶體的結構。 Figures 5A-5J illustrate the structure of a 3D memory at various stages in another exemplary fabrication process according to some embodiments of the present disclosure.

第6A-6I圖示出了根據本案一些實施例在另一示範性製造過程的不同階段的3D記憶體的結構。 Figures 6A-6I illustrate the structure of a 3D memory at various stages in another exemplary fabrication process according to some embodiments of the present disclosure.

第7A-7C圖均示出了根據本案一些實施例的阻擋層、記憶體層和穿隧層的截面圖。 Figures 7A-7C all show cross-sectional views of barrier layers, memory layers, and tunneling layers according to some embodiments of the present invention.

第8A-8B圖均示出了根據本案一些實施例的閘極到閘極介電層的截面圖。 Figures 8A-8B each illustrate a cross-sectional view of a gate-to-gate dielectric layer according to some embodiments of the present disclosure.

第9A圖示出了根據本案一些實施例用於在堆疊結構中形成半導體通道的示範性方法的流程圖。 Figure 9A shows a flowchart of an exemplary method for forming a semiconductor channel in a stacked structure according to some embodiments of the present disclosure.

第9B-9D圖均示出了根據本案一些實施例在第9A圖的方法之後,用於形成3D記憶體的示範性方法的流程圖。 Figures 9B-9D each show a flowchart of an exemplary method for forming a 3D memory following the method of Figure 9A according to some embodiments of the present disclosure.

第10圖示出了根據本案一些實施例用於形成另一3D記憶體的示範性方法的 流程圖。 Figure 10 shows a diagram of an exemplary method for forming another 3D memory according to some embodiments of the present invention flow chart.

將參考附圖描述本揭露書之實施例。 Embodiments of the present disclosure will be described with reference to the drawings.

儘管討論了具體的配置和設置,但應該理解,這僅僅是為了說明的目的而進行的。相關領域的技術人員將認識到,在不脫離本案公開的精神和範圍的情況下,可以使用其他配置和設置。對於相關領域的技術人員顯而易見的是,本案公開的內容還可以用於各種其他應用中。 While specific configurations and settings are discussed, it should be understood that this is done for illustration purposes only. A person skilled in the relevant art will recognize that other configurations and arrangements can be used without departing from the spirit and scope of the present disclosure. It will be apparent to those skilled in the relevant art that the present disclosure may also be used in various other applications.

應當注意到,在說明書中對「一個實施例」、「實施例」、「示例性實施例」、「一些實施例」等的引用指示所描述的實施例可以包括特定的特徵、結構或特性,但是每個實施例可能不一定包括該特定的特徵、結構或特性。而且,這樣的詞語不一定是指代相同的實施例。此外,當結合實施例描述特定特徵、結構或特性時,無論是否明確描述,結合其他實施例來實現這樣的特徵、結構或特性都在相關領域的技術人員的知識範圍內。 It should be noted that references in the specification to "one embodiment," "an embodiment," "exemplary embodiment," "some embodiments," etc. indicate that the described embodiments may include particular features, structures, or characteristics, But each embodiment may not necessarily include that particular feature, structure or characteristic. Moreover, such terms are not necessarily referring to the same embodiment. Furthermore, when a particular feature, structure, or characteristic is described in conjunction with an embodiment, it is within the purview of those skilled in the relevant arts to implement such feature, structure, or characteristic in conjunction with other embodiments, whether or not explicitly described.

通常,可以至少部分地從上下文中的用法來理解術語。例如,至少部分取決於上下文,如本文所使用的術語「一或多個」可用於以單數意義描述任何特徵、結構或特性,或可用於以複數意義描述特徵、結構或特徵的組合。類似地,至少部分取決於上下文,諸如「一」、「一個」或「所述」等術語同樣可以被理解為表達單數用法或表達複數用法。另外,術語「基於」可以被理解為不一定旨在表達一組排他性的因素,而是可以替代地,同樣至少部分地取決於上下文,允許存在不一定明確描述的其他因素。 In general, a term can be understood, at least in part, from its usage in context. For example, the term "one or more" as used herein may be used to describe any feature, structure or characteristic in the singular or may be used to describe a feature, structure or combination of characteristics in the plural, depending at least in part on the context. Similarly, terms such as "a", "an" or "the" may equally be read to express singular usage or to express plural usage, depending at least in part on the context. Additionally, the term "based on" may be understood as not necessarily intended to express an exclusive set of factors, but may instead, again at least in part depending on the context, allow for the presence of other factors not necessarily expressly described.

應當容易理解的是,本揭露書中的「在……上」、「在……上方」和「在……之上」的含義應以最廣義的方式來解釋,使得「在……上」不僅意味著「直接在某物上」,而且還包括其間具有中間特徵或層的「在某物上」的含義, 並且「在……之上」或「在……上方」不僅意味著「在某物之上」或「在某物上方」的含義,而且還可以包括其間沒有中間特徵或層的「在某物之上」或「在某物上方」的含義(即,直接在某物上)。 It should be readily understood that the meanings of "on", "over" and "over" in this disclosure are to be interpreted in the broadest possible manner such that "on" Not only does it mean "directly on something", but it also includes the meaning of "on something" with intermediate features or layers in between, And "on" or "over" not only means the meaning of "on something" or "above something", but can also include "on something" without an intermediate feature or layer in between. "over" or "over something" (i.e., directly on something).

此外,為了便於描述,可以在本文使用諸如「在……之下」、「在……下方」、「下」、「在……上方」、「上」等空間相對術語來描述如圖所示的一個元件或特徵與另一個(或多個)元件或特徵的關係。除了附圖中所示的位向之外,空間相對術語旨在涵蓋元件在使用或操作中的不同位向。設備可以以其他的方式來定向(旋轉90度或在其他取向上)並且同樣可以相應地解釋本文中使用的空間相關描述詞。 In addition, for the convenience of description, spatially relative terms such as "under", "below", "below", "above", "on" and other spatially relative terms may be used herein to describe The relationship of one element or feature to another (or more) elements or features. Spatially relative terms are intended to encompass different orientations of elements in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

如本文所使用的,術語「基底」是指在其上添加後續材料層的材料。基底本身可以被圖案化。添加在基底頂部上的材料可以被圖案化或可以保持未圖案化。此外,基底可以包括各種各樣的半導體材料,例如矽、鍺、砷化鎵、磷化銦等。或者,可以是由非導電材料(例如玻璃、塑膠或藍寶石晶圓)製成基底。 As used herein, the term "substrate" refers to a material on which subsequent layers of material are added. The substrate itself can be patterned. Materials added on top of the substrate can be patterned or can remain unpatterned. In addition, the substrate can include various semiconductor materials, such as silicon, germanium, gallium arsenide, indium phosphide, and the like. Alternatively, the substrate can be made of a non-conductive material such as glass, plastic or sapphire wafer.

如本文所使用的,術語「層」是指包括具有厚度的區域的材料部分。層可以在整個下層或上層結構上方延伸,或者其範圍可以小於下層或上層結構的範圍。此外,層可以是厚度小於連續結構的厚度的均勻或不均勻連續結構的區域。例如,層可以位於連續結構的頂表面和底表面之間的任何一對水平平面之間或在頂表面和底表面處。層可以橫向、垂直和/或沿著錐形表面延伸。基底可以是層,基底可以在其中包括一或多層,和/或基底可以在其上、上方和/或其下具有一或多層。層可以包括多個層。例如,互連層可以包括一或多個導體和接觸部層(其中形成有互連線和/或通孔接觸部)以及一或多個介電層。 As used herein, the term "layer" refers to a portion of material that includes regions having a thickness. A layer may extend over the entire underlying or superstructure, or its extent may be less than the extent of the underlying or superstructure. Furthermore, a layer may be a region of a uniform or non-uniform continuous structure with a thickness less than that of the continuous structure. For example, a layer may be located between or at any pair of horizontal planes between the top and bottom surfaces of the continuous structure. Layers may extend laterally, vertically and/or along the tapered surface. A substrate can be a layer, a substrate can include one or more layers therein, and/or a substrate can have one or more layers thereon, above, and/or below. Layers may include multiple layers. For example, an interconnect layer may include one or more conductor and contact layers in which interconnect lines and/or via contacts are formed, and one or more dielectric layers.

如本文所使用的,術語「標稱/標稱上」是指在產品或製程的設計階段期間設定的部件或製程操作的特性或參數的期望值或目標值、以及高於和/或 低於期望值的值的範圍。值的範圍可以是由於製程或公差的輕微變化而引起的。如本文所使用的,術語「大約」表示可以基於與主題半導體元件相關聯的特定技術節點而變化的給定量值。基於特定的技術節點,術語「大約」可以表示給定量的值,該給定量的值例如在該值的10-30%內變化(例如,值的±10%、±20%或±30%)。 As used herein, the term "nominal" refers to an expected or target value for a characteristic or parameter of a component or process operation set during the design phase of a product or process, and above and/or The range of values below the expected value. The range of values may be due to slight variations in process or tolerances. As used herein, the term "about" denotes a given quantitative value that may vary based on the particular technology node associated with the subject semiconductor device. The term "about" may mean a value of a given quantity that varies, for example, within 10-30% of that value (e.g., ±10%, ±20%, or ±30% of the value) based on a particular technology node .

如本文所使用的,術語「3D記憶體」是指在橫向取向的基底上具有垂直取向的儲存單元電晶體串(在本文中稱為「記憶體串」,例如NAND記憶體串)的半導體元件,使得記憶體串相對於基底在垂直方向上延伸。如本文所使用的,術語「垂直/垂直地」表示標稱上垂直於基底的橫向表面。 As used herein, the term "3D memory" refers to a semiconductor device having vertically oriented memory cell transistor strings (referred to herein as "memory strings", such as NAND memory strings) on a laterally oriented substrate , so that the memory strings extend vertically relative to the substrate. As used herein, the term "perpendicularly" means nominally perpendicular to a lateral surface of a substrate.

如本文所使用的,術語「階梯」、「臺階」和「層級」可以互換使用。如本文所使用的,階梯結構是指包括至少兩個水平表面和至少兩個垂直表面的一組表面,使得每個水平表面連接到從水平表面的第一邊緣向上延伸的第一垂直表面,並連接到從水平表面的第二邊緣向下延伸的第二垂直表面。「階梯」是指一組相連表面高度的垂直偏移。 As used herein, the terms "ladder", "step" and "level" are used interchangeably. As used herein, a stepped structure refers to a set of surfaces comprising at least two horizontal surfaces and at least two vertical surfaces such that each horizontal surface is connected to a first vertical surface extending upward from a first edge of the horizontal surface, and Connected to a second vertical surface extending downwardly from a second edge of the horizontal surface. "Stairway" refers to the vertical offset of a set of connected surface heights.

如本文所使用的,x軸和y軸(垂直於x-z平面)水平延伸並形成水平平面。水平平面基本平行於基底的頂表面。如本文所使用的,z軸垂直延伸,即沿著垂直於水平平面的方向延伸。術語「x軸」和「y軸」可以與「水平方向」互換使用,術語「x-y平面」可以與「水平平面」互換使用,術語「z軸」可以與「垂直方向」互換使用。 As used herein, the x-axis and y-axis (perpendicular to the x-z plane) extend horizontally and form a horizontal plane. The horizontal plane is substantially parallel to the top surface of the substrate. As used herein, the z-axis extends vertically, ie along a direction perpendicular to the horizontal plane. The terms "x-axis" and "y-axis" are used interchangeably with "horizontal direction", the term "x-y plane" is used interchangeably with "horizontal plane", and the term "z-axis" is used interchangeably with "vertical direction".

隨著3D記憶體為了更高儲存容量而縮小,更多充當3D記憶體的閘電極的導體層被堆疊於指定空間之內的基底上方。相鄰導體層沿垂直方向(即垂直於基底頂表面的方向)之間的間距減小,導致相鄰導體層之間的更薄的閘極到閘極介電層。常規上,閘極到閘極介電層主要包括氧化矽(SiOx,例如SiO),其絕緣性在很大程度上受到其厚度和相鄰導體層之間膜品質的影響。由於微縮 的原因,由氧化矽製成的更薄的閘極到閘極介電層因此可能易受閘極到閘極洩漏甚至擊穿。此外,相鄰導體層之間的減小間距還可能導致電荷損失增大。例如,由於相鄰記憶體單元之間的距離更小,記憶體單元中捕獲的電荷更可能從記憶體單元逃逸並沿著記憶體層(例如,沿其延伸方向)行進。結果,可能影響記憶體層中的資料保持,記憶體單元上的操作(例如,讀取、寫入和/或保存)精度可能會降低。 As 3D memory shrinks for higher storage capacity, more conductor layers serving as gate electrodes of the 3D memory are stacked on top of the substrate within a given space. The spacing between adjacent conductor layers in the vertical direction (ie, the direction perpendicular to the top surface of the substrate) is reduced, resulting in a thinner gate-to-gate dielectric layer between adjacent conductor layers. Conventionally, the gate-to-gate dielectric layer mainly consists of silicon oxide (SiO x , such as SiO), whose insulating properties are largely affected by its thickness and film quality between adjacent conductor layers. Thinner gate-to-gate dielectrics made of silicon oxide may therefore be susceptible to gate-to-gate leakage and even breakdown due to scaling. Furthermore, the reduced spacing between adjacent conductor layers may also result in increased charge loss. For example, charge trapped in a memory cell is more likely to escape from the memory cell and travel along the memory layer (eg, along its direction of extension) due to the smaller distance between adjacent memory cells. As a result, data retention in the memory layer may be affected, and the precision of operations (eg, read, write, and/or save) on the memory cells may be reduced.

根據本揭露書的各實施例提供了3D記憶體的結構和製造方法,其解決了與更薄的閘極到閘極介電層相關聯的上述問題。本案的實施例提供了一種在相鄰導體層之間具有至少一個複合層的閘極到閘極介電層。複合層包括至少一個氮氧化矽(SiOxNy,例如SiON)子層。作為高k介電材料,氮氧化矽可以在相鄰導體層之間提供更好的電絕緣。即使在相鄰導體層之間具有更小厚度,閘極到閘極介電層也能夠減小洩漏和耦合的易發性。在一些實施例中,閘極到閘極介電層至少包括相鄰導體層之間的氣隙。在一些實施例中,閘極到閘極介電層包括一對複合層以及兩個複合層之間的氣隙,每個複合層在相鄰導體層的不同導體層上。在一些實施例中,閘極到閘極介電層包括填滿相鄰導體層之間空間的複合層,之間沒有任何氣隙。複合層可以包括至少氮氧化矽子層。在一些實施例中,複合層包括多個子層,其具有至少一個氮氧化矽子層,每個氮氧化矽子層都被氧化矽子層和/或氮化矽子層夾置。例如,複合層可以包括多個交替設置的氮氧化矽子層和氧化矽子層。 Embodiments in accordance with the present disclosure provide structures and fabrication methods for 3D memory that address the aforementioned problems associated with thinner gate-to-gate dielectric layers. Embodiments of the present disclosure provide a gate-to-gate dielectric having at least one composite layer between adjacent conductor layers. The composite layer includes at least one silicon oxynitride (SiO x N y , eg SiON) sublayer. As a high-k dielectric material, silicon oxynitride can provide better electrical isolation between adjacent conductor layers. Even with a smaller thickness between adjacent conductor layers, the gate-to-gate dielectric layer can reduce the susceptibility to leakage and coupling. In some embodiments, the gate-to-gate dielectric layer includes at least an air gap between adjacent conductor layers. In some embodiments, the gate-to-gate dielectric layer includes a pair of composite layers, each composite layer on a different one of the adjacent conductor layers, and an air gap between the two composite layers. In some embodiments, the gate-to-gate dielectric layer includes a composite layer that fills the space between adjacent conductor layers without any air gaps therebetween. The composite layer may include at least a silicon oxynitride sublayer. In some embodiments, the composite layer includes a plurality of sublayers having at least one silicon oxynitride sublayer, each sandwiched by a silicon oxide sublayer and/or a silicon nitride sublayer. For example, the composite layer may include a plurality of alternately arranged silicon oxynitride sub-layers and silicon oxide sub-layers.

而且,為了減少3D記憶體中的電荷損失,在一些實施例中,半導體通道中的記憶體層可以具有「彎折」結構或「截止(cut off)」結構,以在相鄰記憶體單元(例如,導體層)之間生成電荷的屏障。在「彎折」結構中,記憶體層具有多個第一記憶體部分和多個第二記憶體部分。每個第一記憶體部分部分地圍繞相應導體層,每個第二記憶體部分連接相鄰第一記憶體部分。第一記 憶體部分包括垂直部分(例如,垂直延伸)和一對橫向部分(例如,橫向延伸),它們連接在一起以部分圍繞相應導體層的底部。第一記憶體部分和第二記憶體部分因此可以通過交錯方式沿垂直方向延伸,沿垂直方向為記憶體單元(例如,第一記憶體部分)中捕獲的電荷生成屏障。記憶體層的這種結構能夠減小電荷沿垂直方向的損失。在「截止」結構中,與「彎折」結構不同,相鄰導體層之間的第二記憶體部分被去除,使得第一記憶體部分彼此斷開連接。記憶體層的這種結構能夠增強相鄰記憶體單元之間電荷的屏障。 Moreover, in order to reduce the charge loss in the 3D memory, in some embodiments, the memory layer in the semiconductor channel may have a "bend" structure or a "cut off" structure, so that adjacent memory cells (such as , Conductor layers) generate a charge barrier between them. In the "mesh" structure, the memory layer has a plurality of first memory parts and a plurality of second memory parts. Each first memory part partially surrounds the corresponding conductor layer, and each second memory part is connected to an adjacent first memory part. first note The memory portion includes a vertical portion (eg, extending vertically) and a pair of lateral portions (eg, extending laterally) connected together to partially surround the bottom of the corresponding conductor layer. The first memory portion and the second memory portion may thus extend in a vertical direction in an interleaved manner, creating a barrier for charge trapped in the memory cell (eg, the first memory portion) in the vertical direction. This structure of the memory layer can reduce the loss of charge along the vertical direction. In the "cut-off" structure, unlike the "bent" structure, the second memory parts between adjacent conductor layers are removed, so that the first memory parts are disconnected from each other. This structure of the memory layer can enhance the charge barrier between adjacent memory cells.

第1A-1E圖示出了根據本案的3D記憶體的截面圖,每個3D記憶體均具有閘極到閘極介電層。具體而言,第1A圖示出了記憶體101,其具有包括「截止」結構的記憶體層以及在相鄰導體層之間具有氣隙的閘極到閘極介電層。圖1B示出了記憶體102,其具有包括「截止」結構的記憶體層以及在相鄰導體層之間沒有氣隙的閘極到閘極介電層。第1C圖示出了記憶體103,其具有包括「彎折」結構的記憶體層以及在相鄰導體層之間具有氣隙的閘極到閘極介電層。第1D圖示出了記憶體104,其具有包括「彎折」結構的記憶體層以及在相鄰導體層之間沒有氣隙的閘極到閘極介電層。第1E圖示出了記憶體105,其具有沒有「彎折」結構或「截止」結構的記憶體層以及在相鄰導體層之間具有氣隙的閘極到閘極介電層。第1F圖示出了記憶體106,其具有包括「彎折」結構的記憶體層以及具有夾置不同材料的介電層的一對複合層的閘極到閘極介電層。為了描述容易,圖中使用相同的符號來標記第1A-1F圖中的相同或相似部分。 Figures 1A-1E show cross-sectional views of 3D memory devices according to the present disclosure, each having a gate-to-gate dielectric layer. Specifically, FIG. 1A shows a memory memory 101 having memory layers including "stop" structures and gate-to-gate dielectric layers with air gaps between adjacent conductor layers. FIG. 1B shows memory 102 having memory layers including "stop" structures and gate-to-gate dielectric layers without air gaps between adjacent conductor layers. FIG. 1C shows a memory memory 103 having a memory layer including a "meander" structure and a gate-to-gate dielectric layer with an air gap between adjacent conductor layers. FIG. 1D shows a memory memory 104 having memory layers including a "meander" structure and gate-to-gate dielectric layers without air gaps between adjacent conductor layers. FIG. 1E shows memory 105 having memory layers without "meggle" or "off" structures and gate-to-gate dielectric layers with air gaps between adjacent conductor layers. FIG. 1F shows a memory memory 106 having a memory layer comprising a "meander" structure and a gate-to-gate dielectric layer having a pair of composite layers sandwiching dielectric layers of different materials. For ease of description, the same symbols are used in the figures to label the same or similar parts in Figures 1A-1F.

本揭露書的實施例提供了不同類型的記憶體,該些記憶體被設置成減小導體層之間的洩漏和耦合,並防止被捕獲電荷沿著不希望的方向行進。例如,具有包括「截止」結構的半導體通道和至少包括高k介電材料(例如,氮氧化矽)子層和氣隙的閘極到閘極介電層的記憶體可以由記憶體101實現。具有包括「彎折」結構的半導體通道和至少包括高k介電材料(例如,氮氧化矽)子層 的閘極到閘極介電層的記憶體可以由記憶體103、104和106實現。通過「閘極先製」製造過程形成並具有至少包括高k介電材料(例如,氮氧化矽)子層和氣隙的閘極到閘極介電層的記憶體可以由記憶體101、103和105實現。通過「閘極先製」製造過程形成並具有包括「彎折」結構的半導體通道和至少包括高k介電材料(例如,氮氧化矽)子層和氣隙的閘極到閘極介電層的記憶體可以由記憶體103實現。具有包括「截止」結構的半導體通道和至少包括高k介電材料(例如,氮氧化矽)子層的閘極到閘極介電層的記憶體可以由記憶體101和102實現。下文詳細描述了記憶體的結構和製造過程。 Embodiments of the present disclosure provide different types of memory that are configured to reduce leakage and coupling between conductor layers and prevent trapped charges from traveling in undesired directions. For example, a memory with a semiconductor channel including a "stop" structure and a gate-to-gate dielectric layer including at least a sublayer of high-k dielectric material (eg, silicon oxynitride) and an air gap can be implemented by memory 101 . Having a semiconductor channel including a "bend" structure and at least sublayers including a high-k dielectric material (eg, silicon oxynitride) The gate-to-gate dielectric layer memory can be realized by memory 103 , 104 and 106 . Memories 101, 103 and 105 realized. Formed by a "gate-first" fabrication process and having a semiconductor channel including a "bend" structure and a gate-to-gate dielectric layer including at least a sublayer of high-k dielectric material (eg, silicon oxynitride) and an air gap The memory can be realized by the memory 103 . Memories 101 and 102 may be implemented with a semiconductor channel including a "stop" structure and a gate-to-gate dielectric layer including at least a sublayer of high-k dielectric material (eg, silicon oxynitride). The structure and manufacturing process of the memory is described in detail below.

如第1A圖所示,記憶體101包括基底10、堆疊於基底10上方的多個導體層18以及均在相鄰導體層18之間並使其絕緣的多個閘極到閘極介電層17。導體層18、基底10和閘極到閘極介電層17可以形成堆疊結構。記憶體101可以包括多個半導體通道14,每個半導體通道都通過堆疊結構垂直延伸(例如,沿垂直於基底10的頂表面的方向或y方向)到基底10中。記憶體101還可以包括多個延伸穿過堆疊結構並進入基底10中的源極結構。每個源極結構可以包括基底10中的摻雜區16、延伸穿過堆疊結構的絕緣結構120,以及在絕緣結構120中延伸並接觸摻雜區16的源極接觸部121。源極接觸部121可以通過摻雜區16和基底10電連接到半導體通道14。 As shown in FIG. 1A, the memory 101 includes a substrate 10, a plurality of conductive layers 18 stacked on the substrate 10, and a plurality of gate-to-gate dielectric layers between and insulating adjacent conductive layers 18. 17. The conductor layer 18, the substrate 10 and the gate-to-gate dielectric layer 17 may form a stack structure. The memory 101 may include a plurality of semiconductor channels 14 each extending vertically (eg, in a direction perpendicular to the top surface of the substrate 10 or the y-direction) into the substrate 10 through a stack structure. The memory 101 may also include a plurality of source structures extending through the stack structure and into the substrate 10 . Each source structure may include a doped region 16 in the substrate 10 , an insulating structure 120 extending through the stack structure, and a source contact 121 extending in the insulating structure 120 and contacting the doped region 16 . The source contact 121 may be electrically connected to the semiconductor channel 14 through the doped region 16 and the substrate 10 .

基底10可以包括矽(例如,單晶矽)、矽鍺(SiGe)、砷化鎵(GaAs)、鍺(Ge)、覆矽絕緣體(SOI)和/或任何其他適當材料。在一些實施例中,基底10包括矽。 Substrate 10 may include silicon (eg, monocrystalline silicon), silicon germanium (SiGe), gallium arsenide (GaAs), germanium (Ge), silicon-on-insulator (SOI), and/or any other suitable material. In some embodiments, substrate 10 includes silicon.

導體層18可以包括導電材料,其包括但不限於鎢(W)、鈷(Co)、銅(Cu)、鋁(Al)、多晶矽(多晶矽)、摻雜矽、矽化物或其任意組合。 Conductor layer 18 may comprise a conductive material including, but not limited to, tungsten (W), cobalt (Co), copper (Cu), aluminum (Al), polysilicon (polysilicon), doped silicon, silicide, or any combination thereof.

閘極到閘極介電層17可以包括一或多個複合層以及相鄰導體層18之間的至少一個氣隙。在本揭露書中,用於使堆疊結構中的多個導體層18(例如, 從堆疊結構的頂部到底部的所有導體層18)絕緣的多個閘極到閘極介電層17可以被稱為閘極到閘極介電結構。在一些實施例中,閘極到閘極介電層17包括一對複合層17-1和17-2以及複合層17-1和17-2之間的氣隙173。在一些實施例中,複合層17-1和17-2可以形成於相鄰導體層18之間的空間中,並可以在相鄰導體層18的相對表面上。在一些實施例中,複合層,例如17-1或17-2的厚度可以小於大約5nm,例如小於5nm(例如,0.5nm、1nm、1.5nm、2nm、2.5nm、3nm、3.5nm、4nm、4.5nm,下端由這些值中的任一個限定的任何範圍、或者在由這些值中的任何兩個限定的任何範圍內)。在一些實施例中,氣隙173的厚度可以取決於複合層17-1和17-2的厚度以及相鄰導體層18之間的間距。 The gate-to-gate dielectric layer 17 may include one or more composite layers and at least one air gap between adjacent conductor layers 18 . In this disclosure, it is used to make a plurality of conductor layers 18 in a stacked structure (for example, The plurality of gate-to-gate dielectric layers 17 insulating all conductor layers 18) from top to bottom of the stack structure may be referred to as a gate-to-gate dielectric structure. In some embodiments, the gate-to-gate dielectric layer 17 includes a pair of composite layers 17-1 and 17-2 and an air gap 173 between the composite layers 17-1 and 17-2. In some embodiments, composite layers 17 - 1 and 17 - 2 may be formed in spaces between adjacent conductor layers 18 and may be on opposing surfaces of adjacent conductor layers 18 . In some embodiments, the thickness of the composite layer, such as 17-1 or 17-2, may be less than about 5 nm, such as less than 5 nm (e.g., 0.5 nm, 1 nm, 1.5 nm, 2 nm, 2.5 nm, 3 nm, 3.5 nm, 4 nm, 4.5 nm, the lower end of any range bounded by either of these values, or within any range bounded by any two of these values). In some embodiments, the thickness of air gap 173 may depend on the thickness of composite layers 17 - 1 and 17 - 2 and the spacing between adjacent conductor layers 18 .

閘極到閘極介電層17可以包括至少一個高k介電材料,例如氮氧化矽的子層。在一些實施例中,根據導體層18的材料,高k介電材料還可以包括氮氧化矽之外的材料。在一些實施例中,每個複合層,例如17-1和17-2可以包括氮氧化矽子層。閘極到閘極介電層17還可以包括其他材料的子層。在一些實施例中,每個複合層,例如17-1和17-2可以至少包括氧化矽子層和/或氮化矽子層。在一些實施例中,每個複合層,例如17-1和17-2,可以包括多個子層,其具有至少一個氮氧化矽子層、至少一個氧化矽子層和至少一個氮化矽子層。在一些實施例中,每個複合層,例如17-1和17-2可以具有被設置為O/ON/O/ON/O的子層堆疊,其中「O」代表氧化矽,「ON」代表氮氧化矽。在一些實施例中,每個複合層,例如17-1和17-2可以具有被設置成O/ON/O/N/O/ON/O的子層堆疊。在一些實施例中,沿著垂直方向,導體層18和形成於導體層18上的複合層(在導體層18的上下表面上)位於垂直部分132-1的端部之間限定的空間中。在一些實施例中,導體層18和相應複合層的總厚度小於垂直部分132-1的端部之間的距離。在一些實施例中,背離相應垂直部分的橫向部分132-2的端部被相應的閘極到閘極介電層17裸露。例如,該端部可以被相應的閘極到閘極介電層17的氣隙173所裸露。在一 些實施例中,與17-1或17-2類似或相同的複合層可以形成於基底10的頂表面上。 The gate-to-gate dielectric layer 17 may include at least one sublayer of high-k dielectric material, such as silicon oxynitride. In some embodiments, according to the material of the conductive layer 18 , the high-k dielectric material may also include materials other than silicon oxynitride. In some embodiments, each composite layer, such as 17-1 and 17-2, may include a silicon oxynitride sublayer. The gate-to-gate dielectric layer 17 may also include sublayers of other materials. In some embodiments, each composite layer, such as 17-1 and 17-2, may include at least a silicon oxide sublayer and/or a silicon nitride sublayer. In some embodiments, each composite layer, such as 17-1 and 17-2, may include multiple sublayers having at least one silicon oxynitride sublayer, at least one silicon oxide sublayer, and at least one silicon nitride sublayer . In some embodiments, each composite layer, such as 17-1 and 17-2, may have a sublayer stack configured as O/ON/O/ON/O, where "O" stands for silicon oxide and "ON" stands for Silicon oxynitride. In some embodiments, each composite layer, such as 17-1 and 17-2, may have a sub-layer stack arranged as O/ON/O/N/O/ON/O. In some embodiments, along the vertical direction, the conductor layer 18 and the composite layer formed on the conductor layer 18 (on the upper and lower surfaces of the conductor layer 18 ) are located in the space defined between the ends of the vertical portion 132 - 1 . In some embodiments, the total thickness of the conductor layer 18 and the corresponding composite layer is less than the distance between the ends of the vertical portion 132-1. In some embodiments, the ends of the lateral portions 132 - 2 facing away from the respective vertical portions are exposed by the respective gate-to-gate dielectric layer 17 . For example, the ends may be exposed by the corresponding gate-to-gate dielectric layer 17 air gap 173 . In a In some embodiments, a composite layer similar or identical to 17-1 or 17-2 may be formed on the top surface of the substrate 10.

第8A圖示出了閘極到閘極介電層17的範例性結構。如第8A圖所示,x81代表氧化矽子層,x82代表氮氧化矽子層,x83代表氣隙。其中一相鄰導體層18上的子層x81、x82和x83可以形成複合層x8-1,另一相鄰導體層18上的子層x81、x82和x83可以形成另一複合層x8-2。複合層x8-1、x8-2和氣隙x83可以形成閘極到閘極介電層17。應該指出的是,複合層中子層的數量不應受到本案實施例的限制。在一些實施例中,每個複合層x8-1和x8-2的厚度小於約5nm,例如小於5nm(例如,0.5nm、1nm、1.5nm、2nm、2.5nm、3nm、3.5nm、4nm、4.5nm,下端由這些值中的任一個限定的任何範圍、或者在由這些值中的任何兩個限定的任何範圍內)。 FIG. 8A shows an exemplary structure of the gate-to-gate dielectric layer 17 . As shown in FIG. 8A, x81 represents the silicon oxide sublayer, x82 represents the silicon oxynitride sublayer, and x83 represents the air gap. The sublayers x81 , x82 and x83 on one adjacent conductor layer 18 can form a composite layer x8 - 1 , and the sublayers x81 , x82 and x83 on another adjacent conductor layer 18 can form another composite layer x8 - 2 . Composite layers x8 - 1 , x8 - 2 and air gap x83 may form gate-to-gate dielectric layer 17 . It should be noted that the number of sub-layers in the composite layer should not be limited by the embodiment of the present case. In some embodiments, the thickness of each composite layer x8-1 and x8-2 is less than about 5 nm, such as less than 5 nm (eg, 0.5 nm, 1 nm, 1.5 nm, 2 nm, 2.5 nm, 3 nm, 3.5 nm, 4 nm, 4.5 nm, the lower end of any range bounded by either of these values, or within any range bounded by any two of these values).

半導體通道14可以包括沿著從側壁朝向半導體通道14的中心的徑向方向設置的阻擋層131、記憶體層132、穿隧層133、半導體層134和介電芯19。阻擋層131可以包括多個阻擋部分,每個阻擋部分在相應導體層18的底部下方並彼此斷開連接。記憶體層132可以包括多個記憶體部分,每個記憶體部分在相應導體層18的底部下方並部分圍繞相應導體層18。每個記憶體部分可以彼此斷開連接。記憶體部分可以包括垂直部分132-1(例如,沿垂直方向或y方向延伸)和至少一個連接到垂直部分132-1的橫向部分132-2(例如,沿橫向方向或x方向延伸)。在一些實施例中,記憶體部分包括垂直部分132-1和一對橫向部分132-2(例如,均連接到垂直部分132-1的不同端部)。橫向部分132-2的一個端部可以連接到相應垂直部分132-1,橫向部分132-2的另一端部可以背離相應垂直部分132-1(例如,由氣隙172裸露)。記憶體部分可以在相應阻擋部分下方並部分圍繞相應阻擋部分。由氣隙173裸露的穿隧層133可以在相應記憶體部分下方並部分圍繞相應記憶體部分。 The semiconductor channel 14 may include a barrier layer 131 , a memory layer 132 , a tunneling layer 133 , a semiconductor layer 134 and a dielectric core 19 disposed along a radial direction from sidewalls toward the center of the semiconductor channel 14 . The barrier layer 131 may include a plurality of barrier portions each under the bottom of the corresponding conductor layer 18 and disconnected from each other. The memory layer 132 may include a plurality of memory portions, each memory portion is below the bottom of the corresponding conductor layer 18 and partially surrounds the corresponding conductor layer 18 . Each memory section can be disconnected from each other. The memory portion may include a vertical portion 132-1 (eg, extending in a vertical or y-direction) and at least one lateral portion 132-2 (eg, extending in a lateral or x-direction) connected to the vertical portion 132-1. In some embodiments, the memory portion includes a vertical portion 132-1 and a pair of lateral portions 132-2 (eg, each connected to different ends of the vertical portion 132-1). One end of the lateral portion 132-2 may be connected to the corresponding vertical portion 132-1, and the other end of the lateral portion 132-2 may face away from the corresponding vertical portion 132-1 (eg, exposed by the air gap 172). The memory portion may be below and partially surround the corresponding blocking portion. The tunneling layer 133 exposed by the air gap 173 may be under and partially surround the corresponding memory portion.

阻擋層131可以減少或防止電荷逃逸到導體層18中。阻擋層131可以包 括單層結構或多層結構。例如,阻擋層131可以包括第一阻擋層和第二阻擋層。第一阻擋層可以形成於通道孔的側壁上方,第二阻擋層可以形成於第一阻擋層上方。第一阻擋層可以包括介電材料(例如,介電金屬氧化物)。例如,第一阻擋層可以包括具有充分高介電常數(例如,大於7.9)的介電金屬氧化物。第一阻擋層的示例包括AlO、氧化鉿(HfO2)、氧化鑭(LaO2)、氧化釔(Y2O3)、氧化鉭(Ta2O5)、其矽酸鹽、其摻氮化合物和/或其合金。第二阻擋層可以包括與第一阻擋層不同的介電材料。例如,第二阻擋層可以包括氧化矽、氮氧化矽和/或氮化矽。第7A圖示出了與阻擋層131相同或相似的示範性阻擋層x31。如第7A圖中所示,阻擋層x31包括第一阻擋層x31a和第二阻擋層x31b。第一阻擋層x31a可以包括高k介電層,例如AlO。第二阻擋層x31b可以包括多個橫向堆疊的介電層。例如,第二阻擋層x31b可以包括一對第一介電層x31c和第二介電層x31d,其中第二介電層x31d被第一介電層x31c夾置。在一些實施例中,第一介電層x31c包括氧化矽,第二介電層x31d包括氮氧化矽。 The blocking layer 131 may reduce or prevent charge from escaping into the conductor layer 18 . The barrier layer 131 may include a single-layer structure or a multi-layer structure. For example, the barrier layer 131 may include a first barrier layer and a second barrier layer. A first barrier layer may be formed over sidewalls of the channel holes, and a second barrier layer may be formed over the first barrier layer. The first barrier layer may include a dielectric material (eg, a dielectric metal oxide). For example, the first barrier layer may comprise a dielectric metal oxide having a sufficiently high dielectric constant (eg, greater than 7.9). Examples of the first barrier layer include AlO, hafnium oxide (HfO 2 ), lanthanum oxide (LaO 2 ), yttrium oxide (Y 2 O 3 ), tantalum oxide (Ta 2 O 5 ), silicates thereof, nitrogen-doped compounds thereof and/or alloys thereof. The second barrier layer may include a different dielectric material than the first barrier layer. For example, the second barrier layer may include silicon oxide, silicon oxynitride and/or silicon nitride. FIG. 7A shows an exemplary barrier layer x31 that is the same as or similar to barrier layer 131 . As shown in FIG. 7A, the barrier layer x31 includes a first barrier layer x31a and a second barrier layer x31b. The first barrier layer x31a may include a high-k dielectric layer, such as AlO. The second barrier layer x31b may include a plurality of laterally stacked dielectric layers. For example, the second barrier layer x31b may include a pair of a first dielectric layer x31c and a second dielectric layer x31d, wherein the second dielectric layer x31d is sandwiched by the first dielectric layer x31c. In some embodiments, the first dielectric layer x31c includes silicon oxide, and the second dielectric layer x31d includes silicon oxynitride.

記憶體層132可以包括電荷捕獲材料並可以形成於阻擋層131上方。記憶體層132可以包括單層結構或多層結構。例如,記憶體層132可以包括導電材料和/或半導體材料,例如鎢、鉬、鉭、鈦、鉑、釕、其合金、其奈米顆粒、其矽化物和/或多晶或非晶半導體材料(例如,多晶矽和非晶矽)。記憶體層132還可以包括一或多種絕緣材料,例如SiN和/或SiON。第7B圖示出了與記憶體層132相同或相似的示範性記憶體層x32。如第7B圖所示,記憶體層x32可以包括多個交替設置的第一記憶體子層x32a和第二記憶體子層x32b。在一些實施例中,第一記憶體子層x32a包括氮化矽,第二記憶體子層x31b包括氮氧化矽。 The memory layer 132 may include a charge trap material and may be formed over the blocking layer 131 . The memory layer 132 may include a single-layer structure or a multi-layer structure. For example, the memory layer 132 may include conductive materials and/or semiconductor materials, such as tungsten, molybdenum, tantalum, titanium, platinum, ruthenium, alloys thereof, nanoparticles thereof, silicides thereof, and/or polycrystalline or amorphous semiconductor materials ( For example, polysilicon and amorphous silicon). The memory layer 132 may also include one or more insulating materials, such as SiN and/or SiON. FIG. 7B shows an exemplary memory layer x32 that is the same as or similar to memory layer 132 . As shown in FIG. 7B, the memory layer x32 may include a plurality of alternately arranged first memory sub-layers x32a and second memory sub-layers x32b. In some embodiments, the first memory sublayer x32a includes silicon nitride, and the second memory sublayer x31b includes silicon oxynitride.

穿隧層133可以包括介電材料,在適當偏壓下可能穿過其間而發生穿隧現象。穿隧層133可以形成於記憶體層132上方並可以包括單層結構或多層結構。穿隧層133可以包括SiO、SiN、SiON、介電金屬氧化物、介電金屬氮氧化物、 介電金屬矽化物和/或其合金。第7C圖示出了與穿隧層133相同或相似的示範性穿隧層x33。如第7C圖所示,穿隧層x33可以包括多個第一穿隧子層x33a和第二穿隧子層x33b。在一些實施例中,第二穿隧子層x33b可以被一對第一穿隧子層x33a夾置。在一些實施例中,第一穿隧子層x33a包括氧化矽,第二穿隧子層x33b包括多層氮氧化矽。 The tunneling layer 133 may include a dielectric material through which a tunneling phenomenon may occur under an appropriate bias voltage. The tunneling layer 133 may be formed over the memory layer 132 and may include a single-layer structure or a multi-layer structure. The tunneling layer 133 may include SiO, SiN, SiON, dielectric metal oxide, dielectric metal oxynitride, Dielectric metal silicides and/or alloys thereof. FIG. 7C shows an exemplary tunneling layer x33 that is the same as or similar to tunneling layer 133 . As shown in FIG. 7C, the tunneling layer x33 may include a plurality of first tunneling sublayers x33a and second tunneling sublayers x33b. In some embodiments, the second tunneling sublayer x33b may be sandwiched by a pair of first tunneling sublayers x33a. In some embodiments, the first tunneling sublayer x33a includes silicon oxide, and the second tunneling sublayer x33b includes multilayer silicon oxynitride.

半導體層134可以有助於電荷的傳輸並可以形成於穿隧層133上方。半導體層134可以包括一或多種半導體材料,例如單元素半導體材料、III-V族化合物半導體材料、II-VI族化合物半導體材料和/或有機半導體材料。在一些實施例中,半導體層134包括多晶矽層。 The semiconductor layer 134 may facilitate the transport of charges and may be formed over the tunneling layer 133 . The semiconductor layer 134 may include one or more semiconductor materials, such as single element semiconductor materials, group III-V compound semiconductor materials, group II-VI compound semiconductor materials, and/or organic semiconductor materials. In some embodiments, the semiconductor layer 134 includes a polysilicon layer.

介電芯19可以包括適當的介電材料並能夠填滿由半導體層134圍繞的空間。在一些實施例中,介電芯19包括氧化矽(例如,純度足夠高的氧化矽)。 The dielectric core 19 may comprise a suitable dielectric material and be able to fill the space surrounded by the semiconductor layer 134 . In some embodiments, dielectric core 19 includes silicon oxide (eg, silicon oxide of sufficiently high purity).

摻雜區16可以形成於基底10中,接觸源極接觸部121。可通過絕緣結構120將源極接觸部121與導體層18絕緣。源極接觸部121可以包括可用作源電極的任何適當導電材料,摻雜區16可以包括形成於基底10中並與基底10極性相反的適當摻雜的(例如,P型或N型)半導體區。在一些實施例中,源極接觸部121包括摻雜多晶矽、銅、鋁、鈷、摻雜矽、矽化物和鎢中的一或多種材料。在一些實施例中,摻雜區16包括摻雜矽。在一些實施例中,絕緣結構120包括氧化矽。 A doped region 16 may be formed in the substrate 10 contacting the source contact 121 . The source contact 121 may be insulated from the conductor layer 18 by the insulating structure 120 . The source contact 121 may comprise any suitable conductive material usable as a source electrode, and the doped region 16 may comprise a suitably doped (eg, P-type or N-type) semiconductor formed in the substrate 10 and opposite in polarity to the substrate 10. Area. In some embodiments, the source contact 121 includes one or more of doped polysilicon, copper, aluminum, cobalt, doped silicon, silicide, and tungsten. In some embodiments, the doped region 16 includes doped silicon. In some embodiments, the insulating structure 120 includes silicon oxide.

第1B圖示出了根據一些實施例的記憶體102的截面圖。與記憶體101不同的是,閘極到閘極介電層17在相鄰導體層18之間沒有氣隙,並利用複合層填滿相鄰導體層18之間的空間。在一些實施例中,絕緣結構120使源極接觸部121與導體層18和閘極到閘極介電層17絕緣。在一些實施例中,橫向部分132-2的端部、阻擋層131的裸露部分和穿隧層133的裸露部分被閘極到閘極介電層17覆蓋。在一些實施例中,複合層填滿基底10和最接近基底10的導體層18之間的空間。第8B圖示出了複合層的示範性結構。如第8B圖所示,複合層可以包括多個子層, 其中至少一子層包括氮氧化矽。在一些實施例中,至少一子層包括氮氧化矽,至少一子層包括氧化矽。在一些實施例中,至少一子層包括氮氧化矽,至少一子層包括氧化矽,且至少一子層包括氮化矽。在一些實施例中,x81代表氧化矽,x82代表氮氧化矽,複合層包括多個交替設置的氮氧化矽和氧化矽子層。在一些實施例中,每種材料子層的數量和每個子層的厚度可以與例如複合層總厚度(例如,相鄰導體層18之間的間距)和/或製造過程相關聯,並且不應受到本案實施例的限制。 Figure 1B shows a cross-sectional view of memory 102 according to some embodiments. Unlike the memory memory 101 , the gate-to-gate dielectric layer 17 has no air gap between adjacent conductor layers 18 and uses composite layers to fill the space between adjacent conductor layers 18 . In some embodiments, insulating structure 120 insulates source contact 121 from conductor layer 18 and gate-to-gate dielectric layer 17 . In some embodiments, ends of lateral portion 132 - 2 , exposed portions of barrier layer 131 , and exposed portions of tunneling layer 133 are covered by gate-to-gate dielectric layer 17 . In some embodiments, the composite layer fills the space between the substrate 10 and the conductor layer 18 proximate to the substrate 10 . Figure 8B shows an exemplary structure of a composite layer. As shown in Figure 8B, a composite layer may include multiple sublayers, At least one of the sublayers includes silicon oxynitride. In some embodiments, at least one sublayer includes silicon oxynitride, and at least one sublayer includes silicon oxide. In some embodiments, at least one sublayer includes silicon oxynitride, at least one sublayer includes silicon oxide, and at least one sublayer includes silicon nitride. In some embodiments, x81 represents silicon oxide, x82 represents silicon oxynitride, and the composite layer includes a plurality of alternately arranged silicon oxynitride and silicon oxide sublayers. In some embodiments, the number of sublayers of each material and the thickness of each sublayer may be related to, for example, the overall composite layer thickness (e.g., the spacing between adjacent conductor layers 18) and/or the manufacturing process, and should not Subject to the limitations of the embodiment of this case.

第1C圖示出了根據一些實施例的記憶體103的截面圖。與記憶體101不同的是,阻擋層131和記憶體層132沿著水平方向和垂直方向一致地延伸。記憶體層132可以包括第一記憶體部分132a以及連接到相鄰第一記憶體部分132a的第二記憶體部分132b,第一記憶體部分132a在相應導體層18的底部以及相應導體層18上的複合層的下方並部分圍繞它們。如第1C圖所示,阻擋層131可以在記憶體層132上方,並且可以相應地在相應導體層18底部和相應導體層18上的複合層下方並部分圍繞它們。阻擋層131的橫向部分可以橫向接觸複合層。第一記憶體部分132a可以包括垂直部分132a-1和至少一個橫向部分132a-2。在一些實施例中,第一記憶體部分132a可以包括垂直部分132a-1和一對橫向部分132a-2。在一些實施例中,第二記憶體部分132b垂直地延伸。如第1C圖所示,記憶體層132的第二記憶體部分132b和垂直部分132a-1可以沿垂直方向交錯。在一些實施例中,複合層,例如17-1或17-2的厚度可以小於大約5nm,例如小於5nm(例如,0.5nm、1nm、1.5nm、2nm、2.5nm、3nm、3.5nm、4nm、4.5nm,下端由這些值中的任一個限定的任何範圍、或者在由這些值中的任何兩個限定的任何範圍內)。閘極到閘極介電層17和複合層17-1和17-2的詳細描述可以參考記憶體101中的閘極到閘極介電層17和複合層17-1和17-2的描述,於此不再重述。 Figure 1C shows a cross-sectional view of memory 103 according to some embodiments. Different from the memory 101 , the blocking layer 131 and the memory layer 132 extend uniformly along the horizontal direction and the vertical direction. The memory layer 132 may include a first memory portion 132a and a second memory portion 132b connected to the adjacent first memory portion 132a, the first memory portion 132a is at the bottom of the corresponding conductor layer 18 and on the corresponding conductor layer 18. Composite layers below and partially surrounding them. As shown in FIG. 1C , the barrier layer 131 may be above the memory layer 132 and may correspondingly be below and partially surround the bottom of the corresponding conductor layer 18 and the composite layer on the corresponding conductor layer 18 . Lateral portions of the barrier layer 131 may laterally contact the composite layer. The first memory part 132a may include a vertical part 132a-1 and at least one lateral part 132a-2. In some embodiments, the first memory portion 132a may include a vertical portion 132a-1 and a pair of lateral portions 132a-2. In some embodiments, the second memory portion 132b extends vertically. As shown in FIG. 1C, the second memory portion 132b and the vertical portion 132a-1 of the memory layer 132 may be staggered along the vertical direction. In some embodiments, the thickness of the composite layer, such as 17-1 or 17-2, may be less than about 5 nm, such as less than 5 nm (e.g., 0.5 nm, 1 nm, 1.5 nm, 2 nm, 2.5 nm, 3 nm, 3.5 nm, 4 nm, 4.5 nm, the lower end of any range bounded by either of these values, or within any range bounded by any two of these values). For a detailed description of the gate-to-gate dielectric layer 17 and the composite layers 17-1 and 17-2, please refer to the description of the gate-to-gate dielectric layer 17 and the composite layers 17-1 and 17-2 in the memory 101 , which will not be repeated here.

第1D圖示出了根據一些實施例的記憶體104的截面圖。與記憶體103 不同的是,閘極到閘極介電層17在相鄰導體層18之間沒有氣隙,並利用複合層填滿相鄰導體層18之間的空間。在一些實施例中,複合層填滿基底10和最接近基底10的導體層18之間的空間。閘極到閘極介電層17和複合層的結構和材料的詳細描述可以參考記憶體102中的閘極到閘極介電層17和複合層17的描述,於此不再重述。 FIG. 1D shows a cross-sectional view of memory 104 according to some embodiments. with memory 103 The difference is that the gate-to-gate dielectric layer 17 has no air gap between adjacent conductor layers 18 and uses a composite layer to fill the space between adjacent conductor layers 18 . In some embodiments, the composite layer fills the space between the substrate 10 and the conductor layer 18 proximate to the substrate 10 . The detailed description of the structure and materials of the gate-to-gate dielectric layer 17 and the composite layer can refer to the description of the gate-to-gate dielectric layer 17 and the composite layer 17 in the memory 102 , and will not be repeated here.

第1E圖示出了根據一些實施例的記憶體105的截面圖。與記憶體101和103不同的是,記憶體105包括半導體通道14,其中阻擋層131、記憶體層132、穿隧層133和半導體層134均沿著垂直方向連續延伸。在一些實施例中,複合層,例如17-1或17-2的厚度可以小於大約5nm,例如小於5nm(例如,0.5nm、1nm、1.5nm、2nm、2.5nm、3nm、3.5nm、4nm、4.5nm,下端由這些值中的任一個限定的任何範圍、或者在由這些值中的任何兩個限定的任何範圍內)。閘極到閘極介電層17的詳細描述可以參考記憶體101的描述,於此不再重述。 Figure 1E shows a cross-sectional view of memory 105 according to some embodiments. Different from the memories 101 and 103 , the memory 105 includes a semiconductor channel 14 , wherein the barrier layer 131 , the memory layer 132 , the tunneling layer 133 and the semiconductor layer 134 all extend continuously along the vertical direction. In some embodiments, the thickness of the composite layer, such as 17-1 or 17-2, may be less than about 5 nm, such as less than 5 nm (e.g., 0.5 nm, 1 nm, 1.5 nm, 2 nm, 2.5 nm, 3 nm, 3.5 nm, 4 nm, 4.5 nm, the lower end of any range bounded by either of these values, or within any range bounded by any two of these values). The detailed description of the gate-to-gate dielectric layer 17 can refer to the description of the memory 101 , and will not be repeated here.

第1F圖示出了根據一些實施例的記憶體106的截面圖。與記憶體104不同的是,記憶體106包括由一對複合層17-1和17-2夾置的介電層170,其中介電層170包括與複合層17-1和17-2的材料不同的材料。在一些實施例中,介電層170包括氮化矽。黏合層124可選擇性地包括鈦和/或氧化鈦,形成於導體層18和閘極到閘極介電層17之間。在一些實施例中,複合層,例如17-1或17-2的厚度可以小於大約5nm,例如小於5nm(例如,0.5nm、1nm、1.5nm、2nm、2.5nm、3nm、3.5nm、4nm、4.5nm,下端由這些值中的任一個限定的任何範圍、或者在由這些值中的任何兩個限定的任何範圍內)。複合層17-1和17-2的結構和材料的詳細描述可以參考記憶體101中的複合層17-1和17-2的描述,於此不再重述。 Figure 1F shows a cross-sectional view of the memory 106 according to some embodiments. Unlike the memory 104, the memory 106 includes a dielectric layer 170 sandwiched by a pair of composite layers 17-1 and 17-2, wherein the dielectric layer 170 includes the same material as the composite layers 17-1 and 17-2. different materials. In some embodiments, the dielectric layer 170 includes silicon nitride. The adhesive layer 124 may optionally include titanium and/or titanium oxide, and is formed between the conductive layer 18 and the gate-to-gate dielectric layer 17 . In some embodiments, the thickness of the composite layer, such as 17-1 or 17-2, may be less than about 5 nm, such as less than 5 nm (e.g., 0.5 nm, 1 nm, 1.5 nm, 2 nm, 2.5 nm, 3 nm, 3.5 nm, 4 nm, 4.5 nm, the lower end of any range bounded by either of these values, or within any range bounded by any two of these values). The detailed description of the structure and materials of the composite layers 17 - 1 and 17 - 2 can refer to the description of the composite layers 17 - 1 and 17 - 2 in the memory 101 , and will not be repeated here.

第2A-2G圖示出了根據一些實施例用於形成堆疊結構的方法,該堆疊結構具有包括「彎折」結構的半導體通道。第2G圖中所示的結構200可以被用作基礎結構以形成記憶體101-104。第9A圖示出了第2A-2G圖中所示的製程900的流 程圖。 Figures 2A-2G illustrate a method for forming a stacked structure having a semiconductor channel including a "bend" structure, according to some embodiments. The structure 200 shown in Figure 2G may be used as the base structure to form memories 101-104. Figure 9A shows the flow of the process 900 shown in Figures 2A-2G map.

參考第9A圖,製程一開始,在堆疊結構中形成初始通道孔,該堆疊結構在基底上方具有多個交替設置的第一層和第二層(操作902)。第2A圖和第2B圖示出了對應的結構。 Referring to FIG. 9A , the process begins by forming an initial via hole in a stack structure having a plurality of alternating first and second layers over a substrate (operation 902 ). Figures 2A and 2B show the corresponding structures.

如第2A圖所示,在基底20上方形成具有多個交替設置的第一層211和第二層212的堆疊結構21。基底20的材料可以參考基底10的描述,於此不再重述。在一些實施例中,基底20包括矽(N型矽)。 As shown in FIG. 2A , a stacked structure 21 having a plurality of alternately arranged first layers 211 and second layers 212 is formed on the substrate 20 . The material of the substrate 20 can refer to the description of the substrate 10 , and will not be repeated here. In some embodiments, substrate 20 includes silicon (N-type silicon).

堆疊結構21可以提供用於形成3D記憶體的製造基礎。接下來可以在堆疊結構21中形成包括半導體通道和相關結構/部分的記憶體串(例如,NAND記憶體串)。在一些實施例中,堆疊結構21包括在基底20上方垂直堆疊的多個第一層211/第二層212對,形成階梯結構。每個第一層211/第二層212對可以包括一個第一層211和一個第二層212,並能夠形成階梯/層級結構。也就是說,堆疊結構21可以包括沿垂直方向交互堆疊的第一層211和第二層212。堆疊結構21中第一層211/第二層212對的數量(例如,32、64、96或128)可以設定3D記憶體中記憶體單元的數量。 The stacked structure 21 may provide a fabrication basis for forming a 3D memory. Next, a memory string (eg, a NAND memory string) including semiconductor channels and associated structures/parts may be formed in the stack structure 21 . In some embodiments, the stack structure 21 includes a plurality of first layer 211 /second layer 212 pairs stacked vertically above the substrate 20 to form a ladder structure. Each first layer 211/second layer 212 pair may include one first layer 211 and one second layer 212, and can form a ladder/hierarchical structure. That is, the stack structure 21 may include a first layer 211 and a second layer 212 alternately stacked in a vertical direction. The number of first layer 211/second layer 212 pairs (for example, 32, 64, 96 or 128) in the stack structure 21 can set the number of memory cells in the 3D memory.

第一層211可以均具有相同的厚度或不同的厚度。類似地,第二層212可以均具有相同的厚度或具有不同的厚度。第二層212可以包括與第一層211的材料不同的任何適當材料,使得蝕刻劑(例如,在後續製程中使用以去除第一層211)在第一層211上比第二層212能夠具有更高蝕刻速率。亦即,蝕刻劑能夠相對於第二層212選擇性地蝕刻第一層211。在一些實施例中,第一層211能夠包括犧牲材料,第二層212能夠包括導體材料。在一些實施例中,第一層211能夠包括犧牲材料,第二層212能夠包括另一種犧牲材料。第一層211和第二層212的材料的具體選擇應當由製程(例如,閘極先製製程或閘極後製製程)決定並且下面將詳細解釋。 The first layers 211 may all have the same thickness or different thicknesses. Similarly, second layers 212 may all have the same thickness or have different thicknesses. The second layer 212 may comprise any suitable material different from that of the first layer 211 such that an etchant (e.g., used in a subsequent process to remove the first layer 211) can have more higher etch rate. That is, the etchant can selectively etch the first layer 211 with respect to the second layer 212 . In some embodiments, the first layer 211 can include a sacrificial material and the second layer 212 can include a conductive material. In some embodiments, the first layer 211 can include a sacrificial material and the second layer 212 can include another sacrificial material. The specific selection of materials for the first layer 211 and the second layer 212 should be determined by the process (eg, gate-first process or gate-last process) and will be explained in detail below.

例如,可以通過在垂直和橫向方向反復蝕刻多個第一材料層/第二材料層對的介電堆疊體,來形成堆疊結構21。第一材料層/第二材料層對的蝕刻可以包括反復蝕刻/修整介電堆疊體上方的蝕刻遮罩(例如,光阻層)以裸露要蝕刻的第一材料層/第二材料層對的部分,以及使用適當的蝕刻製程蝕刻/去除裸露的部分。可以使用任何適當的蝕刻製程,例如濕蝕刻和/或乾蝕刻來進行蝕刻遮罩和絕緣材料層/犧牲材料層對的蝕刻。在一些實施例中,蝕刻包括乾蝕刻,例如,感應耦合電漿(ICP)蝕刻和/或反應性離子蝕刻(RIE)。 For example, the stack structure 21 may be formed by repeatedly etching a dielectric stack of a plurality of first material layer/second material layer pairs in vertical and lateral directions. The etching of the first material layer/second material layer pair may include repeatedly etching/trimming an etch mask (eg, a photoresist layer) over the dielectric stack to expose the first material layer/second material layer pair to be etched. parts, and etch/remove exposed parts using an appropriate etch process. The etching of the etch mask and insulating material layer/sacrificial material layer pair may be performed using any suitable etching process, such as wet etching and/or dry etching. In some embodiments, etching includes dry etching, eg, inductively coupled plasma (ICP) etching and/or reactive ion etching (RIE).

可以在堆疊結構21中形成初始通道孔22。在一些實施例中,初始通道孔22從堆疊結構21的頂表面延伸到基底20。在一些實施例中,初始通道孔22的底部部分裸露基底20。初始通道孔22可以通過任何適當的製程形成。例如,可以在堆疊結構21上方形成圖案化的光阻層。圖案化光阻層能夠裸露堆疊結構21中用於形成初始通道孔22的部分。可以執行適當的蝕刻製程以去除堆疊結構21的該部分,直到裸露基底20。蝕刻製程可以包括乾蝕刻製程。 Initial via holes 22 may be formed in the stack structure 21 . In some embodiments, the initial access hole 22 extends from the top surface of the stack structure 21 to the substrate 20 . In some embodiments, the base 20 is partially exposed at the bottom of the initial access hole 22 . Initial via hole 22 may be formed by any suitable process. For example, a patterned photoresist layer may be formed over the stack structure 21 . The patterned photoresist layer can expose the part of the stack structure 21 used to form the initial channel hole 22 . A suitable etching process may be performed to remove the portion of the stacked structure 21 until the substrate 20 is exposed. The etching process may include a dry etching process.

參考第9A圖,在形成初始通道孔之後,通過去除初始通道孔的側壁上每個第一層的一部分以在第二層的側表面和相鄰的第一層的側表面之間形成偏移,從而形成通道孔(操作904)。第2C圖示出了對應結構。 Referring to FIG. 9A, after the initial via hole is formed, an offset is formed between the side surface of the second layer and the side surface of the adjacent first layer by removing a portion of each first layer on the sidewall of the initial via hole. , thereby forming a channel hole (operation 904). Figure 2C shows the corresponding structure.

如第2C圖中所示,可以去除初始通道孔22的側壁上的每個第一層211的一部分以形成通道孔222。為了容易描述,第一層211(或第二層212)面對初始通道孔22或通道孔222的表面被稱為第一層211(或第二層212)的側表面。在一些實施例中,可以在第一層211的側表面上形成偏移224。第一層211的被去除部分(例如,沿橫向方向或x方向)的尺度或厚度可以是允許在第二層212和第一層211的側表面之間形成偏移的任何適當值。在一些實施例中,第二層212的側表面沿通道孔222的側壁形成突出。可以執行任何適當的選擇性蝕刻製程(例如,凹陷蝕刻)來形成偏移224。在一些實施例中,選擇性蝕刻製程在第一層211上相 對於第二層212具有高的蝕刻選擇性,對第二層212造成很少或不造成損傷。可以執行濕蝕刻和/或乾蝕刻作為選擇性蝕刻製程。在一些實施例中,執行RIE作為選擇性蝕刻製程。 As shown in FIG. 2C , a portion of each first layer 211 on the sidewalls of the initial via hole 22 may be removed to form the via hole 222 . For ease of description, the surface of the first layer 211 (or the second layer 212 ) facing the initial via hole 22 or the via hole 222 is referred to as a side surface of the first layer 211 (or the second layer 212 ). In some embodiments, an offset 224 may be formed on a side surface of the first layer 211 . The dimension or thickness of the removed portion of the first layer 211 (eg, in the lateral direction or x-direction) may be any suitable value that allows an offset to be formed between the second layer 212 and the side surface of the first layer 211 . In some embodiments, the side surface of the second layer 212 forms a protrusion along the sidewall of the channel hole 222 . Any suitable selective etch process (eg, recess etch) may be performed to form offset 224 . In some embodiments, a selective etching process is performed on the first layer 211 The etch selectivity to the second layer 212 is high, causing little or no damage to the second layer 212 . Wet etching and/or dry etching may be performed as a selective etching process. In some embodiments, RIE is performed as a selective etch process.

參考第9A圖,在形成通道孔之後,形成通道形成結構,以填滿通道孔,並形成半導體通道(操作906)。第2D-2F圖示出了對應結構。 Referring to FIG. 9A, after the via hole is formed, a channel forming structure is formed to fill the via hole and form a semiconductor channel (operation 906). Figures 2D-2F show the corresponding structures.

如第2D-2F圖所示,可以通過利用通道形成結構填充通道孔222來形成半導體通道24。通道形成結構可以包括沿通道孔222的側壁沉積的阻擋層231、阻擋層上方的記憶體層232、阻擋層上方的穿隧層233、穿隧層上方的半導體層234,以及填滿通道孔222的介電芯29。每個這些層都可以分別與第1A圖中所示的阻擋層131、記憶體層132、穿隧層133、半導體層134和介電芯19相同或相似。因此本文不重複這些層的材料詳細描述。 As shown in FIGS. 2D-2F , semiconductor channel 24 may be formed by filling via hole 222 with a channel forming structure. The channel forming structure may include a barrier layer 231 deposited along the sidewall of the channel hole 222, a memory layer 232 above the barrier layer, a tunneling layer 233 above the barrier layer, a semiconductor layer 234 above the tunneling layer, and a layer that fills the channel hole 222. Dielectric core 29. Each of these layers may be the same as or similar to the barrier layer 131 , memory layer 132 , tunneling layer 133 , semiconductor layer 134 and dielectric core 19 shown in FIG. 1A , respectively. A detailed description of the materials of these layers is therefore not repeated here.

如第2D圖中所示,在一些實施例中,在通道孔222中沿著從側壁朝向通道孔222中心的徑向方向依次沉積阻擋材料層、記憶體材料層和穿隧材料層。阻擋材料層、記憶體材料層和穿隧材料層的材料可以參考阻擋層131、記憶體層132和穿隧層133的描述,於此不再重述。阻擋材料層可以通過適當沉積方法形成,例如,化學氣相沉積(CVD)、原子層沉積(ALD)、脈衝雷射沉積(PLD)、低壓CVD(LPCVD)和/或液體源噴霧化學沉積。可以通過任何適當的沉積方法,例如CVD、ALD和物理氣相沉積(PVD)形成記憶體材料層。可以通過適當的沉積方法,例如CVD、ALD和/或PVD形成穿隧材料層。可以執行凹陷蝕刻製程,例如乾蝕刻,以去除阻擋材料層、記憶體材料層和穿隧材料層在通道孔222底部的部分,以裸露基底20。然後可以相應地形成阻擋層231、記憶體層232和穿隧層233。 As shown in FIG. 2D , in some embodiments, a barrier material layer, a memory material layer and a tunneling material layer are sequentially deposited in the channel hole 222 along the radial direction from the sidewall toward the center of the channel hole 222 . The materials of the barrier material layer, the memory material layer and the tunneling material layer can refer to the description of the barrier layer 131 , the memory layer 132 and the tunneling layer 133 , and will not be repeated here. The layer of barrier material may be formed by suitable deposition methods such as chemical vapor deposition (CVD), atomic layer deposition (ALD), pulsed laser deposition (PLD), low pressure CVD (LPCVD) and/or liquid source spray chemical deposition. The layer of memory material may be formed by any suitable deposition method, such as CVD, ALD, and physical vapor deposition (PVD). The layer of tunneling material may be formed by suitable deposition methods, such as CVD, ALD and/or PVD. A recess etching process, such as dry etching, may be performed to remove the barrier material layer, the memory material layer and the tunneling material layer at the bottom of the channel hole 222 to expose the substrate 20 . Then the blocking layer 231 , the memory layer 232 and the tunneling layer 233 may be formed accordingly.

如第2E圖和第2F圖所示,在穿隧層233和基底20上方沉積半導體層234,在半導體層234上方沉積介電芯29,以填滿通道孔222中空間的剩餘部分, 形成半導體通道24。可以通過任何適當的沉積方法,例如LPCVD、ALD和/或金屬有機物化學氣相沉積(MOCVD)來形成半導體層234。在一些實施例中,介電芯29包括SiO(例如,充分高純度的SiO),並可以通過任何適當沉積方法,例如CVD、LPCVD、ALD和/或PVD形成。 As shown in FIG. 2E and FIG. 2F, a semiconductor layer 234 is deposited over the tunneling layer 233 and the substrate 20, and a dielectric core 29 is deposited over the semiconductor layer 234 to fill the remainder of the space in the via hole 222, A semiconductor channel 24 is formed. Semiconductor layer 234 may be formed by any suitable deposition method, such as LPCVD, ALD, and/or metal organic chemical vapor deposition (MOCVD). In some embodiments, dielectric core 29 includes SiO (eg, substantially high purity SiO) and may be formed by any suitable deposition method, such as CVD, LPCVD, ALD, and/or PVD.

返回參考第9A圖,在形成半導體通道之後,在堆疊結構中形成第一初始縫隙開口(操作908)。第2G圖示出了對應結構200。 Referring back to FIG. 9A, after forming the semiconductor channel, a first initial slot opening is formed in the stack structure (operation 908). FIG. 2G shows the corresponding structure 200 .

如第2G圖所示,形成第一初始縫隙開口25以延伸通過堆疊結構並裸露基底20。可以執行適當的蝕刻製程,例如乾蝕刻製程,以形成第一初始縫隙開口25。 As shown in FIG. 2G , a first initial slot opening 25 is formed to extend through the stack structure and expose the substrate 20 . An appropriate etching process, such as a dry etching process, may be performed to form the first initial slit opening 25 .

第3A-3J圖示出了根據一些實施例,基於結構200形成記憶體103和104的「閘極先製」方法。具體而言,第3A、3C、3E、3G和3I圖示出了基於結構200形成記憶體103的製程,第3B、3D、3F、3H和3J圖示出了基於結構200形成記憶體104的製程。在「閘極先製」方法中,第一層211包括犧牲材料,第二層212包括用於接下來形成導體層18的導體材料。在一些實施例中,第二層212包括多晶矽。第9B圖示出了第3A-3J圖中所示的形成記憶體103和104的製程920的流程圖。 Figures 3A-3J illustrate a "gate-first" approach to forming memories 103 and 104 based on structure 200, according to some embodiments. Specifically, Figures 3A, 3C, 3E, 3G and 3I show the process of forming memory 103 based on structure 200, Figures 3B, 3D, 3F, 3H and 3J show the process of forming memory 104 based on structure 200 Process. In the “gate first” approach, the first layer 211 includes a sacrificial material, and the second layer 212 includes a conductive material for the subsequent formation of the conductive layer 18 . In some embodiments, the second layer 212 includes polysilicon. FIG. 9B shows a flow diagram of process 920 for forming memories 103 and 104 shown in FIGS. 3A-3J.

如第9B圖所示,製程一開始,去除多個第一層(操作922),並在相鄰導體層之間形成閘極到閘極介電層(操作924)。從第一初始縫隙開口形成第二初始縫隙開口。第3A圖和第3B圖分別示出了對應的結構。在一些實施例中,執行等向性蝕刻製程(例如,濕蝕刻)以去除第一層211並裸露阻擋層231和基底20。可以通過去除第一層211形成多個橫向凹陷。 As shown in FIG. 9B, the process begins by removing a plurality of first layers (operation 922) and forming a gate-to-gate dielectric layer between adjacent conductor layers (operation 924). A second initial slit opening is formed from the first initial slit opening. Figures 3A and 3B show the corresponding structures, respectively. In some embodiments, an isotropic etching process (eg, wet etching) is performed to remove the first layer 211 and expose the barrier layer 231 and the substrate 20 . A plurality of lateral depressions may be formed by removing the first layer 211 .

如第3A圖所示,可以執行氧化反應和/或氮化反應以從與反應物反應的第二層212的一部分形成複合層。第二層212未反應的部分可以形成導體層38,導體層可以充當記憶體103的閘電極。第二層212的反應部分可以形成覆蓋導體層38的複合層37-1或37-2(例如,類似於或相同於17-1或17-2)。複合層可以從第二 層212的頂部/上表面並從第二層212的底部/下表面形成。可以在相鄰導體層38上的複合層37-1和37-2之間形成氣隙373。在一些實施例中,彼此相對並在相鄰導體層38和其間的氣隙373上的一對複合層(例如,37-1和37-2)可以形成閘極到閘極介電層37,與第1A圖和第1C圖所示的閘極到閘極介電層17相似或相同。在一些實施例中,也可以在第二層212的側表面(例如,第一初始縫隙開口25的側壁)上形成複合層(例如,37-1或37-2),從第一初始縫隙開口25形成第二初始縫隙開口35A。 As shown in FIG. 3A, an oxidation reaction and/or a nitridation reaction may be performed to form a composite layer from a portion of the second layer 212 that reacts with the reactants. The unreacted part of the second layer 212 can form the conductive layer 38 , and the conductive layer can serve as the gate electrode of the memory 103 . The reacted portion of the second layer 212 may form a composite layer 37-1 or 37-2 (eg, similar or identical to 17-1 or 17-2) covering the conductor layer 38. Composite layers can be obtained from the second The top/upper surface of layer 212 is formed from the bottom/lower surface of second layer 212 . An air gap 373 may be formed between composite layers 37 - 1 and 37 - 2 on adjacent conductor layers 38 . In some embodiments, a pair of composite layers (eg, 37-1 and 37-2) opposite each other and on adjacent conductor layers 38 and air gap 373 therebetween may form gate-to-gate dielectric layer 37, Similar or the same as the gate-to-gate dielectric layer 17 shown in Figures 1A and 1C. In some embodiments, a composite layer (for example, 37-1 or 37-2) may also be formed on the side surface of the second layer 212 (for example, the sidewall of the first initial slit opening 25), from which the first initial slit opening 25 forms a second initial slit opening 35A.

在一些實施例中,通過經由第一初始縫隙開口25和橫向凹陷氧化和/或氮化第二層212來形成多個閘極到閘極介電層37。在一些實施例中,為了形成多個閘極到閘極介電層37,控制氧擴散濃度和/或氮擴散濃度,使得每個閘極到閘極介電層37包括至少一個氮氧化矽子層。在一些實施例中,每個複合層(例如37-1或37-2)至少包括氮氧化矽子層。在一些實施例中,控制氧和/或氮擴散濃度,使得每個閘極到閘極介電層37能夠具有第1A圖所述的結構。例如,每個閘極到閘極介電層37包括一對複合層(例如,37-1和37-2),每個均包括多個交替設置的氮氧化矽子層和氧化矽子層。每個複合層的具體結構不應受到本案實施例的限制。在一些實施例中,可以通過氧化和/或氮化反應在基底20上方形成複合層。 In some embodiments, the plurality of gate-to-gate dielectric layers 37 are formed by oxidizing and/or nitridating the second layer 212 through the first initial slot opening 25 and laterally recessing the second layer 212 . In some embodiments, in order to form a plurality of gate-to-gate dielectric layers 37, the oxygen diffusion concentration and/or the nitrogen diffusion concentration are controlled so that each gate-to-gate dielectric layer 37 includes at least one silicon oxynitride Floor. In some embodiments, each composite layer (eg, 37-1 or 37-2) includes at least a silicon oxynitride sublayer. In some embodiments, the oxygen and/or nitrogen diffusion concentration is controlled such that each gate-to-gate dielectric layer 37 can have the structure described in FIG. 1A. For example, each gate-to-gate dielectric layer 37 includes a pair of composite layers (eg, 37-1 and 37-2), each including a plurality of alternating silicon oxynitride sublayers and silicon oxide sublayers. The specific structure of each composite layer should not be limited by the embodiment of this case. In some embodiments, a composite layer may be formed over substrate 20 through oxidation and/or nitridation reactions.

與從第二層212的部分形成閘極到閘極介電層37的製程不同,如第3B圖所示,可以通過沉積介電材料以填滿橫向凹陷並執行氧化反應和/或氮化反應以在每個閘極到閘極介電層37中形成至少一個氮氧化矽子層,來形成閘極到閘極介電層37。可以通過橫向凹陷和第一初始縫隙開口25執行該製程。在一些實施例中,可以通過適當沉積方法,例如,CVD、ALD和/或PVD,沉積介電材料,例如,氧化矽或氮化矽,以填滿橫向凹陷。可以在相鄰第二層212之間沉積的介電材料上執行氧化反應和/或氮化反應,以形成閘極到閘極介電層37,其包括具 有至少一個氮氧化矽子層的複合層。在一些實施例中,每個複合層至少包括氮氧化矽子層。在一些實施例中,控制氧和/或氮擴散濃度,使得每個閘極到閘極介電層37能夠具有第1B圖所述的結構。例如,每個閘極到閘極介電層37包括複合層,複合層具有多個交替設置的氮氧化矽和氧化矽子層。在相鄰第二層212之間不形成氣隙。在一些實施例中,閘極到閘極介電層37覆蓋阻擋層231。每個複合層的具體結構不應受到本案實施例的限制。在一些實施例中,第二層212形成導體層38。在一些實施例中,可以在沉積介電材料之前在第二層212上形成黏合層(未示出)。在一些實施例中,也可以在第二層212的側表面(例如,第一初始縫隙開口25的側壁)上形成複合層,從第一初始縫隙開口25形成第二初始縫隙開口35B。在一些實施例中,可以通過氧化和/或氮化反應在基底20上方形成複合層。 Unlike the process of forming gate-to-gate dielectric layer 37 from portions of second layer 212, as shown in FIG. The gate-to-gate dielectric layers 37 are formed by forming at least one silicon oxynitride sublayer in each gate-to-gate dielectric layer 37 . This process can be performed through the lateral recess and the first initial slot opening 25 . In some embodiments, a dielectric material such as silicon oxide or silicon nitride may be deposited to fill the lateral recesses by suitable deposition methods such as CVD, ALD and/or PVD. An oxidation reaction and/or a nitridation reaction may be performed on the dielectric material deposited between adjacent second layers 212 to form a gate-to-gate dielectric layer 37 comprising Composite layers having at least one silicon oxynitride sublayer. In some embodiments, each composite layer includes at least a silicon oxynitride sublayer. In some embodiments, the oxygen and/or nitrogen diffusion concentration is controlled such that each gate-to-gate dielectric layer 37 can have the structure described in FIG. 1B . For example, each gate-to-gate dielectric layer 37 includes a composite layer having a plurality of alternating silicon oxynitride and silicon oxide sublayers. No air gap is formed between adjacent second layers 212 . In some embodiments, a gate-to-gate dielectric layer 37 covers the barrier layer 231 . The specific structure of each composite layer should not be limited by the embodiment of this case. In some embodiments, second layer 212 forms conductor layer 38 . In some embodiments, an adhesion layer (not shown) may be formed on the second layer 212 prior to depositing the dielectric material. In some embodiments, a composite layer may also be formed on the side surface of the second layer 212 (eg, the sidewall of the first initial slit opening 25 ) from which the second initial slit opening 35B is formed. In some embodiments, a composite layer may be formed over substrate 20 through oxidation and/or nitridation reactions.

返回參考第9B圖,在形成閘極到閘極介電層之後,可以在基底中,在第二初始縫隙開口底部形成摻雜區(操作926)。第3C圖和第3D圖示出了對應的結構。 Referring back to FIG. 9B, after forming the gate-to-gate dielectric layer, a doped region may be formed in the substrate at the bottom of the second initial slot opening (operation 926). Figures 3C and 3D show the corresponding structures.

如第3C圖和第3D圖所示,可以在基底20中在第二初始縫隙開口(例如,第3C圖中的35A和第3D圖中的35B)的底部形成摻雜區36。可以執行適當的摻雜製程,例如離子佈植,以形成摻雜區36。在一些實施例中,去除複合層在第二初始縫隙開口(例如,35A和35B)底部的部分以在摻雜製程之前裸露基底20。在一些實施例中,保留複合層在第二初始縫隙開口(例如,35A和35B)底部的部分。 As shown in FIGS. 3C and 3D , a doped region 36 may be formed in the substrate 20 at the bottom of the second initial slot opening (eg, 35A in FIG. 3C and 35B in FIG. 3D ). A suitable doping process, such as ion implantation, can be performed to form the doped region 36 . In some embodiments, portions of the composite layer at the bottom of the second initial slot openings (eg, 35A and 35B) are removed to expose the substrate 20 prior to the doping process. In some embodiments, portions of the composite layer at the bottom of the second initial slit openings (eg, 35A and 35B) remain.

返回參考第9B圖,在形成摻雜區之後,從第二初始縫隙開口形成縫隙開口(操作928)。第3E圖和第3F圖示出了對應的結構。 Referring back to FIG. 9B, after the doped regions are formed, slot openings are formed from the second initial slot openings (operation 928). Figures 3E and 3F show the corresponding structures.

如第3E圖和第3F圖所示,從相應的第二初始縫隙開口(例如,第3C圖中的35A和第3D圖中的35B)形成縫隙開口(例如,第3E圖中的350A和第3F圖中的350B)。在一些實施例中,執行凹陷蝕刻以從導體層38的側表面去除任何凹 陷材料,形成縫隙開口350A/350B。在一些實施例中,也可以蝕刻並去除基底20上方在第二初始縫隙開口35A/35B底部的剩餘材料(例如,複合層的材料)。縫隙開口350A/350B的側壁可以裸露導體層38。在一些實施例中,縫隙開口350A的側壁裸露氣隙373。在一些實施例中,縫隙開口350A/350B的側壁還會裸露閘極到閘極介電層37。 As shown in Figures 3E and 3F, a slit opening (eg, 350A in Figure 3E and 350B in Figure 3D) is formed from a corresponding second initial slit opening (eg, 35A in Figure 3C and 35B in Figure 3D). 350B in Figure 3F). In some embodiments, a recess etch is performed to remove any recesses from the side surfaces of conductor layer 38. The recessed material forms slot openings 350A/350B. In some embodiments, the remaining material (eg, the material of the composite layer) above the substrate 20 at the bottom of the second initial slot opening 35A/ 35B may also be etched and removed. Sidewalls of the slot openings 350A/ 350B may expose the conductive layer 38 . In some embodiments, the sidewall of the slot opening 350A exposes the air gap 373 . In some embodiments, the sidewalls of the slot openings 350A/ 350B also expose the gate-to-gate dielectric layer 37 .

回到參考第9B圖,在縫隙開口中形成絕緣結構(操作930)。第3G圖和第3H圖示出了對應的結構。 Referring back to FIG. 9B, an insulating structure is formed in the slot opening (operation 930). Figures 3G and 3H show the corresponding structures.

如第3G圖和第3H圖所示,可以在相應縫隙開口(例如,第3G圖中的350A和第3H圖中的350B)形成絕緣結構(例如,第3G圖中的320A和第3H圖中的320B)。在一些實施例中,在相應縫隙開口350A/350B的側壁上方形成絕緣結構320A/320B並在相應縫隙開口350A/350B底部處裸露基底20(例如,或摻雜區36)。在一些實施例中,絕緣結構320A/320B包括介電材料,例如氧化矽,並通過適當的沉積製程,例如CVD、ALD、LPCVD和/或PVD沉積。在一些實施例中,執行凹陷蝕刻(例如,乾蝕刻和/或濕蝕刻)以去除縫隙開口350A/350B底部處任何剩餘的材料(例如,在形成絕緣結構320A/320B期間沉積的材料),以裸露出基底20(例如,或者摻雜區36)。 As shown in Figures 3G and 3H, insulating structures (eg, 320A in Figure 3G and 320B). In some embodiments, the insulating structure 320A/ 320B is formed over the sidewalls of the corresponding slot opening 350A/ 350B and the substrate 20 (eg, or the doped region 36 ) is exposed at the bottom of the corresponding slot opening 350A/ 350B. In some embodiments, the insulating structure 320A/320B includes a dielectric material, such as silicon oxide, and is deposited by a suitable deposition process, such as CVD, ALD, LPCVD and/or PVD. In some embodiments, a recess etch (eg, dry etch and/or wet etch) is performed to remove any remaining material at the bottom of the slot opening 350A/350B (eg, material deposited during formation of the insulating structure 320A/320B) to Substrate 20 (eg, or doped region 36 ) is exposed.

回到參考第9B圖,在形成絕緣結構之後,在絕緣結構中形成源極接觸部(操作932)。第3I圖和第3J圖示出了對應的結構。 Referring back to FIG. 9B, after the insulating structure is formed, a source contact is formed in the insulating structure (operation 932). Figures 3I and 3J show the corresponding structures.

如第3I圖和第3J圖所示,可以在絕緣結構320A/320B中沉積適當的導電材料以形成相應的源極接觸部321。可以使用任何適當的沉積方法以形成源極接觸部321。例如,可以通過CVD、ALD和/或PVD形成源極接觸部321。在一些實施例中,源極接觸部321包括鎢並通過CVD沉積。在一些實施例中,源極接觸部321A、摻雜區36和相應的絕緣結構320A/320B形成源極結構。可以執行適當的平面化製程(例如,凹陷蝕刻和/或化學機械拋光)以使堆疊結構的頂表面平坦化, 例如,使源極結構、半導體通道24和/或閘極到閘極介電層37平坦化。 As shown in FIGS. 3I and 3J , a suitable conductive material may be deposited in the insulating structures 320A/ 320B to form corresponding source contacts 321 . Any suitable deposition method may be used to form source contact 321 . For example, source contact 321 may be formed by CVD, ALD and/or PVD. In some embodiments, source contact 321 includes tungsten and is deposited by CVD. In some embodiments, source contact 321A, doped region 36 and corresponding insulating structure 320A/ 320B form a source structure. A suitable planarization process (eg, recess etch and/or chemical mechanical polishing) may be performed to planarize the top surface of the stacked structure, For example, the source structure, the semiconductor channel 24 and/or the gate-to-gate dielectric layer 37 are planarized.

第4A-4G圖示出了根據一些實施例,基於結構200形成記憶體101和102的「閘極先製」方法。具體而言,第4A、4B、4D和4F圖示出了基於結構200形成記憶體101的製程,第4A、4C、4E和4G圖示出了基於結構200形成記憶體102的製程。在「閘極先製」方法中,第一層211包括犧牲材料,第二層212包括用於接下來形成導體層18的導體材料。在一些實施例中,第二層212包括多晶矽。第9C圖示出了第4A-4G圖中所示的形成記憶體101和102的製程的流程圖940。 4A-4G illustrate a "gate-first" approach to forming memories 101 and 102 based on structure 200, according to some embodiments. Specifically, Figures 4A, 4B, 4D and 4F show the process of forming the memory 101 based on the structure 200 , and Figures 4A, 4C, 4E and 4G show the process of forming the memory 102 based on the structure 200 . In the “gate first” approach, the first layer 211 includes a sacrificial material, and the second layer 212 includes a conductive material for the subsequent formation of the conductive layer 18 . In some embodiments, the second layer 212 includes polysilicon. FIG. 9C shows a flowchart 940 of the process for forming memories 101 and 102 shown in FIGS. 4A-4G.

如第9C圖所示,製程一開始,去除多個第一層(操作942),並形成在每個第二層底部下方具有記憶體部分的記憶體層(操作944)。記憶體部分彼此斷開連接。第4A圖示出了對應結構。在一些實施例中,執行等向性蝕刻製程(例如,濕蝕刻)以去除第一層(例如,211),形成多個橫向凹陷,橫向凹陷裸露阻擋層(例如,231)和基底(例如,20)。 As shown in FIG. 9C, the process begins by removing a plurality of first layers (operation 942) and forming a memory layer with a memory portion below the bottom of each second layer (operation 944). The memory sections are disconnected from each other. Figure 4A shows the corresponding structure. In some embodiments, an isotropic etch process (eg, wet etch) is performed to remove the first layer (eg, 211 ), forming a plurality of lateral recesses that expose the barrier layer (eg, 231 ) and the substrate (eg, 20).

如第4A圖所示,形成阻擋層431,阻擋層具有多個阻擋部分,每個部分在相應第二層212的底部下方並彼此斷開連接。而且,形成記憶體層432,記憶體層具有多個記憶體部分,每個部分都在相應阻擋部分下方。每個記憶體部分可以包括垂直部分432-1和連接到垂直部分432-1的至少一個橫向部分432-2。在一些實施例中,每個記憶體部分包括連接到相應垂直部分432-1不同端部的一對橫向部分432-2。每個記憶體部分可以圍繞相應第二層212底部下方的相應阻擋部分,並可以沿著垂直方向彼此斷開連接。在記憶體層432下方並部分圍繞記憶體層432的穿隧層433也被形成為沿著垂直方向一致地延伸。在一些實施例中,可以在相鄰第二層212之間裸露穿隧層433。 As shown in FIG. 4A, a barrier layer 431 is formed having a plurality of barrier portions, each of which is below the bottom of the corresponding second layer 212 and disconnected from each other. Also, a memory layer 432 is formed having a plurality of memory portions each below a corresponding blocking portion. Each memory portion may include a vertical portion 432-1 and at least one lateral portion 432-2 connected to the vertical portion 432-1. In some embodiments, each memory portion includes a pair of lateral portions 432-2 connected to different ends of respective vertical portions 432-1. Each memory part may surround a corresponding barrier part under the bottom of the corresponding second layer 212, and may be disconnected from each other along a vertical direction. The tunneling layer 433 below the memory layer 432 and partially surrounding the memory layer 432 is also formed to extend uniformly along the vertical direction. In some embodiments, the tunneling layer 433 may be exposed between adjacent second layers 212 .

可以在結構200上執行適當的蝕刻製程(例如,濕蝕刻)以從第一初始縫隙開口25和橫向凹陷去除半導體通道24的部分。在一些實施例中,至少去除第二記憶體部分232b以裸露第一記憶體部分232a的橫向部分232a-2。第一記憶體 部分232a可以完全或部分保留以形成記憶體部分。根據蝕刻製程,橫向部分232-2可以被過度蝕刻,橫向部分232a-2的長度可以在不同應用中沿橫向變化。在一些實施例中,也可以在蝕刻製程期間去除阻擋層231和穿隧層233的部分。可以形成彼此斷開連接並在記憶體部分上方的阻擋部分。在形成記憶體部分之後,半導體通道24可以形成半導體通道44。 A suitable etch process (eg, wet etch) may be performed on the structure 200 to remove portions of the semiconductor channel 24 from the first initial slit opening 25 and the lateral recess. In some embodiments, at least the second memory portion 232b is removed to expose the lateral portion 232a-2 of the first memory portion 232a. first memory Portion 232a may be fully or partially retained to form a memory portion. Depending on the etching process, the lateral portion 232-2 may be over-etched, and the length of the lateral portion 232a-2 may vary laterally in different applications. In some embodiments, portions of the barrier layer 231 and the tunneling layer 233 may also be removed during the etching process. Blocking portions disconnected from each other and above the memory portion may be formed. After forming the memory portion, semiconductor channel 24 may form semiconductor channel 44 .

返回參考第9C圖,在相鄰導體層之間形成閘極到閘極介電層,並形成第二初始縫隙開口(操作946)。而且,在基底中在第二初始縫隙開口底部處形成摻雜區(操作948)。第4B圖和第4C圖分別示出了對應的結構。 Referring back to FIG. 9C, a gate-to-gate dielectric layer is formed between adjacent conductor layers, and a second initial slot opening is formed (operation 946). Also, a doped region is formed in the substrate at the bottom of the second initial slot opening (operation 948). Figures 4B and 4C show the corresponding structures, respectively.

第4B圖示出了具有氣隙的閘極到閘極介電層47。如第4B圖所示,可以在堆疊結構中形成閘極到閘極介電層47、導體層48、第二初始開口45A和摻雜區46。在一些實施例中,閘極到閘極介電層47包括一對複合層47-1和47-2以及複合層47-1和47-2之間的氣隙473。形成這些結構的製程可以參考第3A圖和第3C圖中所示形成閘極到閘極介電層37、導體層38、第二初始縫隙開口35A和摻雜區36的製造過程,於此不再重述。 Figure 4B shows a gate-to-gate dielectric layer 47 with an air gap. As shown in FIG. 4B, a gate-to-gate dielectric layer 47, a conductor layer 48, a second initial opening 45A, and a doped region 46 may be formed in a stacked structure. In some embodiments, the gate-to-gate dielectric layer 47 includes a pair of composite layers 47-1 and 47-2 and an air gap 473 between the composite layers 47-1 and 47-2. The process of forming these structures can refer to the manufacturing process of forming the gate-to-gate dielectric layer 37, the conductor layer 38, the second initial slit opening 35A and the doped region 36 shown in FIG. 3A and FIG. 3C. Recap.

第4C圖示出了沒有氣隙的閘極到閘極介電層47。如第4C圖所示,可以在堆疊結構中形成閘極到閘極介電層47、導體層48、第二初始開口45B和摻雜區46。在一些實施例中,閘極到閘極介電層47包括填滿相鄰導體層48之間空間的複合層。在一些實施例中,閘極到閘極介電層47覆蓋阻擋層431、記憶體層432和穿隧層433的裸露部分。形成這些結構的製造過程可以參考第3B圖和第3D圖中所示形成閘極到閘極介電層37、導體層38、第二初始縫隙開口35B和摻雜區36的製造過程,於此不再重述。 FIG. 4C shows the gate-to-gate dielectric layer 47 without an air gap. As shown in FIG. 4C, a gate-to-gate dielectric layer 47, a conductor layer 48, a second initial opening 45B, and a doped region 46 may be formed in a stacked structure. In some embodiments, gate-to-gate dielectric layer 47 includes a composite layer that fills the space between adjacent conductor layers 48 . In some embodiments, the gate-to-gate dielectric layer 47 covers exposed portions of the barrier layer 431 , the memory layer 432 and the tunneling layer 433 . The manufacturing process for forming these structures can refer to the manufacturing process for forming the gate-to-gate dielectric layer 37, the conductor layer 38, the second initial slit opening 35B and the doped region 36 shown in FIG. 3B and FIG. 3D, hereby No restatement.

回到第9C圖,在形成摻雜區和閘極到閘極介電層之後,從第二初始縫隙開口形成縫隙開口(操作950)並在縫隙開口中形成絕緣結構(操作952)。第4D圖和第4E圖分別示出了對應的結構。 Returning to FIG. 9C, after forming the doped regions and the gate-to-gate dielectric layer, a slot opening is formed from the second initial slot opening (operation 950) and an insulating structure is formed in the slot opening (operation 952). Figures 4D and 4E show the corresponding structures, respectively.

如第4D圖和第4E圖所示,可以形成縫隙開口(例如,第4D圖中的450A和第4E圖中的450B)和絕緣結構(例如,第4D圖中的420A和第4E圖中的420B)。形成縫隙開口450A和絕緣結構420A的製造過程可以參考第3E圖和第3G圖中形成縫隙開口350A和絕緣結構320A的製程,形成縫隙開口450B和絕緣結構420B的製程可以參考第3F圖和第3H圖中形成縫隙開口350B和絕緣結構320B的製程,於此不再重述詳情。 As shown in FIGS. 4D and 4E , slot openings (eg, 450A in FIG. 4D and 450B in FIG. 4E ) and insulating structures (eg, 420A in FIG. 4D and 450B in FIG. 4E ) can be formed. 420B). For the manufacturing process of forming the slit opening 450A and the insulating structure 420A, refer to the process of forming the slit opening 350A and the insulating structure 320A in FIG. 3E and 3G. For the process of forming the slit opening 450B and the insulating structure 420B, refer to FIGS. 3F and 3H. The process of forming the slit opening 350B and the insulating structure 320B in the figure will not be repeated here.

返回參考第9C圖,在形成縫隙開口和絕緣結構之後,在絕緣結構中形成源極接觸部(操作954)。第4F圖和第4G圖分別示出了對應的結構。 Referring back to FIG. 9C, after forming the slot opening and the insulating structure, a source contact is formed in the insulating structure (operation 954). Figures 4F and 4G show the corresponding structures, respectively.

如第4F圖和第4G圖所示,在相應的絕緣結構(例如,第4F圖中的420A和第4G圖中的420B)中形成源極接觸部421,接觸相應的摻雜區46。用於形成源極接觸部421的製程可以參考第3I圖和第3J圖中所示的形成源極接觸部321的製程。於此不再重述詳情。 As shown in FIGS. 4F and 4G , source contacts 421 are formed in corresponding insulating structures (eg, 420A in FIG. 4F and 420B in FIG. 4G ), contacting corresponding doped regions 46 . The process for forming the source contact portion 421 can refer to the process for forming the source contact portion 321 shown in FIG. 3I and FIG. 3J . The details will not be repeated here.

第5A-5D、5E和5I圖示出了根據一些實施例,形成在閘極到閘極介電層中具有氣隙的記憶體105的「閘極先製」方法。第5A-5D、5F和5J圖示出了根據一些實施例,形成在閘極到閘極介電層中沒有氣隙的記憶體的「閘極先製」方法。第10圖示出了第5A-5J圖所示的製程的流程圖1000。 Figures 5A-5D, 5E, and 5I illustrate a "gate first" approach to forming memory 105 with an air gap in the gate-to-gate dielectric layer, according to some embodiments. Figures 5A-5D, 5F, and 5J illustrate a "gate first" approach to forming memory without air gaps in the gate-to-gate dielectric layer, according to some embodiments. Figure 10 shows a flowchart 1000 of the process shown in Figures 5A-5J.

製程一開始,在堆疊結構中形成半導體通道(操作1002)。第5A-5C圖示出了對應結構。 The process begins by forming a semiconductor channel in a stack structure (operation 1002). Figures 5A-5C show corresponding structures.

如第5A-5C圖中所示,可以在基底50上方的堆疊結構51中形成半導體通道54。如第5A圖所示,堆疊結構51可以包括形成多個階梯的多個交替設置的第一層511和第二層512,其中每個第一層511/第二層512形成階梯/層級結構。第一層511可以包括犧牲材料,第二層512可以包括用於形成導體層的導體材料,導體層接下來充當記憶體的閘電極。基底50的材料、形成堆疊結構51的材料和製程的詳細描述可以參考第2A圖中的基底20和堆疊結構21的描述,於此不再重述。 在一些實施例中,基底50包括矽,第一層511包括氮化矽和/或氧化矽,第二層512包括多晶矽。 As shown in FIGS. 5A-5C , a semiconductor channel 54 may be formed in the stack structure 51 above the substrate 50 . As shown in FIG. 5A, the stack structure 51 may include a plurality of alternately arranged first layers 511 and second layers 512 forming a plurality of steps, wherein each first layer 511/second layer 512 forms a step/hierarchical structure. The first layer 511 may include a sacrificial material, and the second layer 512 may include a conductive material for forming a conductive layer, which in turn acts as a gate electrode of the memory. The detailed description of the materials of the substrate 50 , the materials of the stacked structure 51 and the manufacturing process can refer to the description of the substrate 20 and the stacked structure 21 in FIG. 2A , which will not be repeated here. In some embodiments, the substrate 50 includes silicon, the first layer 511 includes silicon nitride and/or silicon oxide, and the second layer 512 includes polysilicon.

如第5A圖所示,可以將通道孔52形成為垂直延伸穿過堆疊結構51。形成通道孔52的製程可以與形成初始通道孔22的製程相似或相同(例如,如第2B圖所示)。與形成第2C圖所示的通道孔222不同的是,在通道孔52中的第一層511和第二層512的側表面之間不形成偏移。亦即,第一層511和第二層512的側表面可以沿垂直方向共面。可以在通道孔52的側壁上方相繼沉積阻擋材料層531m、記憶體材料層532m和穿隧材料層533m。形成這些材料層的材料和沉積製程可以參考第2D圖中所示的阻擋材料層、記憶體材料層和穿隧材料的材料和沉積製程,這裡不再重複。 As shown in FIG. 5A , the via hole 52 may be formed to extend vertically through the stack structure 51 . The process for forming via hole 52 may be similar or identical to the process for forming initial via hole 22 (eg, as shown in FIG. 2B ). Unlike forming the via hole 222 shown in FIG. 2C , no offset is formed between the side surfaces of the first layer 511 and the second layer 512 in the via hole 52 . That is, side surfaces of the first layer 511 and the second layer 512 may be coplanar in a vertical direction. A barrier material layer 531 m , a memory material layer 532 m and a tunneling material layer 533 m may be successively deposited over the sidewalls of the channel hole 52 . The materials and deposition processes for forming these material layers can refer to the materials and deposition processes of the barrier material layer, the memory material layer and the tunneling material shown in FIG. 2D, and will not be repeated here.

如第5B圖所示,可以去除阻擋材料層531m、記憶體材料層532m和穿隧材料層533m的部分以裸露基底50。可以執行類似於第2D圖所示蝕刻製程的蝕刻製程,並可以形成阻擋層531、記憶體層532和穿隧層533。 As shown in FIG. 5B , portions of the barrier material layer 531 m , the memory material layer 532 m and the tunneling material layer 533 m may be removed to expose the substrate 50 . An etching process similar to the etching process shown in FIG. 2D may be performed, and a barrier layer 531 , a memory layer 532 and a tunneling layer 533 may be formed.

如第5C圖所示,可以相繼沉積半導體層534和介電芯59以填滿通道孔52並形成半導體通道54。形成半導體層534和介電芯的材料和沉積製程可以參考形成第2E圖和第2F圖中所示的形成半導體層234和介電芯29的材料和沉積製程的描述,於此不再重述。 As shown in FIG. 5C , semiconductor layer 534 and dielectric core 59 may be deposited sequentially to fill via hole 52 and form semiconductor channel 54 . The material and deposition process for forming the semiconductor layer 534 and the dielectric core can refer to the description of the materials and deposition process for forming the semiconductor layer 234 and the dielectric core 29 shown in FIG. 2E and FIG. 2F , and will not repeat them here. .

返回參考第10圖,在形成半導體通道之後,在相鄰導體層之間形成閘極到閘極介電層,並形成第二初始縫隙開口(操作1004)。第5D圖和第5E圖示出了具有包括氣隙的閘極到閘極介電層的對應結構。第5D圖和第5F圖示出了具有無氣隙的閘極到閘極介電層的對應結構。 Referring back to FIG. 10, after forming the semiconductor channel, a gate-to-gate dielectric layer is formed between adjacent conductor layers, and a second initial slot opening is formed (operation 1004). Figures 5D and 5E show corresponding structures with gate-to-gate dielectric layers including air gaps. Figures 5D and 5F show corresponding structures with gate-to-gate dielectric layers without air gaps.

如第5D圖所示,可以將第一初始縫隙開口55形成為垂直延伸穿過堆疊結構,可以通過第一初始縫隙開口55去除第一層511以形成多個橫向凹陷。第一初始縫隙開口55的形成可以參考第2G圖所示的第一初始縫隙開口25的形成, 橫向凹陷的形成可以參考第3A圖所示橫向凹陷的形成。在一些實施例中,在橫向凹陷中裸露阻擋層531的部分。於此不再重述詳情。 As shown in FIG. 5D, a first initial slit opening 55 may be formed to extend vertically through the stack structure, and the first layer 511 may be removed through the first initial slit opening 55 to form a plurality of lateral recesses. The formation of the first initial slit opening 55 can refer to the formation of the first initial slit opening 25 shown in FIG. 2G, The formation of the lateral depressions can refer to the formation of the lateral depressions shown in FIG. 3A. In some embodiments, portions of the barrier layer 531 are exposed in the lateral recesses. The details will not be repeated here.

第5E圖示出了從第5D圖所示結構形成的結構。在一些實施例中,如第5E圖所示,可以形成閘極到閘極介電層57和第二初始縫隙開口55A。閘極到閘極介電層57可以位於相鄰導體層58之間。閘極到閘極介電層57可以包括一對複合層57-1和57-2以及複合層57-1和57-2之間的氣隙573。形成閘極到閘極介電層57和第二初始縫隙開口55A的材料、結構和製程可以參考形成第3A圖中所示閘極到閘極介電層37和第二初始縫隙開口35A的材料、結構和製程的描述,於此不再重述。 Figure 5E shows a structure formed from the structure shown in Figure 5D. In some embodiments, as shown in FIG. 5E, a gate-to-gate dielectric layer 57 and a second initial slot opening 55A may be formed. Gate-to-gate dielectric layers 57 may be located between adjacent conductor layers 58 . The gate-to-gate dielectric layer 57 may include a pair of composite layers 57-1 and 57-2 and an air gap 573 between the composite layers 57-1 and 57-2. The materials, structures and processes for forming the gate-to-gate dielectric layer 57 and the second initial slit opening 55A can refer to the materials for forming the gate-to-gate dielectric layer 37 and the second initial slit opening 35A shown in FIG. 3A , the description of the structure and the manufacturing process will not be repeated here.

圖5F示出了從圖5D所示結構形成的另一結構。在一些實施例中,如圖5E所示,可以形成閘極到閘極介電層57和第二初始縫隙開口55B。閘極到閘極介電層57可以位於相鄰導體層58之間並且在相鄰導體層58之間沒有氣隙。閘極到閘極介電層57可以包括相鄰導體層58之間的複合層。形成閘極到閘極介電層57和第二初始縫隙開口55B的材料、結構和製造過程可以參考形成圖3B中所示閘極到閘極介電層37和第二初始縫隙開口35B的材料、結構和製造過程的描述,在這裡不再重複。 Figure 5F shows another structure formed from the structure shown in Figure 5D. In some embodiments, as shown in FIG. 5E , a gate-to-gate dielectric layer 57 and a second initial slot opening 55B may be formed. The gate-to-gate dielectric layer 57 may be located between adjacent conductor layers 58 without an air gap between adjacent conductor layers 58 . The gate-to-gate dielectric layer 57 may include a composite layer between adjacent conductor layers 58 . The material, structure and manufacturing process for forming the gate-to-gate dielectric layer 57 and the second initial slit opening 55B can refer to the materials for forming the gate-to-gate dielectric layer 37 and the second initial slit opening 35B shown in FIG. 3B , the description of the structure and manufacturing process will not be repeated here.

返回參考第10圖,在形成閘極到閘極介電層和第二初始縫隙開口之後,在第二縫隙結構的底部形成摻雜區,並從第二初始縫隙結構形成縫隙結構(操作1006)。第5G圖和第5H圖均示出了相應結構。 Referring back to FIG. 10, after forming the gate-to-gate dielectric layer and the second initial slit opening, a doped region is formed at the bottom of the second slit structure, and the slit structure is formed from the second initial slit structure (operation 1006) . Figures 5G and 5H both show corresponding structures.

如第5G圖和第5H圖所示,在相應基底50中形成摻雜區56,並將縫隙開口(例如,第5G圖的550A和第5H圖中的550B)形成為延伸穿過堆疊結構並裸露基底50(例如,相應的摻雜區56)。形成摻雜區56和縫隙開口550A/550B的具體製程應當參考形成摻雜區36和縫隙開口350A/350B的製程的描述,於此不再重述。 As shown in FIGS. 5G and 5H , doped regions 56 are formed in respective substrates 50 and slit openings (eg, 550A in FIG. 5G and 550B in FIG. 5H ) are formed to extend through the stack structure and Substrate 50 (eg, corresponding doped regions 56 ) is exposed. The specific process of forming the doped region 56 and the slit opening 550A/ 550B should refer to the description of the process of forming the doped region 36 and the slit opening 350A/ 350B, and will not be repeated here.

返回參考第10圖,在形成摻雜區和縫隙開口之後,在縫隙結構中形成絕緣結構,並在絕緣結構中形成源極接觸部(操作1008)。第5I圖和第5J圖均 示出了相應結構。 Referring back to FIG. 10 , after forming the doped region and the slot opening, an insulating structure is formed in the slot structure, and a source contact is formed in the insulating structure (operation 1008 ). Both Figure 5I and Figure 5J The corresponding structures are shown.

如第5I圖和第5J圖所示,在相應的絕緣結構520A/520B中形成絕緣結構(例如,第5I圖中的520A和第5J圖中的520B)和源極接觸部521。在一些實施例中,源極接觸部521接觸相應的摻雜區36。形成絕緣結構520A/520B和源極接觸部521的材料和製程的描述應當參考形成第3I圖和第3J圖中所示的絕緣結構320A/320B和源極接觸部521的材料和製造過程的描述,於此不再重述。 As shown in FIGS. 5I and 5J , isolation structures (eg, 520A in FIG. 5I and 520B in FIG. 5J ) and source contacts 521 are formed in respective isolation structures 520A/520B. In some embodiments, source contacts 521 contact corresponding doped regions 36 . The description of the materials and process for forming the insulating structure 520A/520B and the source contact 521 should refer to the description of the material and the manufacturing process for forming the insulating structure 320A/320B and the source contact 521 shown in FIG. 3I and FIG. 3J , which will not be repeated here.

第6A-6I圖示出了根據一些實施例,從結構200形成在相鄰導體層之間具有閘極到閘極介電層的記憶體的「閘極後製」方法。具體而言,第6A、6B、6D、6F和6H圖示出了從每個第一層的整體形成閘極到閘極介電層的製程,第6A、6C、6E、6G和6I圖示出了從多個第一層的一部分形成閘極到閘極介電層的製程。在一些實施例中,第6A、6B、6D、6F和6H圖示出了形成記憶體104的製程,第6A、6C、6E、6G和6I圖示出了形成記憶體106的製程。在這種「閘極後製」方法中,第一層211包括用於形成閘極到閘極介電層的介電材料,第二層212包括用於形成充當閘電極的導體層的犧牲材料。介電材料可以包括氧化矽和/或氮化矽。在一些實施例中,第一層211包括氮化矽。在一些實施例中,第二層212包括與第一層211的材料不同的材料。在一些實施例中,第二層212包括多晶矽、碳和/或有機膜。第9D圖示出了第6A-6I圖所示製程的流程圖960。 Figures 6A-6I illustrate a "gate-last" approach to forming a memory from structure 200 with a gate-to-gate dielectric layer between adjacent conductor layers, according to some embodiments. Specifically, Figures 6A, 6B, 6D, 6F, and 6H show the gate-to-gate dielectric process from the integral formation of each first layer, and Figures 6A, 6C, 6E, 6G, and 6I A process for forming a gate-to-gate dielectric layer from a portion of a plurality of first layers is shown. In some embodiments, Figures 6A, 6B, 6D, 6F, and 6H illustrate processes for forming memory 104 , and Figures 6A, 6C, 6E, 6G, and 6I illustrate processes for forming memory 106 . In this "gate-last" approach, the first layer 211 includes a dielectric material used to form a gate-to-gate dielectric layer and the second layer 212 includes a sacrificial material used to form a conductor layer that acts as a gate electrode. . The dielectric material may include silicon oxide and/or silicon nitride. In some embodiments, the first layer 211 includes silicon nitride. In some embodiments, the second layer 212 includes a different material than the material of the first layer 211 . In some embodiments, the second layer 212 includes polysilicon, carbon and/or organic films. Figure 9D shows a flowchart 960 of the process shown in Figures 6A-6I.

如第6A圖所示,製程一開始,去除多個第二層(操作962)。第6A圖示出了對應結構。 As shown in Figure 6A, the process begins by removing the plurality of second layers (operation 962). Figure 6A shows the corresponding structure.

在一些實施例中,執行等向性蝕刻製程(例如,濕蝕刻)以去除第二層212並裸露阻擋層231和基底20。可以通過經由第一初始縫隙開口25去除第二層212來形成多個橫向凹陷62。可以通過橫向凹陷62裸露阻擋層231的部分。 In some embodiments, an isotropic etching process (eg, wet etching) is performed to remove the second layer 212 and expose the barrier layer 231 and the substrate 20 . The plurality of lateral recesses 62 may be formed by removing the second layer 212 through the first initial slit opening 25 . Portions of the barrier layer 231 may be exposed by the lateral recess 62 .

返回參考第9D圖,在去除第二層並形成橫向凹陷之後,在相鄰橫向凹陷之間形成閘極到閘極介電層,並形成第二初始縫隙開口(操作964)。第6B 圖和第6C圖均示出了對應的結構。 Referring back to FIG. 9D, after removing the second layer and forming the lateral recesses, a gate-to-gate dielectric layer is formed between adjacent lateral recesses, and a second initial slot opening is formed (operation 964). Section 6B Figures and Figure 6C both show the corresponding structures.

在一些實施例中,通過經由第一初始縫隙開口25和橫向凹陷62氧化第一層211來形成第6A圖和第6B圖的閘極到閘極介電層67。在一些實施例中,為了形成多個閘極到閘極介電層67,控制氧擴散濃度,使得每個閘極到閘極介電層37包括期望數量的氮氧化矽和/或氧化矽子層。每個複合層的具體結構不應受到本案實施例的限制。可以通過第一層211上的氧化製程從相應的第一初始縫隙開口(例如,第6A圖中的25)形成第二初始縫隙開口(例如,第6B圖中的65A和第6C圖中的65B)。在一些實施例中,可以在基底20上方在第二初始縫隙開口65A/65B的底部從氧和基底20之間的氧化反應形成氧化層61。 In some embodiments, the gate-to-gate dielectric layer 67 of FIGS. 6A and 6B is formed by oxidizing the first layer 211 through the first initial slot opening 25 and the lateral recess 62 . In some embodiments, to form multiple gate-to-gate dielectric layers 67, the oxygen diffusion concentration is controlled such that each gate-to-gate dielectric layer 37 includes a desired amount of silicon oxynitride and/or silicon oxide Floor. The specific structure of each composite layer should not be limited by the embodiment of this case. A second initial slit opening (eg, 65A in FIG. 6B and 65B in FIG. 6C ) may be formed from a corresponding first initial slit opening (eg, 25 in FIG. 6A ) by an oxidation process on the first layer 211 ). In some embodiments, oxide layer 61 may be formed over substrate 20 at the bottom of second initial slit opening 65A/ 65B from an oxidation reaction between oxygen and substrate 20 .

第6B圖示出了通過完全氧化每個第一層211來形成每個閘極到閘極介電層的結構。如第6B圖所示,可以執行氧化反應以從每個第一層211的整個部分的氧化來形成閘極到閘極介電層67。每個閘極到閘極介電層67可以包括複合層,複合層至少包括接下來形成的相鄰導體層之間從相應第一層211的整個部分形成的氮氧化矽子層。在一些實施例中,每個複合層至少包括氮氧化矽子層和至少氧化矽子層。在一些實施例中,每個複合層包括多個交替設置的氮氧化矽子層和氧化矽子層,例如第8B圖所示的結構。 FIG. 6B shows a structure in which each gate-to-gate dielectric layer is formed by fully oxidizing each first layer 211 . As shown in FIG. 6B , an oxidation reaction may be performed to form gate-to-gate dielectric layer 67 from oxidation of the entire portion of each first layer 211 . Each gate-to-gate dielectric layer 67 may comprise a composite layer including at least a silicon oxynitride sublayer formed from the entire portion of the corresponding first layer 211 between subsequently formed adjacent conductor layers. In some embodiments, each composite layer includes at least a silicon oxynitride sublayer and at least a silicon oxide sublayer. In some embodiments, each composite layer includes a plurality of alternating silicon oxynitride sub-layers and silicon oxide sub-layers, such as the structure shown in FIG. 8B.

第6C圖示出了通過部分氧化每個第一層211來形成閘極到閘極介電層67的結構。閘極到閘極介電層67可以包括通過氧化每個第一層211的外部部分而不是整個部分而形成的一對複合層(例如,67-1和67-2)。如第6C圖所示,可以執行氧化反應以從每個第一層211的外部部分來形成閘極到閘極介電層67。每個閘極到閘極介電層67可以包括在接下來形成的相鄰導體層之間形成的一對複合層(例如,67-1和67-2)。每個複合層可以由第一層211的外部部分形成。在一些實施例中,複合層67-1由第一層211的頂部(例如,從第一層211的上表面延伸到第一層211內部的部分)形成,複合層67-2由同一第一層211的底部(例如,從 第一層211的下表面延伸到第一層211的內部的部分)形成。第一層211的未反應部分可以被複合層67-1和67-2夾置或圍繞,並可以被稱為未反應介電層670(例如,由氮化矽構成)。在一些實施例中,閘極到閘極介電層67包括一對複合層67-1和67-2以及複合層67-1和67-2之間的未反應介電層670。複合層67-1和67-2以及未反應介電層670的厚度均可以由氧化製程確定,其中未反應介電層670的厚度大於零。在一些實施例中,每個複合層67-1/67-2可以至少包括氮氧化矽子層。在一些實施例中,每個複合層67-1/67-2至少包括氮氧化矽子層和至少氧化矽子層。在一些實施例中,每個複合層包括多個交替設置的氮氧化矽子層和氧化矽子層,例如第8B圖所示的結構。在一些實施例中,閘極到閘極介電層67包括一對複合層67-1和67-2以及複合層67-1和67-2之間的未反應介電層670。亦即,閘極到閘極介電層67包括由兩個交替設置的氮氧化矽子層和氧化矽子層堆疊體夾置的氮化矽子層。 FIG. 6C shows a structure in which gate-to-gate dielectric layer 67 is formed by partially oxidizing each first layer 211 . The gate-to-gate dielectric layer 67 may include a pair of composite layers (for example, 67-1 and 67-2) formed by oxidizing an outer portion of each first layer 211 instead of the entire portion. As shown in FIG. 6C , an oxidation reaction may be performed to form a gate-to-gate dielectric layer 67 from an outer portion of each first layer 211 . Each gate-to-gate dielectric layer 67 may include a pair of composite layers (eg, 67-1 and 67-2) formed between adjacent conductor layers formed next. Each composite layer may be formed from an outer portion of the first layer 211 . In some embodiments, composite layer 67-1 is formed from the top of first layer 211 (e.g., the portion extending from the upper surface of first layer 211 to the interior of first layer 211), and composite layer 67-2 is formed from the same first layer 211. The bottom of layer 211 (for example, from A portion where the lower surface of the first layer 211 extends to the inside of the first layer 211) is formed. The unreacted portion of first layer 211 may be sandwiched or surrounded by composite layers 67-1 and 67-2 and may be referred to as unreacted dielectric layer 670 (eg, composed of silicon nitride). In some embodiments, the gate-to-gate dielectric layer 67 includes a pair of composite layers 67-1 and 67-2 and an unreacted dielectric layer 670 between the composite layers 67-1 and 67-2. The thicknesses of the composite layers 67-1 and 67-2 and the unreacted dielectric layer 670 can be determined by an oxidation process, wherein the thickness of the unreacted dielectric layer 670 is greater than zero. In some embodiments, each composite layer 67-1/67-2 may include at least a silicon oxynitride sublayer. In some embodiments, each composite layer 67-1/67-2 includes at least a silicon oxynitride sublayer and at least a silicon oxide sublayer. In some embodiments, each composite layer includes a plurality of alternating silicon oxynitride sub-layers and silicon oxide sub-layers, such as the structure shown in FIG. 8B. In some embodiments, the gate-to-gate dielectric layer 67 includes a pair of composite layers 67-1 and 67-2 and an unreacted dielectric layer 670 between the composite layers 67-1 and 67-2. That is, the gate-to-gate dielectric layer 67 includes a silicon nitride sublayer sandwiched by two alternately arranged stacks of silicon oxynitride sublayers and silicon oxide sublayers.

返回參考第9D圖,在形成閘極到閘極介電層之後,形成多個導體層和縫隙開口(操作966)。第6D圖和第6E圖均示出了對應的結構。 Referring back to FIG. 9D, after forming the gate-to-gate dielectric layer, a plurality of conductor layers and slot openings are formed (operation 966). Figures 6D and 6E both show corresponding structures.

如第6D圖和第6E圖所示,從相應的第二初始縫隙開口65A/65B形成多個導體層68和相應的縫隙開口(例如,第6D圖中的650A和第6E圖中的650B)。在一些實施例中,可以向每個橫向凹陷62中沉積導體材料層,以通過相應的第二初始縫隙開口65A/65B填滿橫向凹陷62中的空間,並可以執行凹陷蝕刻(例如,乾蝕刻和/或濕蝕刻)以去除任何剩餘的導體材料和複合層67-1/67-2在第二初始縫隙開口65A/65B的側壁上的部分,形成相應的導體層68和相應的縫隙開口650A/650B。在一些實施例中,導體層68包括鎢、銅、鋁、鈷、矽化物、摻雜和/或多晶矽。在一些實施例中,在沉積導體材料層之前,在橫向凹陷62中通過相應的第二初始縫隙開口沉積黏合層624,例如,以改善導體材料層和閘極到閘極介電層67之間的黏附。在一些實施例中,黏合層624包括鈦(Ti)和/或氮化鈦 (TiN)。在一些實施例中,導體材料層和黏合層624均通過適當方法,例如CVD、ALD、LPCVD和/或PVD中的一或多種方法來沉積。 As shown in Figures 6D and 6E, a plurality of conductor layers 68 and corresponding slot openings are formed from corresponding second initial slot openings 65A/65B (e.g., 650A in Figure 6D and 650B in Figure 6E) . In some embodiments, a layer of conductive material may be deposited into each lateral recess 62 to fill the space in the lateral recess 62 through the corresponding second initial slit opening 65A/65B, and a recess etch (e.g., dry etch) may be performed. and/or wet etching) to remove any remaining conductor material and the portion of the composite layer 67-1/67-2 on the sidewalls of the second initial slit opening 65A/65B to form a corresponding conductor layer 68 and a corresponding slit opening 650A /650B. In some embodiments, conductor layer 68 includes tungsten, copper, aluminum, cobalt, suicide, doped and/or polysilicon. In some embodiments, prior to depositing the conductive material layer, an adhesion layer 624 is deposited in the lateral recess 62 through a corresponding second initial slit opening, for example, to improve the connection between the conductive material layer and the gate-to-gate dielectric layer 67. of adhesion. In some embodiments, the adhesion layer 624 includes titanium (Ti) and/or titanium nitride (TiN). In some embodiments, both the conductive material layer and the adhesive layer 624 are deposited by suitable methods, such as one or more of CVD, ALD, LPCVD, and/or PVD.

返回參考第9D圖,在形成導體層之後,在基底中在縫隙開口的底部形成摻雜區,並在縫隙開口中形成絕緣結構(操作968)。第6F圖和第6G圖均示出了對應的結構。 Referring back to FIG. 9D, after forming the conductor layer, a doped region is formed in the substrate at the bottom of the slit opening, and an insulating structure is formed in the slit opening (operation 968). Figures 6F and 6G both show corresponding structures.

如第6F圖和第6G圖所示,可以在基底20中形成相應摻雜區66。摻雜區66可以包括在基底10中形成並與基底20極性相反的適當摻雜(例如,P型或N型)半導體區。可以執行適當的摻雜製程,例如離子佈植,以形成摻雜區66。在一些實施例中,摻雜區66包括摻雜矽。 Correspondingly doped regions 66 may be formed in substrate 20 as shown in FIGS. 6F and 6G . Doped region 66 may include a suitably doped (eg, P-type or N-type) semiconductor region formed in substrate 10 and opposite in polarity to substrate 20 . A suitable doping process, such as ion implantation, can be performed to form the doped region 66 . In some embodiments, doped region 66 includes doped silicon.

可以形成相應的絕緣結構(例如,第6F圖中的620A和第6G圖中的620B)以使相應導體層68與接下來形成的源極接觸部絕緣。在一些實施例中,絕緣結構620A/620B均覆蓋相應縫隙開口的側壁並裸露基底20(例如,相應摻雜區66)。在一些實施例中,絕緣結構620A覆蓋閘極到閘極介電層67的複合層、導體層68和黏合層624的側表面。在一些實施例中,絕緣結構620B覆蓋閘極到閘極介電層67的複合層、閘極到閘極介電層67的未反應介電層670、導體層68和黏合層624的側表面。為了形成絕緣結構620A/620B,可以沉積適當的絕緣材料以覆蓋相應縫隙開口650A/650B的側壁,並可以執行適當的凹陷蝕刻(例如,乾蝕刻和/或濕蝕刻)以去除縫隙開口650A/650B的側壁和底部上的絕緣材料的剩餘部分。也可以通過凹陷蝕刻製程去除相應的氧化層61。可以在縫隙開口650A/650B中形成絕緣結構620A/620B。在一些實施例中,絕緣結構120包括氧化矽,並通過CVD、ALD、LPCVD和/或PVD的任一種沉積方法。在各實施例中,形成相應絕緣結構620A/620B和摻雜區66的次序可以基於不同的製造操作而變化,並且不應受到本案實施例的限制。 A corresponding insulating structure (eg, 620A in Figure 6F and 620B in Figure 6G) may be formed to insulate the corresponding conductor layer 68 from a subsequently formed source contact. In some embodiments, the insulating structures 620A/ 620B both cover the sidewalls of the corresponding slit openings and expose the substrate 20 (eg, the corresponding doped regions 66 ). In some embodiments, the insulating structure 620A covers the side surfaces of the composite layer of the gate-to-gate dielectric layer 67 , the conductor layer 68 and the adhesive layer 624 . In some embodiments, the insulating structure 620B covers the composite layer of the gate-to-gate dielectric layer 67 , the unreacted dielectric layer 670 of the gate-to-gate dielectric layer 67 , the conductor layer 68 and the side surfaces of the adhesive layer 624 . To form the insulating structures 620A/620B, a suitable insulating material may be deposited to cover the sidewalls of the corresponding slot openings 650A/650B, and a suitable recess etch (eg, dry etch and/or wet etch) may be performed to remove the slot openings 650A/650B. The remainder of the insulating material on the side walls and bottom. The corresponding oxide layer 61 can also be removed by a recess etching process. Insulation structures 620A/620B may be formed in slot openings 650A/650B. In some embodiments, the insulating structure 120 includes silicon oxide and is deposited by any one of CVD, ALD, LPCVD and/or PVD. In various embodiments, the order of forming the corresponding insulating structures 620A/ 620B and the doped regions 66 may vary based on different manufacturing operations, and should not be limited by the present embodiment.

返回參考第9D圖,在形成絕緣結構和摻雜區之後,在絕緣結構中形 成源極接觸部(操作970)。第6H圖和第6I圖均示出了對應的結構。 Referring back to FIG. 9D, after forming the insulating structure and the doped region, forming in the insulating structure A source contact is formed (operation 970). Figures 6H and 6I both show corresponding structures.

如第6H圖和第6I圖所示,在相應的絕緣結構620A/620B中形成源極接觸部621。源極接觸部621可以接觸相應的摻雜區66並通過摻雜區66和基底20與半導體通道24形成電連接。源極接觸部621可以包括鎢、鈷、銅、鋁、矽化物和/或摻雜多晶矽中的一或多種,並可以通過CVD、PVD和/或ALD中的一或多種方法來沉積。可以執行適當的CMP和/或凹陷蝕刻以去除絕緣結構620A/620B和源極接觸部621的剩餘材料。 As shown in FIGS. 6H and 6I , source contacts 621 are formed in respective insulating structures 620A/ 620B. The source contact 621 may contact the corresponding doped region 66 and form an electrical connection with the semiconductor channel 24 through the doped region 66 and the substrate 20 . The source contact 621 may include one or more of tungsten, cobalt, copper, aluminum, silicide, and/or doped polysilicon, and may be deposited by one or more of CVD, PVD, and/or ALD. Appropriate CMP and/or recess etching may be performed to remove the remaining material of the insulating structures 620A/ 620B and the source contacts 621 .

在一些實施例中,也採用「閘極後製」方法形成記憶體,該記憶體具有不包括橫向部分的半導體通道,例如,橫向部分沿垂直方向一致延伸。例如,為了形成記憶體,可以在堆疊結構中形成與半導體通道54(例如,第5C圖中所示)相似或相同的半導體通道。與堆疊結構51不同,該堆疊結構可以具有多個交替設置的介電材料層的第一層和犧牲材料層的第二層,與第6A-6I圖中所示的堆疊結構相似或相同。在一些實施例中,第一層包括氮化矽,第二層包括與第一層不同的材料,例如多晶矽、碳和/或有機膜。可以去除第二層以形成多個橫向凹陷,類似於第6A圖中所示的製造操作。然後可以使用類似於第6B圖和第6C圖所示的氧化製程的氧化反應來氧化第一層,以形成多個閘極到閘極介電層。該堆疊結構還可以使用第6D-6I圖所示的製造過程來處理,以形成其他部分,例如,源極接觸部、絕緣結構和導體層。形成記憶體的材料和製程的詳細描述可以參考第5A-5J圖和第6A-6I圖的描述,於此不再重述。 In some embodiments, a "gate-last" approach is also used to form memories having semiconductor channels that do not include lateral portions, eg, the lateral portions extend uniformly in a vertical direction. For example, to form a memory, a semiconductor channel similar to or identical to semiconductor channel 54 (eg, shown in FIG. 5C ) may be formed in a stacked structure. Unlike the stack structure 51, the stack structure may have a plurality of alternating first layers of dielectric material layers and second layers of sacrificial material layers, similar or identical to the stack structure shown in FIGS. 6A-6I. In some embodiments, the first layer includes silicon nitride, and the second layer includes a different material than the first layer, such as polysilicon, carbon, and/or an organic film. The second layer can be removed to form a plurality of lateral recesses, similar to the fabrication operation shown in Figure 6A. The first layer may then be oxidized using an oxidation reaction similar to the oxidation process shown in FIGS. 6B and 6C to form a plurality of gate-to-gate dielectric layers. The stacked structure can also be processed using the fabrication process shown in FIGS. 6D-6I to form other parts, such as source contacts, insulating structures, and conductor layers. The detailed description of the materials and processes for forming the memory can refer to the descriptions in FIGS. 5A-5J and FIGS. 6A-6I , and will not be repeated here.

在各實施例中,基於第一層和/或第二層的材料,閘極到閘極介電層可以包括與本揭露書中介紹的材料不同的材料。通過使用本揭露書的方法,第一層和/或第二層可以經歷適當的反應(例如,氧化和/或氮化反應)以在相應的閘極到閘極介電層中形成至少高k介電材料的子層。例如,x81可以包括氧化鉿(HfOx),x82可以包括氮氧化鉿(HfOxNy,例如HfON)。在一些實施例中,可 以通過沉積氧化鉿以填滿橫向凹陷(通過去除第一層211形成),並在導體層18之間的氧化鉿上執行氧化和/或氮化製程以在閘極到閘極介電層17中形成至少氮氧化鉿的子層,從而形成記憶體102和104的閘極到閘極介電層17。在一些實施例中,在「閘極先製」方法中,第二層212包括鉿,記憶體101、103、105和106(例如,均通過「閘極先製」方法形成)的閘極到閘極介電層17包括至少氮氧化鉿子層。在一些實施例中,在「閘極後製」方法中,第一層211包括鉿,記憶體104和106(例如,均通過「閘極後製」方法形成)的閘極到閘極介電層17包括至少氮氧化鉿子層。閘極到閘極介電層的具體材料不應受到本案實施例的限制。 In various embodiments, the gate-to-gate dielectric layer may comprise different materials than those presented in this disclosure based on the material of the first layer and/or the second layer. By using the methods of the present disclosure, the first layer and/or the second layer can undergo appropriate reactions (eg, oxidation and/or nitridation reactions) to form at least a high-k Sublayers of dielectric material. For example, x81 may include hafnium oxide (HfO x ), and x82 may include hafnium oxynitride (HfO x N y , such as HfON). In some embodiments, the lateral recess (formed by removing the first layer 211 ) can be filled by depositing hafnium oxide, and an oxidation and/or nitridation process is performed on the hafnium oxide between the conductor layers 18 to form the gate to At least a sub-layer of hafnium oxynitride is formed in the gate dielectric layer 17 to form the gate-to-gate dielectric layer 17 of the memories 102 and 104 . In some embodiments, in a "gate-first" approach, second layer 212 includes hafnium, and the gates of memories 101, 103, 105, and 106 (eg, all formed by a "gate-first" approach) to The gate dielectric layer 17 includes at least a hafnium oxynitride sublayer. In some embodiments, in a "gate-last" approach, first layer 211 includes hafnium, and the gate-to-gate dielectric of memories 104 and 106 (eg, both formed by a "gate-last" approach) Layer 17 comprises at least a hafnium oxynitride sublayer. The specific material of the gate-to-gate dielectric layer should not be limited by this embodiment.

在一些實施例中,一種用於形成3D記憶體的方法包括以下操作。首先,在基底上方交替設置的多個第一層和多個第二層的堆疊結構中形成初始通道孔。在初始通道孔的側壁上的每個第一層的側表面和每個第二層的側表面之間形成偏移,以形成通道孔。還通過利用通道形成結構填充所述通道孔來形成半導體通道。所述半導體通道可以具有記憶體層,所述記憶體層包括圍繞每個第二層的底部的第一記憶體部分以及連接相鄰的第一記憶體部分的第二記憶體部分。所述第一記憶體部分和所述第二記憶體部分可以沿著垂直於所述基底的頂表面的垂直方向交錯。此外,基於所述多個第二層形成多個導體層並且在相鄰導體層之間形成包括至少一個氮氧化矽子層的閘極到閘極介電層。 In some embodiments, a method for forming a 3D memory includes the following operations. First, initial channel holes are formed in a stacked structure of a plurality of first layers and a plurality of second layers alternately arranged above the substrate. An offset is formed between the side surface of each first layer and the side surface of each second layer on the sidewall of the initial channel hole to form the channel hole. A semiconductor channel is also formed by filling the channel hole with a channel forming structure. The semiconductor channel may have a memory layer comprising a first memory portion surrounding the bottom of each second layer and a second memory portion connecting adjacent first memory portions. The first memory portion and the second memory portion may be staggered along a vertical direction perpendicular to the top surface of the substrate. Additionally, a plurality of conductor layers are formed based on the plurality of second layers and a gate-to-gate dielectric layer including at least one silicon oxynitride sublayer is formed between adjacent conductor layers.

在一些實施例中,所述多個第一層和所述多個第二層通過如下方式形成:在所述基底上方交替沉積多個犧牲材料層和多個導體材料層,以在所述基底上方形成初始堆疊結構。所述多個犧牲材料層可以具有與所述多個導體材料層不同的蝕刻選擇性。在一些實施例中,所述多個第一層和所述多個第二層還通過如下方式形成:沿著所述垂直方向反復蝕刻所述多個犧牲材料層和所述多個導體材料層以形成所述堆疊結構,所述堆疊結構具有以階梯結構設置的所述多個第一層和所述多個第二層。 In some embodiments, the plurality of first layers and the plurality of second layers are formed by alternately depositing a plurality of layers of sacrificial material and a plurality of layers of conductive material on the substrate to An initial stacked structure is formed above. The plurality of sacrificial material layers may have a different etch selectivity than the plurality of conductive material layers. In some embodiments, the plurality of first layers and the plurality of second layers are further formed by repeatedly etching the plurality of sacrificial material layers and the plurality of conductive material layers along the vertical direction To form the stacked structure, the stacked structure has the plurality of first layers and the plurality of second layers arranged in a stepped structure.

在一些實施例中,形成所述閘極到閘極介電層包括:形成在所述堆疊結構中延伸並且裸露所述基底的第一初始縫隙開口;以及執行蝕刻製程以去除所述多個第一層,從而形成多個橫向凹陷。 In some embodiments, forming the gate-to-gate dielectric layer includes: forming a first initial slit opening extending in the stack structure and exposing the substrate; and performing an etching process to remove the plurality of first slit openings. One layer, thereby forming a plurality of lateral depressions.

在一些實施例中,所述多個第二層包括多晶矽並且形成所述閘極到閘極介電層還包括:從所述多個第二層中的每一個的一部分形成複合層,相應第二層的剩餘部分形成相應導體層,相鄰的所述導體層上彼此面對的一對複合層形成所述閘極到閘極介電層,所述第一初始縫隙開口形成第二初始縫隙開口,所述複合層具有至少一個氮氧化矽子層。 In some embodiments, the plurality of second layers includes polysilicon and forming the gate-to-gate dielectric layer further includes: forming a composite layer from a portion of each of the plurality of second layers, corresponding to the first The remaining part of the second layer forms a corresponding conductor layer, a pair of composite layers facing each other on adjacent conductor layers forms the gate-to-gate dielectric layer, and the opening of the first initial slit forms a second initial slit opening, the composite layer has at least one silicon oxynitride sublayer.

在一些實施例中,形成所述複合層和所述多個導體層包括:通過所述第一初始縫隙開口和所述多個橫向凹陷在所述多個第二層上執行一或多種氧化反應和氮化反應,所述每個第二層的已反應部分形成相應複合層。所述每個第二層的未反應部分形成所述相應導體層。 In some embodiments, forming the composite layer and the plurality of conductor layers includes: performing one or more oxidation reactions on the plurality of second layers through the first initial slit opening and the plurality of lateral recesses and nitriding reaction, the reacted portion of each second layer forms a corresponding composite layer. The unreacted portion of each second layer forms the respective conductor layer.

在一些實施例中,從每個相應第二層的頂部和底部形成所述複合層。 In some embodiments, the composite layer is formed from the top and bottom of each respective second layer.

在一些實施例中,形成所述閘極到閘極介電結構還包括在所述一對複合層之間形成氣隙。 In some embodiments, forming the gate-to-gate dielectric structure further includes forming an air gap between the pair of composite layers.

在一些實施例中,形成所述複合層包括控制氧擴散濃度,使得所述複合層包括所述至少一個氮氧化矽子層。 In some embodiments, forming the composite layer includes controlling oxygen diffusion concentration such that the composite layer includes the at least one silicon oxynitride sublayer.

在一些實施例中,形成所述複合層還包括控制氧擴散濃度,使得所述複合層包括至少一個氮氧化矽子層和至少一個氧化矽子層。 In some embodiments, forming the composite layer further includes controlling oxygen diffusion concentration, so that the composite layer includes at least one silicon oxynitride sublayer and at least one silicon oxide sublayer.

在一些實施例中,形成複合層還包括形成多個交替設置的氮氧化矽子層和氧化矽子層。 In some embodiments, forming the composite layer further includes forming a plurality of alternately disposed silicon oxynitride sub-layers and silicon oxide sub-layers.

在一些實施例中,形成所述閘極到閘極介電結構包括利用閘極到閘極介電層填充所述每個橫向凹陷。所述多個第二層可以形成所述多個導體層,所述閘極到閘極介電層可以包括至少一個氮氧化矽子層。 In some embodiments, forming the gate-to-gate dielectric structure includes filling each of the lateral recesses with a gate-to-gate dielectric layer. The plurality of second layers may form the plurality of conductor layers, and the gate-to-gate dielectric layer may include at least one silicon oxynitride sublayer.

在一些實施例中,所述閘極到閘極介電層是通過如下方式形成的:沉積氮化矽層以填滿所述每個橫向凹陷;以及通過控制氧擴散濃度來氧化所述氮化矽層,使得所述閘極到閘極介電層包括所述至少一個氮氧化矽子層。 In some embodiments, the gate-to-gate dielectric layer is formed by depositing a silicon nitride layer to fill each of the lateral recesses; and oxidizing the nitride by controlling the oxygen diffusion concentration. a silicon layer such that the gate-to-gate dielectric layer includes the at least one silicon oxynitride sublayer.

在一些實施例中,形成所述閘極到閘極介電層還包括控制氧擴散濃度,使得所述閘極到閘極介電層包括相鄰第二層之間的至少一個氮氧化矽子層和至少一個氧化矽子層。 In some embodiments, forming the gate-to-gate dielectric layer further includes controlling the oxygen diffusion concentration such that the gate-to-gate dielectric layer includes at least one silicon oxynitride layer between adjacent second layers. layer and at least one silicon oxide sublayer.

在一些實施例中,形成所述閘極到閘極介電層還包括控制氧擴散濃度,使得所述閘極到閘極介電層包括相鄰第二層之間多個交替設置的氮氧化矽子層和氧化矽子層。 In some embodiments, forming the gate-to-gate dielectric layer further includes controlling the oxygen diffusion concentration, so that the gate-to-gate dielectric layer includes a plurality of oxynitride layers alternately arranged between adjacent second layers. Silicon sublayer and silicon oxide sublayer.

在一些實施例中,所述多個第一層和所述多個第二層是通過如下方式形成的:在所述基底上方交替沉積多個絕緣材料層和多個犧牲材料層,以在所述基底上方形成初始堆疊結構。所述多個絕緣材料層可以具有與所述多個第二材料層不同的蝕刻選擇性。在一些實施例中,所述多個第一層和所述多個第二層還通過如下方式形成:沿著所述垂直方向反復蝕刻所述多個絕緣材料層和所述多個犧牲材料層以形成所述堆疊結構,所述堆疊結構具有所述多個第一層和所述多個第二層。 In some embodiments, the plurality of first layers and the plurality of second layers are formed by alternately depositing a plurality of insulating material layers and a plurality of sacrificial material layers on the substrate, so that An initial stack structure is formed above the substrate. The plurality of insulating material layers may have a different etch selectivity from the plurality of second material layers. In some embodiments, the plurality of first layers and the plurality of second layers are further formed by repeatedly etching the plurality of insulating material layers and the plurality of sacrificial material layers along the vertical direction To form the stacked structure, the stacked structure has the plurality of first layers and the plurality of second layers.

在一些實施例中,形成所述閘極到閘極介電結構包括:形成在所述堆疊結構中延伸並且裸露所述基底的第一初始縫隙開口;以及執行蝕刻製程以去除所述多個第二層,從而形成多個橫向凹陷。 In some embodiments, forming the gate-to-gate dielectric structure includes: forming a first initial slit opening extending in the stack structure and exposing the substrate; and performing an etching process to remove the plurality of first slit openings. The second layer, thereby forming a plurality of lateral depressions.

在一些實施例中,所述多個第一層包括氮化矽並且形成所述閘極到閘極介電結構包括從所述多個第一層中的每一個形成閘極到閘極介電層。所述閘極到閘極介電層可以具有至少一個氮氧化矽子層。形成所述閘極到閘極介電結構還可以包括從所述第一初始縫隙開口形成第二初始縫隙開口。 In some embodiments, the plurality of first layers includes silicon nitride and forming the gate-to-gate dielectric structure includes forming a gate-to-gate dielectric structure from each of the plurality of first layers. Floor. The gate-to-gate dielectric layer may have at least one silicon oxynitride sublayer. Forming the gate-to-gate dielectric structure may further include forming a second initial slot opening from the first initial slot opening.

在一些實施例中,形成所述閘極到閘極介電結構包括通過所述第一 初始縫隙開口和所述多個橫向凹陷在所述多個第一層上執行氧化反應,以至少部分氧化所述多個第一層。所述每個第一層的已反應部分可以形成具有至少一個氮氧化矽子層的複合層。 In some embodiments, forming the gate-to-gate dielectric structure includes The initial slit opening and the plurality of lateral recesses perform an oxidation reaction on the plurality of first layers to at least partially oxidize the plurality of first layers. The reacted portion of each first layer may form a composite layer having at least one silicon oxynitride sublayer.

在一些實施例中,形成所述閘極到閘極介電層還包括形成至少一個氮氧化矽子層和至少一個氧化矽子層。 In some embodiments, forming the gate-to-gate dielectric layer further includes forming at least one silicon oxynitride sublayer and at least one silicon oxide sublayer.

在一些實施例中,形成閘極到閘極介電層還包括形成多個交替設置的氮氧化矽子層和氧化矽子層。 In some embodiments, forming the gate-to-gate dielectric layer further includes forming a plurality of alternately disposed silicon oxynitride sub-layers and silicon oxide sub-layers.

在一些實施例中,所述方法還包括形成多個導體層以填滿所述多個橫向凹陷。相鄰導體層可以通過其間的相應閘極到閘極介電層絕緣。 In some embodiments, the method further includes forming a plurality of conductor layers to fill the plurality of lateral recesses. Adjacent conductor layers may be insulated by respective gate-to-gate dielectric layers therebetween.

在一些實施例中,所述方法還包括在形成所述多個導體層之前在所述多個橫向凹陷中形成黏合層。 In some embodiments, the method further includes forming an adhesive layer in the plurality of lateral recesses prior to forming the plurality of conductor layers.

在一些實施例中,形成所述偏移包括去除所述初始通道孔的所述側壁上的所述每個第一層的側表面的一部分。 In some embodiments, forming the offset includes removing a portion of the side surface of each first layer on the sidewall of the initial via hole.

在一些實施例中,去除所述每個第一層的側表面的所述部分包括執行凹陷蝕刻製程,所述凹陷蝕刻製程相對於所述多個第二層選擇性地蝕刻所述多個第一層。 In some embodiments, removing the portion of the side surface of each first layer includes performing a recess etch process that selectively etches the plurality of first layers relative to the plurality of second layers. layer.

在一些實施例中,利用通道形成結構填充所述通道孔包括:在所述通道孔的側壁上方形成阻擋層,在所述阻擋層上方形成所述記憶體層,在所述記憶體層上方形成穿隧層,在所述穿隧層上方形成半導體層,以及在所述半導體層上方形成介電芯以填滿所述通道孔。 In some embodiments, filling the channel hole with the channel forming structure includes: forming a barrier layer above the sidewall of the channel hole, forming the memory layer above the barrier layer, and forming a tunneling layer above the memory layer. layer, forming a semiconductor layer over the tunneling layer, and forming a dielectric core over the semiconductor layer to fill the channel hole.

在一些實施例中,所述方法還包括:在所述基底中在所述第二初始縫隙開口底部處形成摻雜區;通過去除所述複合層的部分以裸露縫隙開口的側壁上的所述多個第二層並且裸露所述縫隙開口底部處的所述基底,從所述第二初始縫隙開口形成所述縫隙開口;在所述縫隙開口中形成絕緣結構,所述絕緣 結構在所述縫隙開口的側壁上的所述多個第二層的所裸露部分上方並且裸露所述縫隙開口底部處的所述基底;以及在所述絕緣結構中形成與所述摻雜區接觸的源極接觸部。 In some embodiments, the method further includes: forming a doped region in the substrate at the bottom of the second initial slit opening; exposing the doped region on the sidewall of the slit opening by removing part of the composite layer a plurality of second layers and exposing the substrate at the bottom of the slit opening, the slit opening is formed from the second initial slit opening; an insulating structure is formed in the slit opening, the insulating a structure over the exposed portions of the plurality of second layers on sidewalls of the slit opening and exposing the substrate at the bottom of the slit opening; and forming a contact to the doped region in the insulating structure of the source contact.

在一些實施例中,在所述縫隙開口中形成絕緣結構包括沉積一層氧化矽層,所述氧化矽層覆蓋所述多個第二層的所裸露部分和相鄰第二層之間的所述閘極到閘極介電層;並且形成所述源極接觸部包括在所述絕緣結構中沉積鎢、鈷、銅、鋁、多晶矽、摻雜矽或矽化物中的至少一種材料。 In some embodiments, forming the insulating structure in the slit opening includes depositing a layer of silicon oxide, and the silicon oxide layer covers the exposed portions of the plurality of second layers and the layers between adjacent second layers. a gate-to-gate dielectric layer; and forming the source contact includes depositing at least one of tungsten, cobalt, copper, aluminum, polysilicon, doped silicon, or silicide in the insulating structure.

在一些實施例中,一種用於形成3D記憶體的方法包括:在基底上方交替設置的多個第一層和多個第二層的堆疊結構中形成初始通道孔;在所述初始通道孔的側壁上的所述每個第一層的側表面和所述每個第二層的側表面之間形成偏移,以形成通道孔;以及通過利用通道形成結構填充所述通道孔來形成半導體通道。所述半導體通道可以具有記憶體層,所述記憶體層包括圍繞每個第二層的底部的第一記憶體部分以及連接相鄰的第一記憶體部分的第二記憶體部分。所述第一記憶體部分和所述第二記憶體部分可以沿著垂直於所述基底的頂表面的垂直方向交錯。所述方法還可以包括去除所述多個第一層和所述多個第二層中的其中一者;以及根據所述多個第一層和所述多個第二層中的剩餘一者形成閘極到閘極介電結構。 In some embodiments, a method for forming a 3D memory includes: forming an initial channel hole in a stack structure of a plurality of first layers and a plurality of second layers alternately arranged above a substrate; forming an offset between the side surface of each first layer and the side surface of each second layer on the sidewall to form a via hole; and forming a semiconductor channel by filling the via hole with a channel forming structure . The semiconductor channel may have a memory layer comprising a first memory portion surrounding the bottom of each second layer and a second memory portion connecting adjacent first memory portions. The first memory portion and the second memory portion may be staggered along a vertical direction perpendicular to the top surface of the substrate. The method may further include removing one of the plurality of first layers and the plurality of second layers; and according to the remaining one of the plurality of first layers and the plurality of second layers A gate-to-gate dielectric structure is formed.

在一些實施例中,所述多個第一層和所述多個第二層通過如下方式形成:在所述基底上方交替沉積多個犧牲材料層和多個導體材料層,以在所述基底上方形成初始堆疊結構。所述多個犧牲材料層可以具有與所述多個導體材料層不同的蝕刻選擇性。在一些實施例中,所述多個第一層和所述多個第二層還通過如下方式形成:反復蝕刻所述多個犧牲材料層和所述多個導體材料層以形成所述堆疊結構,所述堆疊結構具有以階梯結構設置的所述多個第一層和所述多個第二層。 In some embodiments, the plurality of first layers and the plurality of second layers are formed by alternately depositing a plurality of layers of sacrificial material and a plurality of layers of conductive material on the substrate to An initial stacked structure is formed above. The plurality of sacrificial material layers may have a different etch selectivity than the plurality of conductive material layers. In some embodiments, the plurality of first layers and the plurality of second layers are further formed by repeatedly etching the plurality of sacrificial material layers and the plurality of conductive material layers to form the stacked structure , the stacked structure has the plurality of first layers and the plurality of second layers arranged in a stepped structure.

在一些實施例中,形成所述閘極到閘極介電結構包括:形成在所述堆疊結構中延伸並且裸露所述基底的第一初始縫隙開口;以及去除所述多個第一層和所述多個第二層中的其中一者包括去除所述多個第一層,以形成多個橫向凹陷。 In some embodiments, forming the gate-to-gate dielectric structure includes: forming a first initial slit opening extending in the stack structure and exposing the substrate; and removing the plurality of first layers and the One of the plurality of second layers includes removing the plurality of first layers to form a plurality of lateral recesses.

在一些實施例中,所述多個第二層包括多晶矽並且形成所述閘極到閘極介電結構包括:從所述每個第二層的一部分形成複合層,相應第二層的剩餘部分形成相應導體層,相鄰的所述導體層上彼此面對的一對複合層形成所述閘極到閘極介電層,所述第一初始縫隙開口形成第二初始縫隙開口,所述複合層具有至少一個氮氧化矽子層。 In some embodiments, the plurality of second layers comprises polysilicon and forming the gate-to-gate dielectric structure includes forming a composite layer from a portion of each second layer, the remaining portion of the corresponding second layer forming corresponding conductor layers, a pair of composite layers facing each other on adjacent conductor layers form the gate-to-gate dielectric layer, the first initial slit opening forms a second initial slit opening, and the composite The layer has at least one silicon oxynitride sublayer.

在一些實施例中,形成所述複合層和所述多個導體層包括通過所述第一初始縫隙開口和所述多個橫向凹陷在所述多個第二層上執行一或多種氧化反應和氮化反應。所述每個第二層的已反應部分可以形成相應複合層,所述每個第二層的未反應部分可以形成所述相應導體層。 In some embodiments, forming the composite layer and the plurality of conductor layers includes performing one or more oxidation reactions on the plurality of second layers through the first initial slit opening and the plurality of lateral recesses and Nitriding reaction. The reacted portion of each second layer may form the respective composite layer, and the unreacted portion of each second layer may form the respective conductor layer.

在一些實施例中,從每一個相應第二層的頂部和底部形成所述複合層。 In some embodiments, the composite layer is formed from the top and bottom of each respective second layer.

在一些實施例中,形成所述閘極到閘極介電結構還包括在所述一對複合層之間形成氣隙。 In some embodiments, forming the gate-to-gate dielectric structure further includes forming an air gap between the pair of composite layers.

在一些實施例中,形成所述複合層包括控制氧擴散濃度,使得所述複合層包括所述相應導體層上的所述氮氧化矽子層。 In some embodiments, forming the composite layer includes controlling oxygen diffusion concentration such that the composite layer includes the silicon oxynitride sublayer on the corresponding conductor layer.

在一些實施例中,形成所述複合層還包括控制氧擴散濃度,使得所述複合層包括至少一個氮氧化矽子層和至少一個氧化矽子層。 In some embodiments, forming the composite layer further includes controlling oxygen diffusion concentration, so that the composite layer includes at least one silicon oxynitride sublayer and at least one silicon oxide sublayer.

在一些實施例中,形成複合層還包括控制氧擴散濃度,使得所述複合層包括多個交替設置的氮氧化矽子層和氧化矽子層。 In some embodiments, forming the composite layer further includes controlling the oxygen diffusion concentration, so that the composite layer includes a plurality of silicon oxynitride sub-layers and silicon oxide sub-layers arranged alternately.

在一些實施例中,形成所述閘極到閘極介電結構包括利用閘極到閘 極介電層填充所述每個橫向凹陷。所述多個第二層可以形成所述多個導體層,所述閘極到閘極介電層可以包括至少一個氮氧化矽子層。 In some embodiments, forming the gate-to-gate dielectric structure includes using a gate-to-gate A very dielectric layer fills each of the lateral recesses. The plurality of second layers may form the plurality of conductor layers, and the gate-to-gate dielectric layer may include at least one silicon oxynitride sublayer.

在一些實施例中,所述閘極到閘極介電層是通過如下方式形成的:沉積氮化矽層以填滿所述每個橫向凹陷;以及通過控制氧擴散濃度來氧化所述氮化矽層,使得所述閘極到閘極介電層包括所述至少一個氮氧化矽子層。 In some embodiments, the gate-to-gate dielectric layer is formed by depositing a silicon nitride layer to fill each of the lateral recesses; and oxidizing the nitride by controlling the oxygen diffusion concentration. a silicon layer such that the gate-to-gate dielectric layer includes the at least one silicon oxynitride sublayer.

在一些實施例中,形成所述閘極到閘極介電層還包括控制氧擴散濃度,使得所述閘極到閘極介電層包括相鄰第二層之間的至少一個氮氧化矽子層和至少一個氧化矽子層。 In some embodiments, forming the gate-to-gate dielectric layer further includes controlling the oxygen diffusion concentration such that the gate-to-gate dielectric layer includes at least one silicon oxynitride layer between adjacent second layers. layer and at least one silicon oxide sublayer.

在一些實施例中,形成所述閘極到閘極介電層還包括控制氧擴散濃度,使得所述閘極到閘極介電層包括相鄰第二層之間多個交替設置的氮氧化矽子層和氧化矽子層。 In some embodiments, forming the gate-to-gate dielectric layer further includes controlling the oxygen diffusion concentration, so that the gate-to-gate dielectric layer includes a plurality of oxynitride layers alternately arranged between adjacent second layers. Silicon sublayer and silicon oxide sublayer.

在一些實施例中,所述多個第一層和所述多個第二層通過如下方式形成:在所述基底上方交替沉積多個絕緣材料層和多個犧牲材料層,以在所述基底上方形成初始堆疊結構。所述多個絕緣材料層可以具有與所述多個第二材料層不同的蝕刻選擇性。在一些實施例中,所述多個第一層和所述多個第二層通過如下方式形成:反復蝕刻所述多個絕緣材料層和所述多個犧牲材料層以形成所述堆疊結構,所述堆疊結構具有階梯結構的所述多個第一層和所述多個第二層。 In some embodiments, the plurality of first layers and the plurality of second layers are formed by alternately depositing a plurality of insulating material layers and a plurality of sacrificial material layers on the substrate to An initial stacked structure is formed above. The plurality of insulating material layers may have a different etch selectivity from the plurality of second material layers. In some embodiments, the plurality of first layers and the plurality of second layers are formed by repeatedly etching the plurality of insulating material layers and the plurality of sacrificial material layers to form the stacked structure, The stack structure has the plurality of first layers and the plurality of second layers in a stepped structure.

在一些實施例中,形成所述閘極到閘極介電結構包括:形成在所述堆疊結構中延伸並且裸露所述基底的第一初始縫隙開口;以及去除所述多個第一層和所述多個第二層中的其中一者包括去除所述多個第二層,以形成多個橫向凹陷。 In some embodiments, forming the gate-to-gate dielectric structure includes: forming a first initial slit opening extending in the stack structure and exposing the substrate; and removing the plurality of first layers and the One of the plurality of second layers includes removing the plurality of second layers to form a plurality of lateral recesses.

在一些實施例中,所述多個第一層包括氮化矽並且形成所述閘極到閘極介電結構包括從所述每個第一層形成閘極到閘極介電層。所述閘極到閘極 介電層可以具有至少一個氮氧化矽子層。在一些實施例中,形成閘極到閘極介電結構包括從所述第一初始縫隙開口形成第二初始縫隙開口。 In some embodiments, the plurality of first layers includes silicon nitride and forming the gate-to-gate dielectric structure includes forming a gate-to-gate dielectric layer from each of the first layers. The gate-to-gate The dielectric layer may have at least one silicon oxynitride sublayer. In some embodiments, forming a gate-to-gate dielectric structure includes forming a second initial slot opening from the first initial slot opening.

在一些實施例中,形成所述閘極到閘極介電結構包括通過所述第一初始縫隙開口和所述多個橫向凹陷在所述多個第一層上執行氧化反應,以至少部分氧化所述多個第一層。所述每個第一層的已反應部分可以形成具有至少一個氮氧化矽子層的複合層。 In some embodiments, forming the gate-to-gate dielectric structure includes performing an oxidation reaction on the plurality of first layers through the first initial slot opening and the plurality of lateral recesses to at least partially oxidize The plurality of first layers. The reacted portion of each first layer may form a composite layer having at least one silicon oxynitride sublayer.

在一些實施例中,形成所述閘極到閘極介電層還包括形成至少一個氮氧化矽子層和至少一個氧化矽子層。 In some embodiments, forming the gate-to-gate dielectric layer further includes forming at least one silicon oxynitride sublayer and at least one silicon oxide sublayer.

在一些實施例中,形成閘極到閘極介電層還包括形成多個交替設置的氮氧化矽子層和氧化矽子層。 In some embodiments, forming the gate-to-gate dielectric layer further includes forming a plurality of alternately disposed silicon oxynitride sub-layers and silicon oxide sub-layers.

在一些實施例中,所述方法還包括形成多個導體層以填滿所述多個橫向凹陷。相鄰導體層可以通過其間的相應閘極到閘極介電層絕緣。 In some embodiments, the method further includes forming a plurality of conductor layers to fill the plurality of lateral recesses. Adjacent conductor layers may be insulated by respective gate-to-gate dielectric layers therebetween.

在一些實施例中,所述方法還包括在形成所述多個導體層之前在所述多個橫向凹陷中形成黏合層。 In some embodiments, the method further includes forming an adhesive layer in the plurality of lateral recesses prior to forming the plurality of conductor layers.

在一些實施例中,形成所述偏移包括去除所述初始通道孔的所述側壁上的所述每個第一層的側表面的一部分。 In some embodiments, forming the offset includes removing a portion of the side surface of each first layer on the sidewall of the initial via hole.

在一些實施例中,去除所述每個第一層的側表面的所述部分包括執行凹陷蝕刻製程,所述凹陷蝕刻製程相對於所述多個第二層選擇性地蝕刻所述多個第一層。 In some embodiments, removing the portion of the side surface of each first layer includes performing a recess etch process that selectively etches the plurality of first layers relative to the plurality of second layers. layer.

在一些實施例中,利用通道形成結構填充所述通道孔包括:在所述通道孔的側壁上方形成阻擋層,在所述阻擋層上方形成所述記憶體層,在所述記憶體層上方形成穿隧層,在所述穿隧層上方形成半導體層,以及在所述半導體層上方形成介電芯以填滿所述通道孔。 In some embodiments, filling the channel hole with the channel forming structure includes: forming a barrier layer above the sidewall of the channel hole, forming the memory layer above the barrier layer, and forming a tunneling layer above the memory layer. layer, forming a semiconductor layer over the tunneling layer, and forming a dielectric core over the semiconductor layer to fill the channel hole.

在一些實施例中,所述方法還包括:在所述基底中在所述第二初始 縫隙開口底部處形成摻雜區;通過去除所述複合層的部分以裸露縫隙開口的側壁上的所述多個第二層並且裸露所述縫隙開口底部處的所述基底,從所述第二初始縫隙開口形成所述縫隙開口;在所述縫隙開口中形成絕緣結構。所述絕緣結構可以在所述縫隙開口的側壁上的所述多個第二層的所裸露部分上方並且裸露所述縫隙開口底部處的所述基底。所述方法還包括在所述絕緣結構中形成與所述摻雜區接觸的源極接觸部。 In some embodiments, the method further includes: in the substrate in the second initial forming a doped region at the bottom of the slit opening; by removing a portion of the composite layer to expose the plurality of second layers on sidewalls of the slit opening and exposing the substrate at the bottom of the slit opening, from the second An initial slot opening forms the slot opening; an insulating structure is formed in the slot opening. The insulating structure may overlie the exposed portions of the plurality of second layers on sidewalls of the slot opening and expose the substrate at the bottom of the slot opening. The method also includes forming a source contact in the insulating structure in contact with the doped region.

在一些實施例中,在所述縫隙開口中形成絕緣結構包括沉積一層氧化矽層,所述氧化矽層覆蓋所述多個第二層的所裸露部分和相鄰第二層之間的所述閘極到閘極介電層;並且形成所述源極接觸部包括在所述絕緣結構中沉積鎢、鈷、銅、鋁、多晶矽、摻雜矽或矽化物中的至少一種材料。 In some embodiments, forming the insulating structure in the slit opening includes depositing a layer of silicon oxide, and the silicon oxide layer covers the exposed portions of the plurality of second layers and the layers between adjacent second layers. a gate-to-gate dielectric layer; and forming the source contact includes depositing at least one of tungsten, cobalt, copper, aluminum, polysilicon, doped silicon, or silicide in the insulating structure.

在一些實施例中,一種3D記憶體包括基底上方的閘極到閘極介電結構。所述閘極到閘極介電結構沿垂直於所述基底的頂表面的垂直方向在相鄰導體層之間可以包括至少一個氮氧化矽子層。在一些實施例中,所述3D記憶體還包括從所述堆疊結構的頂表面延伸到所述基底的半導體通道。所述半導體通道包括記憶體層,所述記憶體層具有圍繞每個導體層的底部的第一記憶體部分以及連接相鄰的第一記憶體部分的第二記憶體部分。所述第一記憶體部分和所述第二記憶體部分可以沿著所述垂直方向交錯。所述3D記憶體還包括從所述堆疊結構的所述頂表面延伸到所述基底的源極結構。 In some embodiments, a 3D memory includes a gate-to-gate dielectric structure over a substrate. The gate-to-gate dielectric structure may include at least one silicon oxynitride sublayer between adjacent conductor layers in a vertical direction perpendicular to the top surface of the substrate. In some embodiments, the 3D memory further includes a semiconductor channel extending from the top surface of the stack structure to the substrate. The semiconductor channel includes a memory layer having a first memory portion surrounding the bottom of each conductor layer and a second memory portion connecting adjacent first memory portions. The first memory portion and the second memory portion may be staggered along the vertical direction. The 3D memory also includes a source structure extending from the top surface of the stack structure to the substrate.

在一些實施例中,所述閘極到閘極結構包括相鄰導體層之間的閘極到閘極介電層。所述閘極到閘極介電層可以至少包括氮氧化矽子層。 In some embodiments, the gate-to-gate structure includes a gate-to-gate dielectric layer between adjacent conductor layers. The gate-to-gate dielectric layer may include at least a silicon oxynitride sublayer.

在一些實施例中,所述閘極到閘極介電層至少包括所述相鄰導體層之間的氧化矽子層和氮氧化矽子層。 In some embodiments, the gate-to-gate dielectric layer includes at least a silicon oxide sublayer and a silicon oxynitride sublayer between the adjacent conductive layers.

在一些實施例中,所述閘極到閘極介電層包括多個交替設置的氧化矽子層和氮氧化矽子層。 In some embodiments, the gate-to-gate dielectric layer includes a plurality of alternately disposed silicon oxide sublayers and silicon oxynitride sublayers.

在一些實施例中,所述閘極到閘極介電層包括一對複合層以及所述一對複合層之間的氣隙,所述一對複合層分別位於所述相鄰導體層上並且彼此面對。所述每對複合層可以至少包括氮氧化矽子層。 In some embodiments, the gate-to-gate dielectric layer includes a pair of composite layers and an air gap between the pair of composite layers, the pair of composite layers are respectively located on the adjacent conductor layers and facing each other. Each pair of composite layers may include at least a silicon oxynitride sublayer.

在一些實施例中,每個複合層位於相應第一記憶體部分的端部之間。 In some embodiments, each composite layer is located between ends of a corresponding first memory portion.

在一些實施例中,所述複合層至少包括氧化矽子層和氮氧化矽子層。 In some embodiments, the composite layer includes at least a silicon oxide sublayer and a silicon oxynitride sublayer.

在一些實施例中,所述複合層包括多個交替設置的氧化矽子層和氮氧化矽子層。 In some embodiments, the composite layer includes a plurality of alternately disposed silicon oxide sub-layers and silicon oxynitride sub-layers.

在一些實施例中,所述閘極到閘極介電層填滿相鄰導體層之間的空間。 In some embodiments, the gate-to-gate dielectric layer fills the space between adjacent conductor layers.

在一些實施例中,沿著從所述半導體通道的側壁到所述半導體通道的中心的徑向方向,所述半導體通道包括阻擋層、所述阻擋層上方的所述記憶體層、所述記憶體層上方的穿隧層、所述穿隧層上方的半導體層以及所述半導體層上方的介電芯。 In some embodiments, along the radial direction from the sidewall of the semiconductor channel to the center of the semiconductor channel, the semiconductor channel includes a barrier layer, the memory layer above the barrier layer, the memory layer A tunneling layer above, a semiconductor layer above the tunneling layer, and a dielectric core above the semiconductor layer.

在一些實施例中,該阻擋層包括第一阻擋層和第二阻擋層中的至少一個,第一阻擋層包括氧化鋁(AlO)、氧化鉿(HfO2)、氧化鑭(LaO2)、氧化釔(Y2O3)、氧化鉭(Ta2O5)、其矽酸鹽、其摻氮化合物、或其合金中的至少一種材料,第二阻擋層包括氧化矽、氮氧化矽和氮化矽中的一種或多種材料。在一些實施例中,該記憶體層包括電荷捕獲材料,該電荷捕獲材料包括鎢、鉬、鉭、鈦、鉑、釕、其合金、其奈米顆粒、其矽化物、多晶矽、非晶矽、SiN或SiON中的至少一種材料。在一些實施例中,穿隧層包括SiO、SiN、SiON、介電金屬氧化物、介電金屬氮氧化物、介電金屬矽化物或其合金中的至少一種材料。在一些實施例中,該半導體層可以包括單元素半導體材料、III-V族化合物半導體材料、II-VI族化合物半導體材料或有機半導體材料中的至少一種。在一些實施例中,介電芯包括SiO。 In some embodiments, the barrier layer includes at least one of a first barrier layer and a second barrier layer, the first barrier layer comprising aluminum oxide (AlO), hafnium oxide (HfO 2 ), lanthanum oxide (LaO 2 ), oxide At least one of yttrium (Y 2 O 3 ), tantalum oxide (Ta 2 O 5 ), its silicates, its nitrogen-doped compounds, or alloys thereof, the second barrier layer includes silicon oxide, silicon oxynitride, and nitride One or more materials in silicon. In some embodiments, the memory layer includes charge trapping materials including tungsten, molybdenum, tantalum, titanium, platinum, ruthenium, alloys thereof, nanoparticles thereof, silicides thereof, polysilicon, amorphous silicon, SiN or at least one material in SiON. In some embodiments, the tunneling layer includes at least one material of SiO, SiN, SiON, dielectric metal oxide, dielectric metal oxynitride, dielectric metal silicide or alloys thereof. In some embodiments, the semiconductor layer may include at least one of a single-element semiconductor material, a III-V compound semiconductor material, a II-VI compound semiconductor material, or an organic semiconductor material. In some embodiments, the dielectric core includes SiO.

在一些實施例中,多個導體層均包括W、Co、Al、摻雜矽、矽化物及其組合中的一或多種構成的層結構,源極結構均包括絕緣結構以及在絕緣結構中與基底導電接觸的源極接觸部。該絕緣結構可以包括氧化矽,該源極接觸部包括W、Co、Al、摻雜矽、矽化物及其組合中的一或多種材料。 In some embodiments, the plurality of conductor layers each include a layer structure composed of one or more of W, Co, Al, doped silicon, silicide, and combinations thereof, and the source structures each include an insulating structure and are connected to the insulating structure in the insulating structure. The source contact of the base conductive contact. The insulating structure may include silicon oxide, and the source contact may include one or more materials selected from W, Co, Al, doped silicon, silicide, and combinations thereof.

對特定實施例的上述說明將展現本案公開內容的一般性質,使得他人在不需要過度實驗和不脫離本案一般概念的情況下,能夠通過運用本領域技術範圍內的知識容易地對此類特定實施例的各種應用進行修改和/或調整。因此,根據本文呈現的教示和指導,此類調整和修改旨在處於本揭露書所公開實施例的等同物的含義和範圍之內。應當理解,本文中的措辭或術語是出於說明的目的,而不是為了進行限制,所以本說明書的術語或措辭將由技術人士按照所述教示和指導進行解釋。 The foregoing descriptions of specific embodiments are intended to demonstrate the general nature of the disclosure of the present case so that others can readily interpret such specific embodiments by applying knowledge within the skill of the art without undue experimentation and without departing from the general concepts of the present case. Modifications and/or adjustments may be made for various applications of the examples. Therefore, such adaptations and modifications are intended to be within the meaning and range of equivalents of the disclosed embodiments, based on the teaching and guidance presented herein. It should be understood that the terms or terms herein are for the purpose of illustration rather than limitation, so the terms or terms in this specification will be interpreted by skilled persons according to the teaching and guidance.

上文已經借助於功能區塊描述了本案的實施例,功能區塊例示出了指定功能及其關係的實施方式。在本文中出於方便描述的目的任意定義了這些功能區塊的邊界。可以定義其他的邊界,只要適當執行其指定功能和關係即可。 Embodiments of the present application have been described above with the aid of functional blocks illustrating the implementation of specified functions and relationships thereof. The boundaries of these functional blocks have been arbitrarily defined herein for the convenience of the description. Other boundaries can be defined so long as their specified functions and relationships are appropriately performed.

發明內容和摘要部分可以闡述發明人構思本案的一或多個示範性實施例,但未僅限於此。因此,並非意在通過任何方式限制本案和所附的申請專利範圍。 The Summary and Abstract sections may set forth, without limitation, one or more exemplary embodiments of the inventor's contemplation of the present case. Accordingly, it is not intended to limit the scope of this case and the appended patent application by any means.

以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。 The above descriptions are only preferred embodiments of the present invention, and all equivalent changes and modifications made according to the scope of the patent application of the present invention shall fall within the scope of the present invention.

10:基底 10: Base

14:半導體通道 14: Semiconductor channel

16:摻雜區 16: Doping area

17:閘極到閘極介電層 17: Gate to Gate Dielectric Layer

17-1,17-2:複合層 17-1, 17-2: composite layer

18:導體層 18: Conductor layer

19:介電芯 19: Dielectric core

103:記憶體 103: memory

120:絕緣結構 120: Insulation structure

121:源極接觸部 121: source contact

131:阻擋層 131: barrier layer

132:記憶體層 132: Memory layer

132a:第一記憶體部分 132a: the first memory part

132a-1:垂直部位 132a-1: vertical part

132a-2:橫向部位 132a-2: Lateral part

132b:第二記憶體部分 132b: the second memory part

133:通道層 133: Channel layer

134:半導體層 134: semiconductor layer

173:氣隙 173: air gap

Claims (16)

一種用於形成三維(3D)記憶體的方法,包括:在基底上方交替設置的多個第一層和多個第二層的堆疊結構中形成初始通道孔;在所述初始通道孔的側壁上的每個所述第一層的側表面和每個所述第二層的側表面之間形成偏移,以形成通道孔;透過利用通道形成結構填充所述通道孔來形成半導體通道,所述半導體通道具有記憶體層,所述記憶體層包括圍繞每個所述第二層的底部的第一記憶體部分以及連接相鄰的所述第一記憶體部分的第二記憶體部分,所述第一記憶體部分和所述第二記憶體部分沿著垂直於所述基底的頂表面的垂直方向交錯;從所述多個第二層形成多個導體層;以及在相鄰導體層之間形成包括至少一個氮氧化矽子層的閘極到閘極介電層,其中形成所述閘極到閘極介電層包括:形成在所述堆疊結構中延伸並裸露所述基底的第一初始縫隙開口;執行蝕刻製程以去除所述多個第一層,從而形成多個橫向凹陷;沉積氮化矽層以填滿每個所述橫向凹陷;以及通過控制氧擴散濃度來氧化所述氮化矽層,使得所述閘極到閘極介電層包括所述至少一個氮氧化矽子層。 A method for forming a three-dimensional (3D) memory, comprising: forming an initial channel hole in a stack structure of a plurality of first layers and a plurality of second layers alternately arranged above a substrate; An offset is formed between a side surface of each of the first layers and a side surface of each of the second layers to form a via hole; a semiconductor channel is formed by filling the via hole with a channel forming structure, the The semiconductor channel has a memory layer comprising a first memory portion surrounding the bottom of each of the second layers and a second memory portion connecting adjacent first memory portions, the first The memory portion and the second memory portion are staggered along a vertical direction perpendicular to the top surface of the substrate; a plurality of conductor layers are formed from the plurality of second layers; and a plurality of conductor layers comprising a gate-to-gate dielectric layer of at least one silicon oxynitride sub-layer, wherein forming the gate-to-gate dielectric layer includes: forming a first initial gap opening extending in the stack structure and exposing the substrate ; performing an etching process to remove the plurality of first layers, thereby forming a plurality of lateral recesses; depositing a silicon nitride layer to fill each of the lateral recesses; and oxidizing the silicon nitride layer by controlling the oxygen diffusion concentration , such that the gate-to-gate dielectric layer includes the at least one silicon oxynitride sublayer. 根據申請專利範圍第1項所述之用於形成三維(3D)記憶體的方法,其中所述多個第二層包括多晶矽,且形成所述閘極到閘極介電層還包括:從每個所述第二層的一部分形成複合層,相應的所述第二層的剩餘部分形成相應導體層,所述相鄰導體層上彼此面對的一對複合層形成所述閘極到閘極介電層,所述第一初始縫隙開口形成第二初始縫隙開口,所述複合層具有至少 一個氮氧化矽子層。 According to the method for forming a three-dimensional (3D) memory described in claim 1, wherein the plurality of second layers include polysilicon, and forming the gate-to-gate dielectric layer further includes: from each A part of each second layer forms a composite layer, the remaining part of the corresponding second layer forms a corresponding conductor layer, and a pair of composite layers facing each other on the adjacent conductor layers form the gate-to-gate a dielectric layer, the first initial slot opening forms a second initial slot opening, and the composite layer has at least a silicon oxynitride sublayer. 根據申請專利範圍第2項所述之用於形成三維(3D)記憶體的方法,其中形成所述複合層和所述多個導體層包括:通過所述第一初始縫隙開口和所述多個橫向凹陷在所述多個第二層上執行一或多種氧化反應和氮化反應,每個所述第二層的已反應部分形成相應的所述複合層,每個所述第二層的未反應部分形成所述相應導體層。 According to the method for forming a three-dimensional (3D) memory according to claim 2 of the patent application, wherein forming the composite layer and the plurality of conductor layers includes: passing through the first initial slit opening and the plurality of The lateral recesses perform one or more oxidation reactions and nitridation reactions on the plurality of second layers, the reacted portion of each of the second layers forms a corresponding said composite layer, and the unreacted portion of each of the second layers The reacting portions form the respective conductor layers. 根據申請專利範圍第3項所述之用於形成三維(3D)記憶體的方法,其中形成所述複合層包括控制氧擴散濃度,使得所述複合層包括所述至少一個氮氧化矽子層。 The method for forming a three-dimensional (3D) memory according to claim 3, wherein forming the composite layer includes controlling oxygen diffusion concentration so that the composite layer includes the at least one silicon oxynitride sublayer. 根據申請專利範圍第1項所述之用於形成三維(3D)記憶體的方法,其中所述多個第一層和所述多個第二層是通過以下方式形成的:在所述基底上方交替沉積多個絕緣材料層和多個犧牲材料層,以在所述基底上方形成初始堆疊結構,所述多個絕緣材料層具有與所述多個第二材料層不同的蝕刻選擇性;以及沿著所述垂直方向反復蝕刻所述多個絕緣材料層和所述多個犧牲材料層以形成所述堆疊結構,所述堆疊結構具有所述多個第一層和所述多個第二層。 According to the method for forming a three-dimensional (3D) memory according to claim 1, wherein the plurality of first layers and the plurality of second layers are formed by: above the substrate Alternately depositing a plurality of insulating material layers and a plurality of sacrificial material layers to form an initial stack structure above the substrate, the plurality of insulating material layers having an etching selectivity different from that of the plurality of second material layers; and The plurality of insulating material layers and the plurality of sacrificial material layers are repeatedly etched along the vertical direction to form the stacked structure, the stacked structure has the plurality of first layers and the plurality of second layers. 根據申請專利範圍第5項所述之用於形成三維(3D)記憶體的方法,其中形成所述閘極到閘極介電層包括:形成在所述堆疊結構中延伸且裸露所述基底的第一初始縫隙開口;以及執行蝕刻製程以去除所述多個第二層,從而形成多個橫向凹陷。 According to the method for forming a three-dimensional (3D) memory according to claim 5, wherein forming the gate-to-gate dielectric layer includes: forming a layer extending in the stack structure and exposing the substrate opening a first initial slit; and performing an etching process to remove the plurality of second layers, thereby forming a plurality of lateral recesses. 根據申請專利範圍第6項所述之用於形成三維(3D)記憶體的方法,其中所述多個第一層包括氮化矽並且形成所述閘極到閘極介電層包括:從每個所述第一層形成所述閘極到閘極介電層,所述閘極到閘極介電層具有至少一個氮氧化矽子層;以及從所述第一初始縫隙開口形成第二初始縫隙開口。 The method for forming a three-dimensional (3D) memory according to claim 6, wherein the plurality of first layers comprise silicon nitride and forming the gate-to-gate dielectric layer comprises: from each The first layer forms the gate-to-gate dielectric layer having at least one silicon oxynitride sublayer; and a second initial slit opening from the first initial Slit opening. 根據申請專利範圍第1項所述之用於形成三維(3D)記憶體的方法,其中形成所述閘極到閘極介電層包括:通過所述第一初始縫隙開口和所述多個橫向凹陷在所述多個第一層上執行氧化反應,以至少部分氧化所述多個第一層,每個所述第一層的已反應部分形成具有至少一個氮氧化矽子層的複合層。 According to the method for forming a three-dimensional (3D) memory according to claim 1 of the patent application, wherein forming the gate-to-gate dielectric layer includes: opening through the first initial gap and the plurality of lateral An oxidation reaction is performed on the plurality of first layers to at least partially oxidize the plurality of first layers, the reacted portion of each of the first layers forming a composite layer having at least one silicon oxynitride sublayer. 一種用於形成三維(3D)記憶體的方法,包括:在基底上方交替設置的多個第一層和多個第二層的堆疊結構中形成初始通道孔,其中所述第二層的材料包括多晶矽;在所述初始通道孔的側壁上的每個所述第一層的側表面和每個所述第二層的側表面之間形成偏移,以形成通道孔;透過利用通道形成結構填充所述通道孔來形成半導體通道,所述半導體通道具有記憶體層,所述記憶體層包括圍繞每個第二層的底部的第一記憶體部分以及連接相鄰的所述第一記憶體部分的第二記憶體部分,所述第一記憶體部分和所述第二記憶體部分沿著垂直於所述基底的頂表面的垂直方向交錯;去除所述多個第一層;以及對所述多個第二層進行氧化反應或氮化反應使每個所述第二層的一部分形 成閘極到閘極介電結構,相應的所述第二層的剩餘部分形成相應的導體層。 A method for forming a three-dimensional (3D) memory, comprising: forming initial via holes in a stacked structure of a plurality of first layers and a plurality of second layers alternately arranged over a substrate, wherein the material of the second layers includes polysilicon; forming an offset between the side surface of each of the first layer and the side surface of each of the second layer on the sidewall of the initial via hole to form a via hole; filling by utilizing the via formation structure The via hole is used to form a semiconductor channel, and the semiconductor channel has a memory layer, and the memory layer includes a first memory part surrounding the bottom of each second layer and a first memory part connecting adjacent first memory parts. Two memory portions, the first memory portion and the second memory portion are interleaved along a vertical direction perpendicular to the top surface of the substrate; the plurality of first layers are removed; and the plurality of first layers are removed; The second layer undergoes an oxidation reaction or a nitriding reaction such that a portion of each of said second layers forms Forming a gate-to-gate dielectric structure, the corresponding remaining portion of said second layer forms a corresponding conductor layer. 根據申請專利範圍第9項所述之用於形成三維(3D)記憶體的方法,其中形成所述閘極到閘極介電結構包括:從每個所述第二層的一部分形成複合層,相鄰的所述導體層上彼此面對的一對所述複合層形成所述閘極到閘極介電結構,所述複合層具有至少一個氮氧化矽子層。 The method for forming a three-dimensional (3D) memory according to claim 9, wherein forming the gate-to-gate dielectric structure includes: forming a composite layer from a portion of each of the second layers, A pair of the composite layers facing each other on adjacent conductive layers form the gate-to-gate dielectric structure, and the composite layers have at least one silicon oxynitride sublayer. 根據申請專利範圍第10項所述之用於形成三維(3D)記憶體的方法,其中形成所述閘極到閘極介電結構還包括在所述一對複合層之間形成氣隙。 The method for forming a three-dimensional (3D) memory according to claim 10, wherein forming the gate-to-gate dielectric structure further includes forming an air gap between the pair of composite layers. 根據申請專利範圍第9項所述之用於形成三維(3D)記憶體的方法,其中形成所述閘極到閘極介電結構包括利用閘極到閘極介電層填充每個橫向凹陷,所述閘極到閘極介電層包括至少一個氮氧化矽子層。 The method for forming a three-dimensional (3D) memory according to claim 9, wherein forming the gate-to-gate dielectric structure includes filling each lateral recess with a gate-to-gate dielectric layer, The gate-to-gate dielectric layer includes at least one silicon oxynitride sublayer. 根據申請專利範圍第9項所述之用於形成三維(3D)記憶體的方法,其中形成所述閘極到閘極介電結構包括:形成在所述堆疊結構中延伸並裸露所述基底的第一初始縫隙開口;以及去除所述多個第一層和所述多個第二層中的其中一者包括去除所述多個第二層,以形成多個橫向凹陷。 The method for forming a three-dimensional (3D) memory according to claim 9, wherein forming the gate-to-gate dielectric structure includes: forming a gate extending in the stack structure and exposing the substrate A first initial slit opening; and removing one of the plurality of first layers and the plurality of second layers includes removing the plurality of second layers to form a plurality of lateral recesses. 根據申請專利範圍第13項所述之用於形成三維(3D)記憶體的方法,其中所述多個第一層包括氮化矽,且形成所述閘極到閘極介電結構包括:從每個所述第一層形成閘極到閘極介電層,所述閘極到閘極介電層具有至 少一個氮氧化矽子層;以及從所述第一初始縫隙開口形成第二初始縫隙開口。 The method for forming a three-dimensional (3D) memory according to claim 13, wherein the plurality of first layers comprise silicon nitride, and forming the gate-to-gate dielectric structure comprises: from Each of said first layers forms a gate-to-gate dielectric layer having to one less silicon oxynitride sublayer; and forming a second initial slot opening from the first initial slot opening. 一種三維(3D)記憶體,包括:堆疊結構,所述堆疊結構包括在基底上方通過閘極到閘極介電結構絕緣的多個導體層,其中所述閘極到閘極介電結構沿著垂直於所述基底的頂表面的垂直方向在相鄰的所述導體層之間包括一對複合層以及所述一對複合層之間的氣隙,其中所述一對複合層分別位於相鄰的所述導體層上並且彼此面對,所述氣隙在所述整對該複合層之間延伸;從所述堆疊結構的頂表面延伸到所述基底的半導體通道,其中所述半導體通道包括記憶體層,所述記憶體層包括圍繞每個導體層的底部的第一記憶體部分以及連接相鄰的所述第一記憶體部分的第二記憶體部分,所述第一記憶體部分和所述第二記憶體部分沿著所述垂直方向交錯;以及從所述堆疊結構的所述頂表面延伸到所述基底的源極結構。 A three-dimensional (3D) memory comprising: a stack structure including a plurality of conductor layers insulated over a substrate by a gate-to-gate dielectric structure, wherein the gate-to-gate dielectric structure is along A vertical direction perpendicular to the top surface of the substrate includes a pair of composite layers and an air gap between the pair of composite layers between adjacent conductor layers, wherein the pair of composite layers are respectively located in adjacent and facing each other, the air gap extends between the entire composite layer; a semiconductor channel extending from the top surface of the stack structure to the substrate, wherein the semiconductor channel includes A memory layer, the memory layer includes a first memory portion surrounding the bottom of each conductor layer and a second memory portion connecting adjacent first memory portions, the first memory portion and the a second memory portion staggered along the vertical direction; and a source structure extending from the top surface of the stack structure to the base. 根據申請專利範圍第15項所述之三維(3D)記憶體,其中所述每對複合層至少包括氮氧化矽子層,每個所述複合層位於相應的所述第一記憶體部分的端部之間。 According to the three-dimensional (3D) memory according to claim 15, wherein each pair of composite layers includes at least a silicon oxynitride sublayer, and each of the composite layers is located at the end of the corresponding first memory part between departments.
TW108130378A 2019-03-29 2019-08-26 Three-dimensional memory devices and fabrication methods thereof TWI784192B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
CN201910248617.8A CN110071114B (en) 2019-03-29 2019-03-29 3D NAND flash memory and preparation method thereof
CN201910248617.8 2019-03-29
WOPCT/CN2019/093442 2019-06-28
PCT/CN2019/093442 WO2020199387A1 (en) 2019-03-29 2019-06-28 Three-dimensional memory devices and fabrication methods thereof

Publications (2)

Publication Number Publication Date
TW202038439A TW202038439A (en) 2020-10-16
TWI784192B true TWI784192B (en) 2022-11-21

Family

ID=67366744

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108130378A TWI784192B (en) 2019-03-29 2019-08-26 Three-dimensional memory devices and fabrication methods thereof

Country Status (2)

Country Link
CN (1) CN110071114B (en)
TW (1) TWI784192B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113314541B (en) * 2020-06-08 2022-12-02 长江存储科技有限责任公司 Memory device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101847602A (en) * 2008-12-31 2010-09-29 三星电子株式会社 Semiconductor storage unit and the method that forms semiconductor storage unit
US20160071871A1 (en) * 2014-09-10 2016-03-10 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US20170278859A1 (en) * 2016-03-25 2017-09-28 Sandisk Technologies Llc Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof
US20180006041A1 (en) * 2016-06-29 2018-01-04 Sandisk Technologies Llc Method of making three-dimensional semiconductor memory device having uniform thickness semiconductor channel
US20180219017A1 (en) * 2017-02-01 2018-08-02 Micron Technology, Inc. Nand memory arrays

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4768557B2 (en) * 2006-09-15 2011-09-07 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
KR102099294B1 (en) * 2013-05-13 2020-04-09 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
US10103162B2 (en) * 2015-07-30 2018-10-16 Snu R&Db Foundation Vertical neuromorphic devices stacked structure and array of the structure
CN105679761B (en) * 2016-01-26 2019-04-19 中国科学院微电子研究所 Three-dimensional semiconductor device and its manufacturing method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101847602A (en) * 2008-12-31 2010-09-29 三星电子株式会社 Semiconductor storage unit and the method that forms semiconductor storage unit
US20160071871A1 (en) * 2014-09-10 2016-03-10 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US20170278859A1 (en) * 2016-03-25 2017-09-28 Sandisk Technologies Llc Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof
US20180006041A1 (en) * 2016-06-29 2018-01-04 Sandisk Technologies Llc Method of making three-dimensional semiconductor memory device having uniform thickness semiconductor channel
US20180219017A1 (en) * 2017-02-01 2018-08-02 Micron Technology, Inc. Nand memory arrays

Also Published As

Publication number Publication date
CN110071114A (en) 2019-07-30
TW202038439A (en) 2020-10-16
CN110071114B (en) 2020-04-17

Similar Documents

Publication Publication Date Title
TWI724512B (en) Three-dimensional memory devices and fabrication methods thereof
CN110896671B (en) Three-dimensional memory device and method of fabricating the same
CN110914986B (en) Three-dimensional memory device and method of fabricating the same
CN110914985B (en) Three-dimensional memory device and method of fabricating the same
CN110896670B (en) Three-dimensional memory device and method of fabricating the same
CN110088906B (en) High-k dielectric layer in three-dimensional memory device and method of forming the same
TWI718637B (en) Three-dimensional memory devices and fabrication methods thereof
KR102652212B1 (en) 3D memory device and manufacturing method thereof
TWI784192B (en) Three-dimensional memory devices and fabrication methods thereof
TWI715164B (en) Three-dimensional memory devices and fabrication methods thereof
TWI749360B (en) Three-dimensional memory devices and fabrication methods thereof
KR20220002573A (en) Three-dimensional memory devices and methods of manufacturing the same
CN110896672B (en) Three-dimensional memory device and method of fabricating the same