TWI782231B - 用於半導體及顯示器工序設備工具中的設備健康監測及錯誤偵測的深度自動編碼器 - Google Patents

用於半導體及顯示器工序設備工具中的設備健康監測及錯誤偵測的深度自動編碼器 Download PDF

Info

Publication number
TWI782231B
TWI782231B TW108132666A TW108132666A TWI782231B TW I782231 B TWI782231 B TW I782231B TW 108132666 A TW108132666 A TW 108132666A TW 108132666 A TW108132666 A TW 108132666A TW I782231 B TWI782231 B TW I782231B
Authority
TW
Taiwan
Prior art keywords
time
series
traces
input
series traces
Prior art date
Application number
TW108132666A
Other languages
English (en)
Other versions
TW202024827A (zh
Inventor
郝恒
斯雷卡爾 巴哈維里普迪
史瑞坎特 卡亞卡
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202024827A publication Critical patent/TW202024827A/zh
Application granted granted Critical
Publication of TWI782231B publication Critical patent/TWI782231B/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B23/00Testing or monitoring of control systems or parts thereof
    • G05B23/02Electric testing or monitoring
    • G05B23/0205Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults
    • G05B23/0218Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterised by the fault detection method dealing with either existing or incipient faults
    • G05B23/0224Process history based detection method, e.g. whereby history implies the availability of large amounts of data
    • G05B23/024Quantitative history assessment, e.g. mathematical relationships between available data; Functions therefor; Principal component analysis [PCA]; Partial least square [PLS]; Statistical classifiers, e.g. Bayesian networks, linear regression or correlation analysis; Neural networks
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/4189Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by the transport system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/084Backpropagation, e.g. using gradient descent
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/82Arrangements for image or video recognition or understanding using pattern recognition or machine learning using neural networks
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/20Pc systems
    • G05B2219/25Pc structure of the system
    • G05B2219/25428Field device
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32335Use of ann, neural network
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Artificial Intelligence (AREA)
  • General Engineering & Computer Science (AREA)
  • Computing Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Software Systems (AREA)
  • General Health & Medical Sciences (AREA)
  • Mathematical Physics (AREA)
  • Computational Linguistics (AREA)
  • Molecular Biology (AREA)
  • Data Mining & Analysis (AREA)
  • Biophysics (AREA)
  • Biomedical Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Automation & Control Theory (AREA)
  • Quality & Reliability (AREA)
  • Manufacturing & Machinery (AREA)
  • Multimedia (AREA)
  • Medical Informatics (AREA)
  • Databases & Information Systems (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • General Factory Administration (AREA)
  • Testing And Monitoring For Control Systems (AREA)
  • Transmission And Conversion Of Sensor Element Output (AREA)
  • Testing Of Devices, Machine Parts, Or Other Structures Thereof (AREA)
  • Force Measurement Appropriate To Specific Purposes (AREA)

Abstract

本文中所述的實施方式大致與一種用於偵測從製造工具的感測器所接收的時間序列跡線中的異常的方法相關。伺服器將一組訓練時間序列跡線饋送到神經網路,該神經網路被配置為推導該等訓練時間序列跡線的模型,該模型最小化該等訓練時間序列跡線的重構誤差。該伺服器從與配置為生產矽基板的一或更多個製造工具相關聯的一或更多個感測器抽取一組輸入時間序列跡線。該伺服器將該組輸入時間序列跡線饋送到該訓練後的神經網路,以產生基於該模型重構的一組輸出時間序列跡線。該伺服器計算該組輸入時間序列跡線中的第一輸入時間序列跡線與該組輸出時間序列跡線中的對應的第一輸出時間序列跡線之間的均方差。在該均方差超過預定值時,該伺服器將與該第一輸入時間序列跡線對應的該感測器宣告為有異常。

Description

用於半導體及顯示器工序設備工具中的設備健康監測及錯誤偵測的深度自動編碼器
本文中所述的實施方式大致與分析時間序列跡線以偵測一或更多個半導體處理腔室中所採用的感測器中的異常相關。
製造矽基板涉及一系列不同的處理操作,可以在包括複數個處理腔室的單個處理系統或「工具」中執行該等處理操作。在處理期間,在內部實現程序的每個腔室均可以包括複數個感測器,其中每個感測器被配置為監測與基板處理相關的預先界定的度量。
進一步地,這些多個矽基板處理操作在一定的時間區間內發生。工序可以包括從第一操作到第二操作的過渡。時間序列資料是在該時間區間內所收集的資料(包括該過渡(例如時間序列過渡)),稱為時間序列跡線。需要用於半導體/顯示器製造設備的設備健康監測(EHM)及錯誤偵測(FD)技術以供平滑地使設備製造設施運轉。迄今為止,EHM及FD的工作主要集中在使用感測器的時間序列跡線的傳統機器學習工作,這需要領域專家進行大量的特徵設計。這些技術還存在過度擬合、較高的偽陽性率、且在某些情況下無法自動化的問題。使用特徵設計來產生時間序列跡線的模型的傳統機器學習方法也僅限於某些工具類型或配方類型。因此,每當配方或腔室改變,都需要重建模型,這是非常耗時的。
統計方法不能夠偵測隨時間從感測器接收的資料中的短時間的訊號擾動。統計方法也提供了偽陽性(例如因為最小限度的訊號部分位在防護頻帶外面,所以整個訊號不匹配目標訊號),且並不允許調整異常值偵測的敏感度。
因此,持續需要一種改善的方法來偵測在半導體處理期間所擷取的感測器資料中的異常值/異常。
揭露了一種用於偵測從製造工具的感測器所接收的時間序列跡線中的異常的方法。在一個實施方式中,伺服器將一組訓練時間序列跡線饋送到神經網路,該神經網路被配置為推導該等訓練時間序列跡線的模型,該模型最小化該等訓練時間序列跡線的重構誤差。該伺服器從與配置為生產矽基板的一或更多個製造工具相關聯的一或更多個感測器抽取一組輸入時間序列跡線。該伺服器將該組輸入時間序列跡線饋送到該訓練後的神經網路,以產生基於該模型重構的一組輸出時間序列跡線。該伺服器計算該組輸入時間序列跡線中的第一輸入時間序列跡線與該組輸出時間序列跡線中的對應的第一輸出時間序列跡線之間的均方差。在該均方差超過預定值時,該伺服器將與該第一輸入時間序列跡線對應的該感測器宣告為有異常。
在另一個實施方式中,提供了一種電腦系統,該電腦系統包括記憶體及處理器,該處理器被配置為執行用於偵測從製造工具的感測器所接收的時間序列跡線中的異常的方法,該方法包括以下步驟:將一組訓練時間序列跡線饋送到神經網路,該神經網路被配置為推導該等訓練時間序列跡線的模型,該模型最小化該等訓練時間序列跡線的重構誤差。該方法更包括以下步驟:從與配置為生產矽基板的一或更多個製造工具相關聯的一或更多個感測器抽取一組輸入時間序列跡線。該方法更包括以下步驟:將該組輸入時間序列跡線饋送到該訓練後的神經網路,以產生基於該模型重構的一組輸出時間序列跡線。該方法更包括以下步驟:計算該組輸入時間序列跡線中的第一輸入時間序列跡線與該組輸出時間序列跡線中的對應的第一輸出時間序列跡線之間的均方差。該方法更包括以下步驟:在該均方差超過預定值時,將與該第一輸入時間序列跡線對應的該感測器宣告為有異常。
在又另一個實施方式中,提供了一種非暫時性電腦可讀取媒體,該非暫時性電腦可讀取媒體包括用來執行方法的指令,該方法用於偵測從製造工具的感測器所接收的時間序列跡線中的異常。在一個實施例中,該方法包括以下步驟:將一組訓練時間序列跡線饋送到神經網路,該神經網路被配置為推導該等訓練時間序列跡線的模型,該模型最小化該等訓練時間序列跡線的重構誤差。該方法更包括以下步驟:從與配置為生產矽基板的一或更多個製造工具相關聯的一或更多個感測器抽取一組輸入時間序列跡線。該方法更包括以下步驟:將該組輸入時間序列跡線饋送到該訓練後的神經網路,以產生基於該模型重構的一組輸出時間序列跡線。該方法更包括以下步驟:計算該組輸入時間序列跡線中的第一輸入時間序列跡線與該組輸出時間序列跡線中的對應的第一輸出時間序列跡線之間的均方差。該方法更包括以下步驟:在該均方差超過預定值時,將與該第一輸入時間序列跡線對應的該感測器宣告為有異常。
以下揭示內容描述用於偵測從製造工具的複數個感測器所接收的時間序列資料中的異常的方法。某些細節被闡述在以下說明中及圖1-7中,以提供本揭示內容的各種實施方式的徹底瞭解。以下揭示內容中不闡述描述通常與電漿蝕刻相關聯的眾所周知的結構及系統的其他細節,以避免不必要地模糊了各種實施方式的說明。
圖式中所示的許多細節、尺度、元件、及其他特徵僅說明特定的實施方式。因此,在不脫離本揭示內容的精神或範圍的情況下,其他的實施方式可以具有其他的細節、元件、尺度、角度、及特徵。此外,可以在沒有下文所述的細節中的幾個細節的情況下實行本揭示內容的另外的實施方式。
隨著工序(例如製造工序)包括越來越短的操作時間、越來越小的部件、越來越嚴格的容差等等,過渡(例如在製造工序中如何從操作A到操作B)變得越來越重要。若工序過渡超調(overshoot)或欠調(undershoot)(例如太快地從10度過渡到20度,太慢地從10度過渡到20度等等),則可能發生問題。可重複的執行包括了一致的過渡。常規的監測方法(例如SPC、EHM、FDC)不能夠監測暫態時間序列且不能夠偵測隨時間從感測器所接收的資料(本文中稱為時間序列跡線)中的短時間訊號擾動。這些短暫的異常可能造成缺陷(例如基板上缺陷)或減少產量。
時間序列異常分析提供了監測工序感測器時間序列跡線中的時間序列異常的能力。時間序列異常分析可以偵測不能經由常規方法來偵測的稀有的、奇異的、及/或非預期的序列(例如時間序列資料的曲線(針對樣本所繪製的值)的形狀、大小、位置等等)。在一個實施例中,時間序列資料中的異常的監測是藉由深度自動編碼器類型的神經網路來執行的,該神經網路推導訓練時間序列跡線的模型,該模型最小化訓練時間序列跡線的重構誤差。來自與配置為生產矽基板的一或更多個製造工具相關聯的一或更多個感測器的一組輸入時間序列跡線被饋送到訓練後的神經網路,以產生基於模型重構的一組輸出時間序列跡線。該一或更多個輸出時間序列跡線中的每一者與對應的一或更多個輸入時間序列跡線之間的均方差被計算,且在對應的均方差超過預定值時,與該一或更多個輸入時間序列跡線對應的一或更多個感測器被宣告為有異常。在一個示例中,可以將該預定值設定為訓練重構均方差的均值加上三西格馬(sigma)作為截止值。
在半導體處理中,使用具有許多處理操作的特定處理配方在半導體基板上製造多層特徵。一般將群集工具用於處理半導體基板,該群集工具集成許多工序腔室以在不從處理環境(例如受控的環境)移除基板的情況下執行工序序列。一般將工序序列界定為元件製造操作或工序配方操作的序列,該序列在群集工具中的一或更多個處理腔室中完成。工序序列一般可以包含各種基板電子元件製造處理操作。
在半導體製造中,每個操作均必須用足夠的精確度位凖執行,使得生成的元件正確地作用。在光刻、蝕刻、沉積、或平坦化操作期間發生的某些缺陷可能需要大量的改正動作以重新加工基板。例如,若在光刻期間發生相當大的失準,則在一些情況下,必須將基板剝離且必須重新進行光刻以改正缺陷。改正這些缺陷可能使得時間及成本相當大地增加。基板的各種參數(例如厚度、光學反射率、吸收指數、強度、跨基板的臨界尺度變化等等)可能需要在可接受的工序窗口內,以讓完成的基板具有足夠品質以供使用。一或更多個參數及測量這些參數的感測器的問題可能需要擇一針對個別的基板或製造工序的方面採取改正動作。在製造工序完成之後針對每個基板檢查所有這些參數是低效且昂貴的。有時候,使用度量工具來檢查基板的代表試樣,該等度量工具測量基板的各種參數。然而,因為僅檢查代表試樣,此種決定大量基板的品質的方法可能不準確。因此,需要更系統化及高效的方式來決定大量的製造的基板的品質。
本揭示內容的實施例涉及監測及分析來自複數個感測器的時間序列資料中的工序異常,該複數個感測器位於半導體處理設備的複數個製造工具中。在一個實施方式中,伺服器從與配置為生產矽基板的一或更多個製造工具相關聯的複數個感測器抽取複數個時間序列跡線。該伺服器採用深度自動編碼器類型的神經網路,該神經網路被訓練為推導輸入訓練時間序列跡線的模型,該模型最小化輸入訓練時間序列跡線的重構誤差。該伺服器將該組輸入時間序列跡線饋送到該訓練後的神經網路,以產生基於該模型重構的一組輸出時間序列跡線。該伺服器計算該組輸入時間序列跡線中的第一輸入時間序列跡線與該組輸出時間序列跡線中的對應的第一輸出時間序列跡線之間的均方差。在該均方差超過預定值時,該伺服器將與該第一輸入時間序列跡線對應的該感測器宣告為有異常。在一個示例中,可以將該預定值設定為訓練重構均方差的均值加上三西格馬(sigma)作為截止值。伺服器可以指示製造系統採取改正動作以移除異常的來源。
本揭示內容的實施例可以允許高效及準確地預測關於基板的品質及產量。並且,實施例可以允許作出關於工序(例如薄膜沉積、蝕刻、及植入工序)的改善的有效決定,以實現可預測的工序窗口及最佳的薄膜性質。
圖1示意性地繪示依據本揭示內容的一個實施例用於基板處理的一或更多個製造工具101。可以預期,可以將本文中所述的方法實行在配置為執行工序序列的其他工具中。例如,圖1中所實施的製造工具101是可從加州聖克拉拉市的應用材料有限公司購得的ENDURA®群集工具。
製造工具101包括一組真空氣密的製造工具101及工廠介面102。製造工具101包括複數個處理腔室110、108、114、112、118、116。工廠介面102藉由裝載鎖氣閘室120耦接到傳輸腔室104。
在一個實施例中,工廠介面202包括至少一個對接站、至少一個基板傳輸末端執行器138、及至少一個基板對準器140。對接站被配置為接受一或更多個前開式晶圓傳送盒128(FOUP)。圖1的實施例中示出了兩個FOUP 128A、128B。基板傳輸末端執行器138被配置為從工廠介面102向裝載鎖氣閘室120傳輸基板。
裝載鎖氣閘室120具有耦接到工廠介面102的第一端口及耦接到第一傳輸腔室104的第二端口。裝載鎖氣閘室120被耦接到壓力控制系統,該壓力控制系統依需要將腔室120抽空及排氣,以促進在傳輸腔室104的真空環境與工廠介面102的實質周圍(例如大氣)的環境之間傳遞基板。
第一傳輸腔室104及第二傳輸腔室103分別具有設置在其中的第一末端執行器107及第二末端執行器105。兩個基板傳輸平台106A、106B被設置在傳輸腔室104中以促進在末端執行器105、107之間傳輸基板。平台106A、106B可以不是對傳輸腔室103、104開放或就是與傳輸腔室103、104選擇性地隔離(即密封),以允許在傳輸腔室103、104中的每一者中維持不同的操作壓力。
設置在第一傳輸腔室104中的末端執行器107能夠在裝載鎖氣閘室120、處理腔室116、118、與基板傳輸平台106A、106B之間傳輸基板。設置在第二傳輸腔室103中的末端執行器105能夠在基板傳輸平台106A、106B與處理腔室112、114、110、108之間傳輸基板。
本揭示內容的實施例涉及從來自各種製造工具101的與製造工序期間的各種基板工序相關聯的感測器收集及分析資料,以偵測異常及可能消除異常。在一些實施例中,製造工具與製造執行系統(MES)相關聯。資料可以包括例如各種感測器的時間序列跡線。可以在中央位置(例如伺服器)處匯總及分析資料,且使用該資料來實時地偵測異常/異常。例如,伺服器可以採用深度自動編碼器類型的神經網路,該神經網路被訓練以推導輸入訓練時間序列跡線的模型,該模型最小化輸入訓練時間序列跡線的重構誤差。伺服器可以將該組輸入時間序列跡線饋送到訓練後的神經網路,以產生基於該模型來重構的一組輸出時間序列跡線。伺服器可以計算該組輸入時間序列跡線中的第一輸入時間序列跡線與該組輸出時間序列跡線中的對應的第一輸出時間序列跡線之間的均方差。在均方差超過預定值時,伺服器可以將與第一輸入時間序列跡線對應的感測器宣告為有異常。伺服器可以指示製造系統(MES)採取改正動作以移除異常的來源。
可以從伺服器向MES提供可以由工程師分析的異常及跡線資訊,且使得可以依需要採取關於由於所偵測到的異常而識別的問題的改正動作。伺服器也可以基於資料的分析(例如基於識別感測器的時間序列跡線與從測量基板的參數的其他感測器所截取的度量資料之間的相關性的步驟)來決定要採取的適當改正動作,及提供指令以執行改正動作。在一些實施例中,MES可以基於預測資訊自動採取改正動作(例如重新校準或調整光刻工具的參數、重新進行基板的製造工序的一部分、或其他合適的計算設備)。在某些實施例中,若預測資訊識別基板的一或更多個參數的問題,則可以藉由分析基板(例如使用度量工具來分析)來驗證該問題。若藉由分析基板確認了問題,則可以接著採取改正動作(例如人工地採取或由MES自動地採取)。
圖2描繪網路環境200,本揭示內容的實施例可以實施在該網路環境中。如所示,網路環境200包括伺服器220,該伺服器經由網路210連接到另一個伺服器230,該另一個伺服器連接到一或更多個製造工具101。網路210可以例如包括網際網路。在另一個實施例中,製造工具101也直接連接到伺服器220。
伺服器220可以包括計算設備,例如機架伺服器、桌上型或膝上型電腦、行動電話、平板電腦、或其他合適的計算設備。如所示,伺服器220包括異常分析引擎222,該異常分析引擎可以執行本文中所述的與作出關於識別及改正異常的決定及預測相關的操作。異常分析引擎222識別資料源206A-N(例如感測器),該等資料源界定系統及/或用來監測系統(例如與製造工具101對應的製造工具101的集合)。製造工具101可以是與平台101對應的半導體處理設備(例如蝕刻反應器的腔室、沉積腔室等等中的一或更多者),包括圖1的該複數個處理腔室110、108、114、112、118、116。
例如,異常分析引擎222可以分析從MES 232所接收或從製造工具101直接接收的時間序列感測器資訊,以偵測、分析、及驗證異常。
例如,異常分析引擎222可以分析從MES 202所接收或從製造工具101內所應用的感測器直接接收的工序及基板感測器資訊,以偵測異常、用來消除相同感測器中的未來異常的改正動作、及工序改善。在一些實施例中,伺服器220與製造工具101分離。在其他的實施例中,伺服器可以是製造工具101的一部分,或可以是離線伺服器。
例如,異常分析引擎222可以從MES 232接收時間序列感測器跡線,該等時間序列感測器跡線表示從與配置為生產矽基板的一或更多個製造工具相關聯的複數個感測器收集的溫度、氣體流量、或RF電流等等。異常分析引擎222可以採用深度自動編碼器類型的神經網路,該神經網路被訓練以推導輸入訓練時間序列跡線的模型,該模型最小化輸入訓練時間序列跡線的重構誤差。異常分析引擎222可以將該組輸入時間序列跡線饋送到訓練後的神經網路,以產生基於該模型來重構的一組輸出時間序列跡線。異常分析引擎222可以計算該組輸入時間序列跡線中的第一輸入時間序列跡線與該組輸出時間序列跡線中的對應的第一輸出時間序列跡線之間的均方差。在均方差超過預定值時,異常分析引擎222可以將與第一輸入時間序列跡線對應的感測器宣告為有異常。在一個示例中,可以將該預定值設定為訓練重構均方差的均值加上三西格馬(sigma)作為截止值。異常分析引擎222可以指示製造系統(MES)採取改正動作以移除異常的來源。
異常分析引擎222可以向MES 232提供關於感測器資料中的未來異常的預測資訊。在一些實施例中,異常分析引擎222也可以基於該資料來決定要針對工序或個別的基板採取的改正動作。例如,異常分析引擎222可以識別與溫度感測器相關聯的與某個基板參數問題相關的測量到的異常與工具參數之間的相關性,且決定應調整工具參數達一定量。並且,異常分析引擎222可以決定要在基板上執行以使得一或更多個預測的參數進入可接受的範圍的動作(例如改正重疊標記、將基板剝離及重新塗覆等等)。
關於針對參數的基板品質的預測資訊可以容許人員或MES 232改善製造工序(例如可以改善光刻、蝕刻、沉積、及平坦化以實現可預測的性質)。
伺服器230可以包括計算設備,例如機架伺服器、桌上型或膝上型電腦、行動電話、平板電腦、或其他合適的計算設備。如所示,伺服器220包括MES 232,該MES可以執行本文中所述的與管理矽基板的製造相關的操作。例如,MES 232可以協調由製造工具101所執行的工序,且可以從這些工具收集資料(例如工具參數、厚度、光學反射率、吸收指數、強度、重疊及對準資料、跨基板的臨界尺度變化等等)及從由製造工具101所使用的感測器收集時間跡線。在一些實施例中,資料可以是表示感測器在製造工序執行時隨時間的行為的時間序列跡線。MES 232可以向異常分析引擎222提供此資訊(例如在網路210上提供),該異常分析引擎可以分析該資訊且提供對異常偵測的驗證。在一些實施例中,異常分析引擎222可以向MES 232提供關於要針對個別的缺陷、製造工具101、或製造工序的其他方面所採取的改正動作的指令。在某些實施例中,MES 232自動採取改正動作,例如藉由指示製造工具101內的個別腔室的個別感測器執行某些任務(例如指示光刻工具將基板剝離及重新塗覆以改正對準缺陷,或指示沉積工具變更一或更多個參數)來採取改正動作。
製造工具101可以包括執行與製造矽基板相關的工序的一或更多個工具。例如,製造工具101可以包括光刻工具、蝕刻工具、沉積工具、及平坦化工具。製造工具101可以與MES 232通訊,以接收指令及提供來自特定工具內的感測器的資料(例如表示由與基板的參數相關聯的一或更多個感測器所輸出的值的時間序列資料,該等參數由感測器在製造期間隨時間捕捉)。
本揭示內容的實施例採用稱為深度自動編碼器的類神經網路架構。類神經網路是由人腦的神經網路的操作原理啟發的機器學習模型,且已經廣泛應用於分類及函數近似的問題。與任務特定的演算法相反,深度學習是基於學習資料表示的更廣泛的機器學習方法家族的一部分。深度學習是一種機器學習演算法,其將多層非線性處理單元的級聯用於輸入資料的特徵抽取及變換。多個層中的每個連續層使用來自先前的層的輸出作為輸入。接著訓練該多個層以學習一組輸入資料的表示(編碼),一般是為了用無監督(例如模式分析)的方式進行降維的目的。
深度自動編碼器是一種具有一或更多層隱藏節點的深度學習前饋神經網路,該一或更多層隱藏節點的連續層中通常存在比編碼半部中的輸入節點要少的節點,且解碼半部通常具有與編碼半部鏡像對稱的結構。深度自動編碼器的結構幫助嵌入的神經網路學習與從非異常輸入感測器跡線推導的一組訓練資料對應的輸入資料的最佳表示,及將輸入資料重構為與輸入感測器跡線對應的輸出資料。在一個實施例中,用非異常感測器跡線作業(run)訓練深度自動編碼器以學習在深度自動編碼器的輸出處再生最小化重構誤差的輸入感測器跡線所必要的最小特徵組。更具體而言,學習是決定層之間的連接權重使得在解決學習問題時效能最大化的過程。表示是感測器跡線中的每一者的正常特徵符。因此,自動編碼器產生與輸入相同的輸出。
圖3繪示要採用在本揭示內容的實施例中的深度自動編碼器300。對深度自動編碼器300的輸入資料302包括從與製造工具101相關聯的複數個感測器的複數個時間序列跡線的矩陣表示所推導的感測器跡線的時間切片。深度自動編碼器300可以包括輸入層304、一或更多個隱藏層306、中心瓶頸層308、輸出層310、及層之間的一整組連接312。隱藏層306的結構相對於瓶頸層308是對稱的,瓶頸層具有最小數量的節點。瓶頸層308用來幫助神經網路300藉由抽取表示輸入資料302的有限數量的特徵來尋找被重構成輸出資料314的輸入資料302的最小表示。設計者僅需要界定深度自動編碼器300中的層的數量,及層中的每一者中要有多少節點。深度自動編碼器300是用不具有異常的示例跡線訓練的,且被配置為產生輸出資料314,該輸出資料是與輸入資料302對應的該複數個跡線的重構,其中該輸出資料314相對於輸入跡線具有最小化的重構誤差(例如均方差或MSE)。重構誤差是針對由深度自動編碼器300在訓練期間所學習的用來再生輸入感測器跡線所必要的最小的全域及時間不變特徵組來最小化的。
最小化重構誤差強迫深度自動編碼器300進行降維,例如藉由學習如何識別與輸入跡線對應的輸入資料302的全域及時間不變特徵來進行降維。深度自動編碼器300學習將來自輸入層304的資料壓縮成短代碼,然後將該代碼解壓縮成緊密匹配原始輸入資料302的某物。
深度自動編碼器300是在用在真實的資料之前訓練的。深度自動編碼器的訓練演算法可以概括如下:針對每個輸入x 進行前饋傳遞以計算所有隱藏層處的激勵,接著在輸出層處計算激勵以獲得輸出x';測量最小化重構誤差(例如均方差)的x'與輸入x 的偏差;及通過網絡回向傳播誤差且執行權重更新。因此,隱藏層306的隱藏節點被訓練為藉由最小化重構誤差在輸出x'處重構輸入x。其結果是,隱藏節點學習輸入跡線的用來再生輸入跡線所必要的全域及時間不變特徵。最小化重構誤差(例如均方差或MSE)產生了輸出對應的感測器訊號的深度自動編碼器300。
圖4用模組化方式示出深度自動編碼器300的架構400。訓練深度自動編碼器300或測試實際跡線作業所需的多個感測器時間序列跡線在被饋送到包含在異常分析引擎222內的深度自動編碼器300中之前被異常分析引擎222預處理。預處理包括以下步驟:將時間序列跡線中的每一者標準化到[0,1]的範圍(最小值-最大值標準化,(x-最小值)/(最大值-最小值))。在該方法的部署中,最小最大值僅來自訓練作業。預處理更包括以下步驟:從矩陣402在複數個時間戳處抽取複數個時間戳處的輸入時間序列跡線中的每一者的複數個滾動時間窗口404(子矩陣),矩陣402包括來自所有時間戳的所有感測器的輸入時間序列跡線的值/時間戳對;及將值/時間戳資料Vij 的該複數個滾動時間窗口404作為輸入資料饋送到深度自動編碼器300。
矩陣402具有與從作業/基板R1 的時間戳t0 到te 到作業/基板Rm 的時間戳tm 到tm+e 的感測器S1 到Sm 的跡線點值Vij 對應的一個維度作為一個維度及感測器S1 到Sn 作為第二維度。從矩陣402抽取包含來自複數個時刻ts 到ts+w 處的所有感測器Si 到Sn 的輸入資料302的滾動時間窗口404的輸入向量/子矩陣。
從該複數個滾動時間窗口404,異常分析引擎222將一列值Vli -V(l+w)1 到Vln -V(l+w)n 饋送到深度自動編碼器300中。輸入跡線資料302的最小的全域及時間不變特徵組由深度自動編碼器300藉由基於訓練(無錯誤)資料的回向傳播及隨機梯度下降來學習。深度自動編碼器的節點304、306、308對滾動窗口資料404編碼、壓縮滾動窗口資料404、及在節點308-312處對滾動窗口資料解碼/解壓縮,以針對每個時間戳產生重構的輸入跡線值的輸出列作為輸出跡線值408(Oli -O(l+w)1 到Oln -O(l+w)n )。在測試的同時,層304-310中的節點406的整個鏈實時地用前饋的方式操作,且提供時間窗口的RMS重構誤差。輸出資料是與來自所有感測器的輸入跡線的特徵符對應的資料Oli -O(l+w)1 到Oln -O(l+w)n 的輸出向量408。
在一個實施例中,深度自動編碼器300是使用最佳化器用正常作業來訓練的。一個此類最佳化器是自適應矩估算(Adam)最佳化器。Adam最佳化器是隨機目標函數的一階的基於梯度的最佳化的演算法,基於低階矩的自適應估算。所有感測器上的時間窗口的平均重構誤差(例如均方差)表示時間窗口距離標稱條件有多遠,且用作異常指標。
在其他的實施例中,可以基於以下項目採用其他的網路結構超參數:層的數量、每個層中有多少節點、滾動窗口大小、神經網路的激勵函數等等。
一旦學習了最小特徵組,就將實際的時間序列跡線作業組饋送到深度自動編碼器300,該深度自動編碼器接著使用相同的滾動窗口技術及深度自動編碼器300壓縮/解壓縮技術產生與輸入資料302的最小重構誤差版本對應的輸出資料314。異常分析引擎222接著計算表示與輸入時間序列跡線對應的重構的輸入資料的輸出資料408(Oli -O(l+w)1 到Oln -O(l+w)n )與跟該複數個製造工具101的該複數個感測器中的感測器中的每一者的原始時間序列跡線對應的輸入資料302(Vli -V(l+w)1 到Vln -V(l+w)n )之間的均方差。若任何一個重構的輸出時間序列跡線具有比預定閾值大的計算的均方差,則將對應的輸入作業/時間序列跡線宣告為是異常的,且偵測到該時間序列跡線的異常。
個別的均方差時間戳值MSEij (410)促成整體的均方差計算。假定輸入時間序列資料是v1 、v2 、…、vt ,則在資料擬合於訓練後的神經網路模型中之後,重構的輸入具有相同維數的時間序列o1 、o2 、…、ot 。接著計算平方重構誤差
Figure 02_image001
。每個時間戳均具有一個平方誤差值。窗口內的平方誤差的均值被採用作為異常分數。
可以接著匯總每次作業(或每個步驟)的每個時間戳的平方誤差的均值。若每次作業(或每個步驟)的此均方差大於某個閾值,則將該作業(或步驟)宣告為是給定感測器中的異常。
圖5繪示依據本揭示內容的實施例的異常分析引擎222的元件。如所示,異常分析引擎222包括資料獲取模組510,該資料獲取模組可以從MES 232獲取資料(例如在網路210上獲取)。在替代性的實施例中,資料獲取模組510直接從製造工具101內的感測器獲取時間序列跡線資料。異常分析引擎222更包括資料預處理模組520,該資料預處理模組可以依據圖4中所描述的方法預處理時間序列跡線、對跡線進行時間切片、及將時間切片饋送到深度自動編碼器300。異常分析引擎222更包括異常驗證模組530,該異常驗證模組採用深度自動編碼器300基於輸出重構的時間序列跡線與對應的輸入時間序列跡線之間的均方差是否超過預定閾值來決定輸入時間序列跡線中是否存在任何異常,且決定輸入時間序列跡線是否因此是包含異常的時間序列跡線。異常分析引擎222更包括傳輸模組540,該傳輸模組可以向MES 232(例如在網路210上)或向其他的目的地傳送資料(例如感測器資訊及改正指令)。
圖6繪示用於偵測從製造工具101的一或更多個感測器所接收的時間序列資料中的異常的方法600的一個實施例。可以藉由處理邏輯來執行方法600,該處理邏輯可以包括硬體(例如電路系統、專用邏輯、可程式化邏輯、微代碼等等)、軟體(例如運行在處理設備上的指令)、或上述項目的組合。在一個實施例中,方法600是藉由圖2的異常分析引擎222來執行的。
在方塊605處,異常分析引擎222將一組訓練時間序列跡線饋送到神經網路,該神經網路被配置為推導該等訓練時間序列跡線的模型,該模型最小化該等訓練時間序列跡線的重構誤差。在方塊610處,異常分析引擎222從與配置為生產矽基板的一或更多個製造工具101相關聯的一或更多個感測器抽取一或更多個輸入時間序列跡線。輸入時間序列跡線中的每一者均被最小值-最大值標準化。預處理更包括以下步驟:從一個矩陣在一定時刻處抽取該等輸入時間序列跡線中的每一者的輸入時間切片,該矩陣包括來自所有時刻的全部該等感測器的該等輸入時間序列跡線的時間切片;及將該時間切片作為輸入資料饋送到深度自動編碼器300。異常分析引擎222進一步從該矩陣抽取輸入向量,該輸入向量包括來自所有該等感測器的該等輸入時間序列跡線的時間切片,且將該時間切片作為輸入資料饋送到該神經網路。
在方塊615處,異常分析引擎222將該一或更多個輸入時間序列跡線饋送到訓練後的神經網路300,以產生基於該模型來重構的一組輸出時間序列跡線。神經網路300是深度學習的、前饋的、完全連接的神經網路。該神經網路最小化該等輸入時間序列跡線的重構誤差。最小化重構誤差的步驟最小化均方差。
神經網路300的節點被組織成複數個層。該複數個層包括輸入層、輸出層、中心瓶頸層、及設置在該輸入層與該輸出層之間的一或更多個隱藏層。該一或更多個隱藏層被訓練為最小化重構誤差。該一或更多個隱藏層的節點被訓練為學習該等輸入時間序列跡線的用來將該等輸入時間序列跡線重構為該等輸出時間序列跡線所必要的全域及時間不變特徵。
神經網路300是使用超參數最佳化器用正常時間序列跡線訓練的。
在方塊620處,異常分析引擎322計算該一或更多個輸出時間序列跡線中的每一者與對應的一或更多個輸入時間序列跡線之間的均方差。在方塊625處,在對應的均方差超過預定值時,異常分析引擎322將與該一或更多個輸入時間序列跡線對應的一或更多個感測器宣告為具有異常。在一個示例中,可以將該預定值設定為訓練重構均方差的均值加上三西格馬(sigma)作為截止值。
在方塊630處,異常分析引擎322指示製造系統採取改正動作以移除所宣告的異常的原因。
圖7繪示依據本揭示內容的一些實施例用來偵測及分析異常的示例計算系統700。在某些實施例中,電腦系統700代表伺服器120。電腦系統700的態樣也可以代表用來執行本文中所述的技術的其他設備(例如伺服器130)。例如,計算系統700可以是個人電腦、工業處理器、個人數位助理、行動電話、行動設備、或適於實行本發明的一或更多個實施例的任何其他設備。
系統700包括經由匯流排路徑通訊的中央處理單元(CPU)702及系統記憶體704,該匯流排路徑可以包括記憶體橋接器705。CPU 702包括一或更多個處理核心,且在操作時,CPU 702是系統700的主處理器,該主處理器控制及協調其他系統元件的操作。系統記憶體704儲存軟體應用706及資料以供CPU 702使用。CPU 702運行軟體應用且可選地運行作業系統。
說明性地,系統記憶體704包括異常分析引擎780,異常分析引擎780可以與異常分析引擎222對應,異常分析引擎780依據本文中所述的技術執行與偵測感測器異常相關的操作。例如,異常分析引擎780可以等同於圖2中的異常分析引擎222,且可以採用深度自動編碼器類型的神經網路300,該神經網路基於模型將一或更多個輸入時間序列跡線重構為對應的一或更多個輸出時間序列跡線,該模型最小化訓練時間序列跡線的重構誤差,且該神經網路基於該設定偵測及驗證感測器異常及製造工序的其他方面。
記憶體橋接器705(其可以是例如北橋晶片)經由匯流排或其他的通訊路徑(例如HyperTransport鏈路)連接到I/O(輸入/輸出)橋接器707。I/O橋接器707(其可以是例如南橋晶片)接收來自一或更多個使用者輸入設備708(例如鍵盤、滑鼠、搖桿、數位板、觸控板、觸控螢幕、靜態或視訊攝影機、運動感測器、及/或傳聲器)的使用者輸入,及經由記憶體橋接器705將該輸入轉發到CPU 702。
顯示處理器712經由匯流排或其他的通訊路徑(例如PCI Express、加速圖形端口、或HyperTransport鏈路)耦接到記憶體橋接器785;在一個實施例中,顯示處理器712是圖形子系統,該圖形子系統包括至少一個圖形處理單元(GPU)及圖形記憶體。圖形記憶體包括顯示記憶體(例如幀緩存器),該顯示記憶體用於儲存輸出影像的每個像素的像素資料。可以將圖形記憶體集成在與GPU相同的設備中、連接為與GPU分離的設備、及/或實施在系統記憶體704內。
顯示處理器712週期性地向顯示設備710(例如螢幕或常規的CRT、基於電漿、OLED、SED、或LCD的監視器或電視)遞送儀表板的像素。此外,顯示處理器712也可以向底片記錄器輸出像素,該等底片記錄器被調適為在照相底片上再生電腦產生的影像。顯示處理器712可以將類比或數位的訊號提供給顯示設備710。
持久性儲存器720也連接到I/O橋接器707,且可以被配置為儲存內容及應用及資料(例如資料庫715)以供CPU 702及顯示處理器712使用。持久性儲存器720提供應用及資料的非依電性儲存器,且可以包括固定的或可移除的硬碟機、快閃記憶設備、及CD-ROM、DVD-ROM、藍光、HD-DVD、或其他磁式、光學、或固態儲存設備。
說明性地,持久性儲存器720包括製造資料790,該製造資料可以包括由異常分析引擎222所獲取的資訊。製造資料790可以例如包括從MES 232所接收、由製造工具101所收集、與在製造工序期間隨時間從基板及工具截取測量值的各種感測器相關的資訊。
交換器716提供I/O橋接器707與其他元件(例如網路配接器718及各種擴充卡720及721)之間的連接。網路配接器718允許系統700經由電子通訊網路與其他系統通訊,且可以包括區域網路740及廣域網路(例如網際網路)上的有線或無線通訊。
包括USB或其他端口連接、底片記錄設備、或其他合適的計算設備的其他元件(未示出)也可以連接到I/O橋接器707。例如,工序設備770可以根據由CPU 702、系統記憶體704、或持久性儲存器720所提供的指令及/或資料進行操作。將圖7中的各種元件互相連接的通訊路徑可以使用任何合適的協定來實施,例如PCI(周邊元件互連)、PCI Express(PCI-E)、AGP(加速圖形端口)、HyperTransport、或任何其他匯流排或點對點通訊協定,且不同設備之間的連接可以使用不同的協定,如本領域中所習知的。
工序設備770可以是一或更多個半導體腔室,例如電漿增強化學氣相沉積(PECVD)或其他的電漿處理工具,例如包含在製造工具101中的處理工具。例如,單獨地或與一或更多個其他腔室結合地,工序設備770可以是蝕刻腔室、化學氣相沉積腔室、物理氣相沉積腔室、植入腔室、電漿處理腔室、或其他電漿處理腔室。
在一個實施例中,顯示處理器712併入電路系統,該電路系統針對執行數學運算最佳化且包括例如數學協同處理器,且該顯示處理器可以額外構成圖形處理單元 (GPU)。在另一個實施例中,顯示處理器712併入針對通用處理最佳化的電路系統。在又另一個實施例中,顯示處理器712可以與一或更多個其他系統構件(例如記憶體橋接器705、CPU 702、及I/O橋接器707)集成在一起,以形成系統單晶片(SoC)。在又另外的實施例中,省略了顯示處理器712,且由CPU 702所執行的軟體執行顯示處理器712的功能。
可以直接從CPU 702將像素資料提供到顯示處理器712。在一些實施例中,經由網路配接器718或系統碟714將表示異常驗證分析的指令及/或資料提供到伺服器電腦的集合,每個伺服器電腦均與系統700類似。伺服器可以使用所提供的指令對資料的子集執行操作以供進行分析。可以將來自這些操作的結果用數位格式儲存在電腦可讀取媒體上,且可選地回傳到系統700以供進一步分析或顯示。類似地,可以將資料輸出到其他系統以供顯示、儲存在系統碟714上的資料庫715中、或用數位格式儲存在電腦可讀取媒體上。
或者,CPU 702將界定所需輸出影像的資料及/或指令提供給顯示處理器712,根據該等資料及/或指令,顯示處理器712產生一或更多個輸出影像的像素資料,包括表徵及/或調整立體影像對之間的偏位。可以將界定所需輸出影像的資料及/或指令儲存在系統記憶體704、或顯示處理器712內的圖形記憶體中。CPU 702及/或顯示處理器712可以採用本領域中習知的任何數 學、函數、或技術來根據所提供的資料及指令產生一或更多個結果,包括運行模型及比較來自感測器的資料以追蹤腔室元件的使用壽命。
將理解,本文中所示的系統是說明性的,且變化及修改是可能的。可以依需要修改連接拓撲(包括橋接器的數量及佈置)。例如,在一些實施例中,系統記憶體704直接連接到CPU 702,而不是通過橋接器來連接,且其他設備經由記憶體橋接器705及CPU 702與系統記憶體704通訊。在其他替代的拓撲中,顯示處理器712連接到I/O橋接器707或直接連接到CPU 702,而不是連接到記憶體橋接器705。在又其他的實施例中,可以將I/O橋接器707及記憶體橋接器705集成到單個晶片中。本文中所示的特定元件是可選的;例如,可以支援任何數量的擴充卡或周邊設備。在一些實施例中,工序設備770可以直接連接到I/O橋接器707。在一些實施例中,消去了交換器716,且網路配接器718及擴充卡720、721直接連接到I/O橋接器707。
可以將本發明的各種實施例實施為程式產品以供與電腦系統一起使用。程式產品的軟體常式界定實施例(包括本文中所述的方法)的功能,且可以被容納在各種電腦可讀取儲存媒體上。說明性的電腦可讀取儲存媒體包括(但不限於):(i)非可寫入式儲存媒體(例如電腦內的唯讀記憶設備,例如可由CD-ROM驅動機讀取的CD-ROM光碟、快閃記憶體、ROM晶片、或任何類型的固態非依電性半導體記憶體),資訊可以永久儲存在其上;及(ii)可寫入式儲存媒體(例如磁碟機內的軟碟、或硬碟機、或任何類型的固態隨機存取半導體記憶體),可變更的資訊儲存在其上。
可以將一或更多個電腦可讀取媒體的任何組合用於儲存程式產品,該程式產品在被執行時被配置為執行用於決定腔室元件的使用壽命的方法。電腦可讀取儲存媒體可以是例如但不限於電子、磁式、光學、電磁、紅外線、或半導體的系統、裝置、或設備、或前述項目的任何合適的組合。電腦可讀取儲存媒體的更具體的示例(非窮舉列表)會包括以下項目:可攜式電腦磁盤、硬碟、隨機存取記憶體(RAM)、唯讀記憶體(ROM)、可抹除可程式化唯讀記憶體(EPROM或快閃記憶體)、光纖、可攜式光碟唯讀記憶體(CD-ROM)、光學儲存設備、磁式儲存設備、或前述項目的任何合適的組合。在此文件的背景脈絡下,電腦可讀取儲存媒體可以是任何有形媒體,該有形媒體可以容納或儲存程式,該程式用於由指令執行系統、裝置、或設備使用或與指令執行系統、裝置、或設備結合使用。
可以用一或更多種編程語言的任何組合來撰寫用於實現本發明的態樣的操作的電腦程式碼,例如物件導向編程語言(例如JAVA TM、SMALLTALK TM、C++等等)及常規的程序編程語言(例如「C」編程語言或類似的編程語言)。程式碼可以完全執行在使用者的電腦上、部分地執行在使用者的電腦上、執行為獨立軟體包、部分地執行在使用者的電腦上且部分地執行在遠端電腦上、或完全執行在遠端電腦或伺服器上。在後者的情境中,遠端電腦可以通過任何類型的網路(包括區域網路(LAN)或廣域網路(WAN))連接到使用者的電腦,或可以連接到外部電腦(例如使用網際網路服務提供商通過網際網路來連接)。
也可以將電腦程式指令加載到電腦、其他的可程式化資料處理裝置、或其他設備上,以使得在電腦、其他的可程式化裝置、或其他設備上執行一系列的操作以產生電腦實施的進程,使得在電腦或其他可程式化裝置上執行的指令提供用於實施流程圖及/或方塊圖的一或多個方塊中所指定的功能/動作的進程。
可以通過雲端計算基礎結構將本發明的實施例提供到終端使用者。雲端計算指的是在網路上提供可擴充的計算資源作為服務。更正式地說,可以將雲端計算界定為一種計算能力,該計算能力提供計算資源與其下層技術架構(例如伺服器、儲存器、網路)之間的抽象概念,從而允許對可配置的計算資源的共用池進行方便、按需要的網路存取,該等計算資源可以用最少的管理工作量或服務提供商互動來提供及釋放。因此,雲端計算允許使用者存取「雲端」中虛擬的計算資源(例如儲存器、資料、應用、及甚至完整的虛擬化計算系統),而無需考慮用來提供計算資源的下層實體系統(或彼等系統的位置)。
有利地,本文中所呈現的技術允許實時的異常偵測、離線問題診斷、及腔室匹配。針對實時異常偵測,實施例預先訓練模型,且實時地偵測是否存在相對於良好跡線的大偏差及是否據此進行改正。針對離線問題診斷,在某些問題在作業的馬拉松期間發生時,實施例使用良好的作業來訓練模型及檢查不良作業與良好作業相比的差異。這決定了差異的主要貢獻感測器以幫助診斷硬體或工序本身中的任一者中的實際問題是什麼(例如流量閥、電力觸發延遲等等)。針對腔室匹配,實施例容許用來自一個/多個良好腔室的多次作業來訓練模型,及檢查相對於良好腔室但來自不良腔室的輸出跡線中的差異。如上所述,自動編碼器將忽略良好腔室之間的基準差異,且專注於尋找更重要的差異(例如模式、工序等等)。這比運行相同/類似配方的常規腔室匹配方法更高效。
該等技術允許基於製造資料智能地預測基板品質,且允許作出高效的關於要針對個別的基板及製造工序的其他方面所採取的改正動作的決定。使用本揭示內容的實施例可以減少成本、改善效率、及允許針對製造工序進行更佳的策略計劃。
如本領域中的一般技術人員將理解的,可以將本發明的態樣實施為系統、方法、或電腦程式產品。因此,本發明的態樣可以採取以下的形式:完全硬體的實施例、完全軟體的實施例(包括韌體、常駐軟體、微代碼等等)、或在本文中可以稱為「電路」、「模組」、或「系統」的結合軟體及硬體態樣的實施例。並且,本發明的態樣可以採取以下的形式:實施在一或更多個電腦可讀取媒體中的電腦程式產品,該一或更多個電腦可讀取媒體在其上實施有電腦可讀取程式代碼。
在前述內容中參照了此揭示內容中所呈現的實施例。然而,本揭示內容的範圍不限於特定描述的實施例。相反地,無論是否與不同的實施例相關,都考慮將以下特徵及構件的任何組合用來實施及實行所考慮的實施例。並且,雖然本文中所揭露的實施例可以相對於其他可能的解決方案或相對於先前技術實現優點,但給定的實施例是否實現特定的優點並不是對本揭示內容的範圍的限制。因此,以下態樣、特徵、實施例、及優點僅是說明性的,且不被視為隨附請求項的要素或限制,除非在請求項中明確敘述。同樣地,對於「本發明」的指稱不應解釋為本文中所揭露的任何發明性標的的概括,且不應視為隨附請求項的要素或限制,除非在請求項中明確敘述。
雖然以上內容是針對本揭示內容的實施例,但也可以在不脫離本揭示內容的基本範圍的情況下設計本揭示內容的其他的及另外的實施例,且本揭示內容的範圍是由隨後的請求項所決定的。
101:製造工具 102:工廠介面 103:傳輸腔室 104:傳輸腔室 105:第二末端執行器 107:第一末端執行器 108:處理腔室 110:處理腔室 112:處理腔室 114:處理腔室 116:處理腔室 118:處理腔室 120:裝載鎖氣閘室 138:基板傳輸末端執行器 140:基板對準器 200:網路環境 210:網路 220:伺服器 222:異常分析引擎 230:伺服器 232:MES 300:深度自動編碼器 302:輸入資料 304:輸入層 306:隱藏層 308:中心瓶頸層 310:輸出層 312:連接 400:架構 402:矩陣 404:滾動時間窗口 406:節點 408:輸出跡線值 410:均方差時間戳值 510:資料獲取模組 520:資料預處理模組 530:異常驗證模組 540:傳輸模組 600:方法 605:方塊 610:方塊 615:方塊 620:方塊 625:方塊 630:方塊 700:計算系統 702:CPU 704:系統記憶體 705:記憶體橋接器 706:軟體應用 707:I/O橋接器 708:使用者輸入設備 710:顯示設備 712:顯示處理器 714:系統碟 716:交換器 718:網路配接器 720:擴充卡 721:擴充卡 740:區域網路 770:工序設備 780:異常分析引擎 790:製造資料 106A:平台 106B:平台 128A:FOUP 128B:FOUP
可以藉由參照實施方式來獲得上文所簡要概述的實施方式的更詳細說明以及可以用來詳細瞭解本揭示內容的上述特徵的方式,附圖中繪示了該等實施方式中的一些。然而,應注意,附圖僅繪示此揭示內容的典型實施方式且因此不要將其視為此發明的範圍限制,因為本揭示內容可以接納其他同等有效的實施方式。
圖1示意性地繪示依據本揭示內容的一個實施例用於基板處理的複數個製造工具。
圖2描繪網路環境,本揭示內容的實施例可以實施在該網路環境中。
圖3繪示要採用在本揭示內容的實施例中的深度自動編碼器。
圖4用模組化方式示出深度自動編碼器的架構。
圖5繪示依據本揭示內容的實施例的異常分析引擎的元件。
圖6繪示用於偵測從製造工具的複數個感測器所接收的時間序列資料中的異常的方法的一個實施例。
圖7描繪示例電腦系統,本揭示內容的實施例可以用該電腦系統實施。
為了促進瞭解,已儘可能使用相同的參考標號來標誌該等圖式共有的相同構件。可以預期,可以在不另外詳述的情況下有益地將一個實施方式的構件及特徵併入其他實施方式。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
302:輸入資料
304:輸入層
306:隱藏層
308:中心瓶頸層
310:輸出層
312:連接
400:架構
402:矩陣
404:滾動時間窗口
406:節點
408:輸出跡線值
410:均方差時間戳值

Claims (20)

  1. 一種用於偵測從製造工具的感測器所接收的時間序列跡線中的異常的方法,該方法包括以下步驟: 將一組訓練時間序列跡線饋送到一神經網路,該神經網路被配置為推導該等訓練時間序列跡線的一模型,該模型最小化該等訓練時間序列跡線的重構誤差; 從與配置為生產一矽基板的一或更多個製造工具相關聯的一或更多個感測器抽取一組輸入時間序列跡線; 將該組輸入時間序列跡線饋送到該訓練後的神經網路,以產生基於該模型重構的一組輸出時間序列跡線; 計算該組輸入時間序列跡線中的一第一輸入時間序列跡線與該組輸出時間序列跡線中的對應的一第一輸出時間序列跡線之間的一均方差;及 在該均方差超過一預定值時,將與該第一輸入時間序列跡線對應的該感測器宣告為具有一異常。
  2. 如請求項1所述的方法,更包括以下步驟:指示一製造系統採取改正動作以移除該異常的一來源。
  3. 如請求項1所述的方法,其中該神經網路是一深度學習、前饋、完全連接的神經網路。
  4. 如請求項1所述的方法,其中最小化重構誤差的步驟最小化饋送到該神經網路的訓練時間序列跡線與該等訓練時間序列跡線的該模型之間的均方差。
  5. 如請求項1所述的方法,其中該神經節點的節點被組織成複數個層。
  6. 如請求項5所述的方法,其中該複數個層包括一輸入層、一輸出層、一中心瓶頸層、及設置在該輸入層與該輸出層之間的一或更多個隱藏層。
  7. 如請求項6所述的方法,其中該一或更多個隱藏層被訓練為最小化重構誤差。
  8. 如請求項6所述的方法,其中該一或更多個隱藏層的節點被訓練為學習該等訓練時間序列跡線的用來重構該等訓練時間序列跡線所必要的全域及時間不變特徵。
  9. 如請求項1所述的方法,其中該神經網路是使用一超參數最佳化器使用正常時間序列跡線來訓練的。
  10. 如請求項1所述的方法,更包括以下步驟: 從一矩陣在一定時刻處抽取該等輸入時間序列跡線中的每一者的一輸入時間切片,該矩陣包括來自所有時刻的全部該等感測器的該等輸入時間序列跡線的時間切片;及 將該時間切片作為輸入資料饋送到該神經網路。
  11. 一種計算系統,包括: 一記憶體;及 一處理器,被配置為執行用於偵測從製造工具的感測器所接收的時間序列跡線中的異常的一方法,該方法包括以下步驟: 將一組訓練時間序列跡線饋送到一神經網路,該神經網路被配置為推導該等訓練時間序列跡線的一模型,該模型最小化該等訓練時間序列跡線的重構誤差; 從與配置為生產一矽基板的一或更多個製造工具相關聯的一或更多個感測器抽取一組輸入時間序列跡線; 將該組輸入時間序列跡線饋送到該訓練後的神經網路,以產生基於該模型重構的一組輸出時間序列跡線; 計算該組輸入時間序列跡線中的一第一輸入時間序列跡線與該組輸出時間序列跡線中的對應的一第一輸出時間序列跡線之間的一均方差;及 在該均方差超過一預定值時,將與該第一輸入時間序列跡線對應的該感測器宣告為具有一異常。
  12. 如請求項11所述的計算系統,更包括以下步驟:指示一製造系統採取改正動作以移除該異常的一來源。
  13. 如請求項11所述的計算系統,其中該神經網路是一深度學習、前饋、完全連接的神經網路。
  14. 如請求項11所述的計算系統,其中最小化重構誤差的步驟最小化饋送到該神經網路的訓練時間序列跡線與該等訓練時間序列跡線的該模型之間的均方差。
  15. 如請求項11所述的計算系統,更包括: 從一矩陣在一定時刻處抽取該等輸入時間序列跡線中的每一者的一輸入時間切片,該矩陣包括來自所有時刻的全部該等感測器的該等輸入時間序列跡線的時間切片;及 將該時間切片作為輸入資料饋送到該神經網路。
  16. 一種非暫時性電腦可讀取媒體,包括指令,該等指令在由一計算設備執行時使得該計算設備執行用於偵測從製造工具的感測器所接收的時間序列跡線中的異常的一方法,該方法包括以下步驟: 將一組訓練時間序列跡線饋送到一神經網路,該神經網路被配置為推導該等訓練時間序列跡線的一模型,該模型最小化該等訓練時間序列跡線的重構誤差; 從與配置為生產一矽基板的一或更多個製造工具相關聯的一或更多個感測器抽取一組輸入時間序列跡線; 將該組輸入時間序列跡線饋送到該訓練後的神經網路,以產生基於該模型重構的一組輸出時間序列跡線; 計算該組輸入時間序列跡線中的一第一輸入時間序列跡線與該組輸出時間序列跡線中的對應的一第一輸出時間序列跡線之間的一均方差;及 在該均方差超過一預定值時,將與該第一輸入時間序列跡線對應的該感測器宣告為具有一異常。
  17. 如請求項16所述的非暫時性電腦可讀取媒體,更包括以下步驟:指示一製造系統採取改正動作以移除該異常的一來源。
  18. 如請求項16所述的非暫時性電腦可讀取媒體,其中該神經網路是一深度學習、前饋、完全連接的神經網路。
  19. 如請求項16所述的非暫時性電腦可讀取媒體,其中最小化重構誤差的步驟最小化饋送到該神經網路的訓練時間序列跡線與該等訓練時間序列跡線的該模型之間的均方差。
  20. 如請求項16所述的非暫時性電腦可讀取媒體,更包括以下步驟: 從一矩陣在一定時刻處抽取該等輸入時間序列跡線中的每一者的一輸入時間切片,該矩陣包括來自所有時刻的全部該等感測器的該等輸入時間序列跡線的時間切片;及 將該時間切片作為輸入資料饋送到該神經網路。
TW108132666A 2018-09-12 2019-09-11 用於半導體及顯示器工序設備工具中的設備健康監測及錯誤偵測的深度自動編碼器 TWI782231B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862730477P 2018-09-12 2018-09-12
US62/730,477 2018-09-12

Publications (2)

Publication Number Publication Date
TW202024827A TW202024827A (zh) 2020-07-01
TWI782231B true TWI782231B (zh) 2022-11-01

Family

ID=69720318

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108132666A TWI782231B (zh) 2018-09-12 2019-09-11 用於半導體及顯示器工序設備工具中的設備健康監測及錯誤偵測的深度自動編碼器

Country Status (3)

Country Link
US (2) US11568198B2 (zh)
TW (1) TWI782231B (zh)
WO (1) WO2020055555A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11133204B2 (en) * 2019-01-29 2021-09-28 Applied Materials, Inc. Chamber matching with neural networks in semiconductor equipment tools
US11442417B2 (en) * 2019-03-29 2022-09-13 Microsoft Technology Licensing, Llc Control system using autoencoder
US11699066B2 (en) * 2019-09-27 2023-07-11 Intel Corporation Methods and apparatus to detect physical changes in an environment
JP2021152762A (ja) * 2020-03-24 2021-09-30 株式会社Screenホールディングス 学習済みモデル生成方法、学習済みモデル、異常要因推定装置、基板処理装置、異常要因推定方法、学習方法、学習装置、及び、学習データ作成方法
CN111556017B (zh) * 2020-03-25 2021-07-27 中国科学院信息工程研究所 一种基于自编码机的网络入侵检测方法及电子装置
EP3893066A1 (de) * 2020-04-09 2021-10-13 Siemens Aktiengesellschaft Verfahren und vorrichtung zum betrieb einer maschine mit einem werkzeug
GB202010471D0 (en) * 2020-07-08 2020-08-19 Univ Exeter Control of processing equipment
CN116113942A (zh) * 2020-07-23 2023-05-12 Pdf决策公司 依据工艺踪迹预测装备故障模式
US20230400847A1 (en) * 2020-11-12 2023-12-14 Lam Research Corporation Predictive maintenance for semiconductor manufacturing equipment
CN112904810B (zh) * 2021-01-13 2022-07-01 中南大学 基于有效特征选择的流程工业非线性过程监测方法
CN112966010A (zh) * 2021-02-09 2021-06-15 深圳大学 一种用户轨迹信息挖掘方法
US11720088B2 (en) * 2021-03-26 2023-08-08 Lynceus Sas Real-time AI-based quality assurance for semiconductor production machines
US11567488B2 (en) 2021-05-27 2023-01-31 Lynceus, Sas Machine learning-based quality control of a culture for bioproduction
US20230113095A1 (en) * 2021-10-13 2023-04-13 Applied Materials, Inc. Verification for improving quality of maintenance of manufacturing equipment
US11961030B2 (en) 2022-01-27 2024-04-16 Applied Materials, Inc. Diagnostic tool to tool matching methods for manufacturing equipment
EP4286970A1 (en) * 2022-05-31 2023-12-06 Siemens Aktiengesellschaft Method and system for detecting sensor anomalies

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06150178A (ja) * 1992-11-02 1994-05-31 Onoda Cement Co Ltd 異常警報システム
US20050171627A1 (en) * 2002-05-29 2005-08-04 Tokyo Electron Limited Method and apparatus for monitoring tool performance
US20050187649A1 (en) * 2002-09-30 2005-08-25 Tokyo Electron Limited Method and apparatus for the monitoring and control of a semiconductor manufacturing process
US20050288812A1 (en) * 2004-06-03 2005-12-29 National Cheng Kung University Quality prognostics system and method for manufacturing processes
TW200629117A (en) * 2005-02-04 2006-08-16 Univ Nat Cheng Kung Quality prognostics system and method for manufacturing processes with generic embedded devices
TW200712812A (en) * 2005-09-01 2007-04-01 Tokyo Electron Ltd Built-in self test for a thermal processing system
US20070100487A1 (en) * 2005-10-31 2007-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for virtual metrology in semiconductor manufacturing
TW200828478A (en) * 2006-12-25 2008-07-01 Ind Tech Res Inst Real-time fault detection and classification system in use with a semiconductor fabrication process
TW200943012A (en) * 2008-04-09 2009-10-16 Inotera Memories Inc Method of fuzzy control for semiconductor machine
TW200951752A (en) * 2008-06-06 2009-12-16 Inotera Memories Inc A method for prognostic maintenance in semiconductor manufacturing
CN102160164A (zh) * 2008-09-19 2011-08-17 应用材料公司 自我诊断的半导体设备
US20160139977A1 (en) * 2013-07-01 2016-05-19 Agent Video Intelligence Ltd. System and method for abnormality detection
TW201711089A (zh) * 2015-06-16 2017-03-16 克萊譚克公司 用於監測半導體工廠自動化系統之參數之系統及方法
US20170285605A1 (en) * 2016-03-29 2017-10-05 Applied Materials, Inc. Smart tool monitoring system
WO2017210455A1 (en) * 2016-06-01 2017-12-07 Kla-Tencor Corporation Systems and methods incorporating a neural network and a forward physical model for semiconductor applications

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6368975B1 (en) 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6763130B1 (en) 1999-07-21 2004-07-13 Applied Materials, Inc. Real time defect source identification
US7356377B2 (en) 2004-01-29 2008-04-08 Applied Materials, Inc. System, method, and medium for monitoring performance of an advanced process control system
US9361586B2 (en) * 2009-12-07 2016-06-07 Yahoo! Inc. Method and system for invariant pattern recognition
US20120015825A1 (en) * 2010-07-06 2012-01-19 Pacific Biosciences Of California, Inc. Analytical systems and methods with software mask
US8824834B2 (en) * 2011-09-23 2014-09-02 Adobe Systems Incorporated Adaptive sampling guided by multilateral filtering
US9508612B2 (en) 2012-03-15 2016-11-29 Applied Materials, Inc. Method to detect wafer arcing in semiconductor manufacturing equipment
US9964444B2 (en) * 2015-05-28 2018-05-08 University Of Rochester Imaging spectrometer design tool for evaluating freeform optics
TWI737659B (zh) 2015-12-22 2021-09-01 以色列商應用材料以色列公司 半導體試樣的基於深度學習之檢查的方法及其系統
US20170181689A1 (en) * 2015-12-28 2017-06-29 Metal Industries Research & Development Centre System and Method for Measuring the Muscle Tone
US20170188938A1 (en) * 2016-01-05 2017-07-06 Huckleberry Labs, Inc. System and method for monitoring sleep of a subject
US9990687B1 (en) * 2017-01-19 2018-06-05 Deep Learning Analytics, LLC Systems and methods for fast and repeatable embedding of high-dimensional data objects using deep learning with power efficient GPU and FPGA-based processing platforms
US11133204B2 (en) * 2019-01-29 2021-09-28 Applied Materials, Inc. Chamber matching with neural networks in semiconductor equipment tools

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06150178A (ja) * 1992-11-02 1994-05-31 Onoda Cement Co Ltd 異常警報システム
US20050171627A1 (en) * 2002-05-29 2005-08-04 Tokyo Electron Limited Method and apparatus for monitoring tool performance
US20050187649A1 (en) * 2002-09-30 2005-08-25 Tokyo Electron Limited Method and apparatus for the monitoring and control of a semiconductor manufacturing process
US20050288812A1 (en) * 2004-06-03 2005-12-29 National Cheng Kung University Quality prognostics system and method for manufacturing processes
TW200629117A (en) * 2005-02-04 2006-08-16 Univ Nat Cheng Kung Quality prognostics system and method for manufacturing processes with generic embedded devices
TW200712812A (en) * 2005-09-01 2007-04-01 Tokyo Electron Ltd Built-in self test for a thermal processing system
US20070100487A1 (en) * 2005-10-31 2007-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for virtual metrology in semiconductor manufacturing
TW200828478A (en) * 2006-12-25 2008-07-01 Ind Tech Res Inst Real-time fault detection and classification system in use with a semiconductor fabrication process
TW200943012A (en) * 2008-04-09 2009-10-16 Inotera Memories Inc Method of fuzzy control for semiconductor machine
TW200951752A (en) * 2008-06-06 2009-12-16 Inotera Memories Inc A method for prognostic maintenance in semiconductor manufacturing
CN102160164A (zh) * 2008-09-19 2011-08-17 应用材料公司 自我诊断的半导体设备
US20160139977A1 (en) * 2013-07-01 2016-05-19 Agent Video Intelligence Ltd. System and method for abnormality detection
TW201711089A (zh) * 2015-06-16 2017-03-16 克萊譚克公司 用於監測半導體工廠自動化系統之參數之系統及方法
US20170285605A1 (en) * 2016-03-29 2017-10-05 Applied Materials, Inc. Smart tool monitoring system
WO2017210455A1 (en) * 2016-06-01 2017-12-07 Kla-Tencor Corporation Systems and methods incorporating a neural network and a forward physical model for semiconductor applications

Also Published As

Publication number Publication date
US11948061B2 (en) 2024-04-02
WO2020055555A1 (en) 2020-03-19
US20200082245A1 (en) 2020-03-12
TW202024827A (zh) 2020-07-01
US20230153574A1 (en) 2023-05-18
US11568198B2 (en) 2023-01-31

Similar Documents

Publication Publication Date Title
TWI782231B (zh) 用於半導體及顯示器工序設備工具中的設備健康監測及錯誤偵測的深度自動編碼器
TWI822939B (zh) 在半導體設備工具中以類神經網路進行腔室匹配
US7359759B2 (en) Method and system for virtual metrology in semiconductor manufacturing
CN112655004A (zh) 用于异常检测和/或预测性维护的计算机实现的方法、计算机程序产品以及系统
US20210056430A1 (en) Intelligent time-series analytic engine
KR102644102B1 (ko) 신경망들을 이용한 집계 통계들로부터의 변칙 검출
Tin et al. A realizable overlay virtual metrology system in semiconductor manufacturing: Proposal, challenges and future perspective
CN113420815A (zh) 半监督rsdae的非线性pls间歇过程监测方法
US20230052392A1 (en) Process abnormality identification using measurement violation analysis
TW202343177A (zh) 用於製造設備的診斷工具與工具之匹配和全跡比較下鑽分析方法
US20240177286A1 (en) Modeling for indexing and semiconductor defect image retrieval
Takiguchi et al. Lithography tool improvement at productivity and performance with data analysis and machine learning
Zhang et al. A novel strategy of correntropy-based iterative neural networks for data reconciliation and gross error estimation in semiconductor industry
TWI837493B (zh) 從使用神經網路的聚集統計的異常偵測
Lee et al. Double bagging trees with weighted sampling for predictive maintenance and management of etching equipment
US20230222394A1 (en) Predictive modeling for chamber condition monitoring
US20230237412A1 (en) Diagnostic tool to tool matching methods for manufacturing equipment
TW202346959A (zh) 用於製造設備的診斷工具與工具之匹配和比較下鑽分析方法
Ge et al. Time-Varying Process Monitoring