TWI780985B - Semiconductor structure and manufacturing method of the same - Google Patents

Semiconductor structure and manufacturing method of the same Download PDF

Info

Publication number
TWI780985B
TWI780985B TW110142538A TW110142538A TWI780985B TW I780985 B TWI780985 B TW I780985B TW 110142538 A TW110142538 A TW 110142538A TW 110142538 A TW110142538 A TW 110142538A TW I780985 B TWI780985 B TW I780985B
Authority
TW
Taiwan
Prior art keywords
substrate
conductive
hole
openings
layer
Prior art date
Application number
TW110142538A
Other languages
Chinese (zh)
Other versions
TW202322326A (en
Inventor
李世平
黃彬傑
游家豪
Original Assignee
力晶積成電子製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 力晶積成電子製造股份有限公司 filed Critical 力晶積成電子製造股份有限公司
Priority to TW110142538A priority Critical patent/TWI780985B/en
Application granted granted Critical
Publication of TWI780985B publication Critical patent/TWI780985B/en
Publication of TW202322326A publication Critical patent/TW202322326A/en

Links

Images

Abstract

A semiconductor structure and manufacturing method thereof are provided. The semiconductor structure includes a first substrate, a stack structure and at least one conductive connector. The stack structure is disposed on the first substrate. The stack structure includes a plurality of insulating layers and a plurality of conductive layers which is alternatively stacked with the plurality of insulating layers. The conductive layers have at least one dummy structure. The at least one conductive connector penetrates the first substrate and the plurality of insulating layers and the plurality of conductive layers in the stack structure. One end of the conductive connector is in contact with the dummy structure. A sidewall of the conductive connector has a concave-convex shape. The concave portions of the sidewall of the conductive connector correspond to the plurality of conductive layers. The convex portions of the sidewall of the conductive connector correspond to the plurality of insulating layers.

Description

半導體結構及其製造方法Semiconductor structure and manufacturing method thereof

本發明是有關於一種半導體技術,且特別是有關於一種半導體結構及其製造方法。The present invention relates to a semiconductor technology, and in particular to a semiconductor structure and a manufacturing method thereof.

一般來説,三維積體電路(3D IC)需透過穿矽通孔(through silicon via,TSV)實現電性連接,穿矽通孔依製造時機可分為先鑽孔(via first)、中途鑽孔(via middle)、後鑽孔(via last)或接合後鑽孔(via after bonding)製程。穿矽通孔的形成方式通常為電漿蝕刻,然而在電漿蝕刻的過程中,被暴露出的導電層容易累積大量電荷於其表面上而產生較大電場,引發天線效應(antenna effect),進而導致三維積體電路產生損害,例如三維積體電路中的閘氧化層被擊穿,使得三維積體電路的可靠度下降,其中穿矽通孔又以後鑽孔(via last)製程或接合後鑽孔(via after bonding)製程受電漿蝕刻所產生的損害較為顯著。In general, three-dimensional integrated circuits (3D ICs) need to be electrically connected through through silicon vias (TSVs). TSVs can be divided into via first and midway drilling according to the manufacturing timing. via middle, via last or via after bonding process. TSVs are usually formed by plasma etching. However, during the plasma etching process, the exposed conductive layer tends to accumulate a large amount of charges on its surface and generate a large electric field, causing the antenna effect. This in turn leads to damage to the three-dimensional integrated circuit. For example, the gate oxide layer in the three-dimensional integrated circuit is broken down, which reduces the reliability of the three-dimensional integrated circuit. The via after bonding process is significantly damaged by plasma etching.

本發明提供一種半導體結構,可具有較佳的可靠度。The invention provides a semiconductor structure with better reliability.

本發明提供一種半導體結構的製造方法,可減少因電漿蝕刻產生的損害,進而提高半導體結構的可靠度。The invention provides a method for manufacturing a semiconductor structure, which can reduce the damage caused by plasma etching, and further improve the reliability of the semiconductor structure.

本發明的半導體結構包括第一基板、堆疊結構以及至少一導電連接件。堆疊結構設置於第一基板上,其包括多個絕緣層以及與多個絕緣層交替堆疊的多個導電層。多個導電層中至少一個具有虛設結構。至少一導電連接件貫穿第一基板與堆疊結構中的多個絕緣層與多個導電層,且導電連接件的一端與虛設結構接觸。導電連接件的側壁具有凹凸形狀,導電連接件的側壁的凹處對應於多數個導電層的側壁,導電連接件的側壁的凸處對應於多數個絕緣層的側壁。The semiconductor structure of the present invention includes a first substrate, a stack structure and at least one conductive connector. The stack structure is disposed on the first substrate, and includes a plurality of insulating layers and a plurality of conductive layers stacked alternately with the insulating layers. At least one of the plurality of conductive layers has a dummy structure. At least one conductive connection part runs through the first substrate and the plurality of insulating layers and the plurality of conductive layers in the stacked structure, and one end of the conductive connection part is in contact with the dummy structure. The sidewall of the conductive connector has a concave-convex shape, the recesses of the sidewall of the conductive connector correspond to the sidewalls of the plurality of conductive layers, and the protrusions of the sidewall of the conductive connector correspond to the sidewalls of the plurality of insulating layers.

在本發明的一實施例中,上述的半導體結構還包括第二基板,設置於堆疊結構相對於第一基板的表面上。In an embodiment of the present invention, the above-mentioned semiconductor structure further includes a second substrate disposed on a surface of the stack structure opposite to the first substrate.

在本發明的一實施例中,上述的虛設結構是浮動的(floating)。In an embodiment of the present invention, the aforementioned dummy structure is floating.

在本發明的一實施例中,上述的第一基板包括第一隔離結構,且導電連接件貫穿第一隔離結構。In an embodiment of the present invention, the above-mentioned first substrate includes a first isolation structure, and the conductive connecting member penetrates through the first isolation structure.

在本發明的一實施例中,上述的多個導電層包括多個開口,多個開口的位置彼此互相對應,且導電連接件設置於多個開口中,其中導電連接件的側壁與多個開口的側壁相接觸。In an embodiment of the present invention, the above-mentioned plurality of conductive layers include a plurality of openings, the positions of the plurality of openings correspond to each other, and the conductive connectors are disposed in the plurality of openings, wherein the sidewalls of the conductive connectors are in contact with the plurality of openings side walls are in contact.

在本發明的一實施例中,上述的多數個開口具有不同寬度,其中越遠離第一基板的多數個開口的寬度越小。In an embodiment of the present invention, the plurality of openings have different widths, and the widths of the plurality of openings that are farther away from the first substrate are smaller.

在本發明的一實施例中,上述的每個所述開口包括圓形開口、矩形開口或是溝槽狀開口。In an embodiment of the present invention, each of the above-mentioned openings includes circular openings, rectangular openings or groove-shaped openings.

在本發明的一實施例中,上述的多個導電層中有二個以上具有虛設結構。In an embodiment of the present invention, more than two of the plurality of conductive layers have dummy structures.

本發明的一種半導體結構的製造方法包括以下步驟。提供第一三維半導體元件,第一三維半導體元件包括第一基板以及第一堆疊結構。第一堆疊結構設置於第一基板上,其中第一堆疊結構包括交替堆疊的多個第一絕緣層以及多個第一導電層,所述多個第一導電層具有多個第一開口。提供第二三維半導體元件,第二三維半導體元件包括第二基板以及第二堆疊結構。第二堆疊結構設置於第二基板上,其中第二堆疊結構包括交替堆疊的多個第二絕緣層以及多個第二導電層,其中多個第二導電層中至少一個具有虛設結構,且多個第二導電層具有多個第二開口。之後,接合第一三維半導體元件與第二三維半導體元件,其中多個第二開口與多個第一開口的位置彼此互相對準。接著,以虛設結構作為中止層,進行電漿蝕刻,以於第一基板、第一堆疊結構與第二堆疊結構中形成貫穿第一基板、多個第一絕緣層、多個第一開口、多個第二絕緣層以及多個第二開口的第一通孔。然後,進行濕式蝕刻,除去第一通孔內的第一基板、多個第一絕緣層與多個第二絕緣層,直到暴露出部分多個第一導電層及部分多個第二導電層,以形成第二通孔。然後,於第二通孔中形成導電連接件,以與多個第一導電層及多個第二導電層電性連接。A method for manufacturing a semiconductor structure of the present invention includes the following steps. A first three-dimensional semiconductor element is provided, and the first three-dimensional semiconductor element includes a first substrate and a first stack structure. The first stack structure is disposed on the first substrate, wherein the first stack structure includes a plurality of first insulating layers and a plurality of first conductive layers stacked alternately, and the plurality of first conductive layers has a plurality of first openings. A second three-dimensional semiconductor element is provided, and the second three-dimensional semiconductor element includes a second substrate and a second stack structure. The second stack structure is disposed on the second substrate, wherein the second stack structure includes a plurality of second insulating layers and a plurality of second conductive layers alternately stacked, wherein at least one of the plurality of second conductive layers has a dummy structure, and more The second conductive layer has a plurality of second openings. Afterwards, bonding the first three-dimensional semiconductor element and the second three-dimensional semiconductor element, wherein the positions of the plurality of second openings and the plurality of first openings are aligned with each other. Then, using the dummy structure as a stop layer, plasma etching is performed to form through the first substrate, a plurality of first insulating layers, a plurality of first openings, a plurality of a second insulating layer and a plurality of first through holes with second openings. Then, wet etching is performed to remove the first substrate, the plurality of first insulating layers and the plurality of second insulating layers in the first through hole until part of the plurality of first conductive layers and part of the plurality of second conductive layers are exposed , to form a second via. Then, a conductive connection member is formed in the second through hole to be electrically connected with the plurality of first conductive layers and the plurality of second conductive layers.

在本發明的再一實施例中,進行上述的濕式蝕刻的步驟包括過度蝕刻多個第一絕緣層與多個第二絕緣層,以使第二通孔的最大寬度大於多個第一開口的最大寬度及多個第二開口的最大寬度。In yet another embodiment of the present invention, the step of performing the above wet etching includes over-etching a plurality of first insulating layers and a plurality of second insulating layers, so that the maximum width of the second via hole is greater than that of the plurality of first openings and the maximum width of the plurality of second openings.

在本發明的再一實施例中,上述的第一通孔的形狀與上述的第二通孔的形狀分別為柱狀或錐狀。In yet another embodiment of the present invention, the shape of the above-mentioned first through hole and the shape of the above-mentioned second through hole are columnar or tapered, respectively.

在本發明的再一實施例中,在第一三維半導體元件中,越遠離第一基板的多數個第一開口的寬度越小,在第二三維半導體元件中,越靠近第二基板的多數個第二開口的寬度越小。在接合第一三維半導體元件與第二三維半導體元件的期間,多數個第一開口的寬度及多數個第二開口的寬度以越遠離第一基板者的寬度越小的方式配置。In yet another embodiment of the present invention, in the first three-dimensional semiconductor element, the widths of the plurality of first openings that are farther away from the first substrate are smaller, and in the second three-dimensional semiconductor element, the widths of the plurality of first openings that are closer to the second substrate The width of the second opening is smaller. During bonding of the first three-dimensional semiconductor element and the second three-dimensional semiconductor element, the widths of the plurality of first openings and the widths of the plurality of second openings are arranged such that the widths of the plurality of openings become smaller as they are farther away from the first substrate.

在本發明的再一實施例中,形成上述第一通孔的期間未露出多個第一導電層以及多個第二導電層。In yet another embodiment of the present invention, the plurality of first conductive layers and the plurality of second conductive layers are not exposed during the formation of the above-mentioned first through holes.

在本發明的再一實施例中,進行上述濕式蝕刻的步驟包括使用第一蝕刻劑除去第一通孔內的第一基板,以及使用第二蝕刻劑除去第一通孔內的多個第一絕緣層與多個第二絕緣層。In yet another embodiment of the present invention, the step of performing the wet etching includes using a first etchant to remove the first substrate in the first through hole, and using a second etchant to remove a plurality of first substrates in the first through hole. An insulating layer and a plurality of second insulating layers.

在本發明的再一實施例中,於上述第二通孔中形成導電連接件之前,還包括於第二通孔中填入有機平坦層,且有機平坦層不覆蓋第一基板的側壁。之後,除去未被有機平坦層覆蓋的部分第一基板,以形成第三通孔,其中第三通孔與第二通孔重疊,第三通孔的寬度大於第二通孔的寬度。然後,於第一基板上及第三通孔的側壁形成鈍化層,並暴露出有機平坦層的表面。然後,移除有機平坦層,以暴露出部分虛設結構、部分多個第一導電層及部分多個第二導電層。In yet another embodiment of the present invention, before forming the conductive connection member in the second through hole, filling the second through hole with an organic planar layer, and the organic planar layer does not cover the sidewall of the first substrate. Afterwards, the part of the first substrate not covered by the organic planar layer is removed to form a third through hole, wherein the third through hole overlaps with the second through hole, and the width of the third through hole is larger than that of the second through hole. Then, a passivation layer is formed on the first substrate and the sidewall of the third through hole, and the surface of the organic planar layer is exposed. Then, the organic planar layer is removed to expose part of the dummy structure, part of the plurality of first conductive layers and part of the plurality of second conductive layers.

在本發明的再一實施例中,形成上述導電連接件於第二通孔中的步驟包括於第二通孔的表面共形地形成阻障層,以及於第二通孔內填入導電材料層。In yet another embodiment of the present invention, the step of forming the above-mentioned conductive connector in the second through hole includes conformally forming a barrier layer on the surface of the second through hole, and filling the second through hole with a conductive material Floor.

本發明的另一種半導體結構的製造方法包括以下步驟。提供三維半導體元件於載板上,三維半導體元件包括基板以及堆疊結構。基板設置於載板上。堆疊結構設置於基板與載板之間,堆疊結構包括交替堆疊的多個絕緣層以及多個導電層,其中多個導電層中至少一個具有虛設結構,且多個導電層具有多個開口。然後,以虛設結構作為中止層,進行電漿蝕刻,以於基板與堆疊結構中形成貫穿基板、多個絕緣層以及多個開口的第一通孔。之後,進行濕式蝕刻,除去第一通孔內的基板與多個絕緣層,直到暴露出部分多個導電層,以形成第二通孔。然後,於第二通孔中形成導電連接件,以與多個導電層電性連接。Another semiconductor structure manufacturing method of the present invention includes the following steps. A three-dimensional semiconductor element is provided on the carrier plate, and the three-dimensional semiconductor element includes a substrate and a stack structure. The substrate is set on the carrier board. The stack structure is disposed between the base plate and the carrier board. The stack structure includes a plurality of insulating layers and a plurality of conductive layers stacked alternately, wherein at least one of the plurality of conductive layers has a dummy structure, and the plurality of conductive layers has a plurality of openings. Then, using the dummy structure as a stop layer, plasma etching is performed to form first through holes penetrating the substrate, multiple insulating layers and multiple openings in the substrate and the stacked structure. Afterwards, wet etching is performed to remove the substrate and the plurality of insulating layers in the first through hole until a part of the plurality of conductive layers is exposed, so as to form the second through hole. Then, a conductive connection member is formed in the second through hole to be electrically connected with the plurality of conductive layers.

在本發明的另一實施例中,形成進行上述濕式蝕刻的步驟包括過度蝕刻多個絕緣層,以使第二通孔的最大寬度大於多個開口的最大寬度。In another embodiment of the present invention, the step of performing the above wet etching includes over-etching the plurality of insulating layers, so that the maximum width of the second through hole is greater than the maximum width of the plurality of openings.

在本發明的另一實施例中,上述的第一通孔的形狀與上述的第二通孔的形狀分別為柱狀或錐狀。In another embodiment of the present invention, the shape of the above-mentioned first through hole and the shape of the above-mentioned second through hole are columnar or tapered, respectively.

在本發明的另一實施例中,在上述的三維半導體元件中,越遠離基板的多數個開口的寬度越小。In another embodiment of the present invention, in the above-mentioned three-dimensional semiconductor element, the widths of the plurality of openings are smaller the farther away from the substrate.

在本發明的另一實施例中,形成上述第一通孔的期間未露出多個導電層。In another embodiment of the present invention, the plurality of conductive layers are not exposed during the formation of the first through hole.

在本發明的另一實施例中,進行上述濕式蝕刻的步驟包括使用第一蝕刻劑除去第一通孔內的所述基板,以及使用第二蝕刻劑除去第一通孔內的多個絕緣層。In another embodiment of the present invention, the step of performing the wet etching includes removing the substrate in the first through hole with a first etchant, and removing a plurality of insulating layers in the first through hole with a second etchant. Floor.

在本發明的另一實施例中,於上述第二通孔中形成導電連接件之前,還可包括於第二通孔中填入有機平坦層,且有機平坦層不覆蓋基板的側壁。之後,除去未被有機平坦層覆蓋的部分所述基板,以形成第三通孔,其中第三通孔與第二通孔重疊,第三通孔的寬度大於第二通孔的寬度。然後,於基板上及第三通孔的側壁形成鈍化層,並暴露出有機平坦層的表面。然後,移除有機平坦層,以暴露出部分虛設結構及部分多個導電層。In another embodiment of the present invention, before forming the conductive connectors in the second through hole, filling the second through hole with an organic planar layer may be further included, and the organic planar layer does not cover the sidewall of the substrate. Afterwards, a portion of the substrate not covered by the organic planar layer is removed to form a third through hole, wherein the third through hole overlaps the second through hole, and the width of the third through hole is greater than that of the second through hole. Then, a passivation layer is formed on the substrate and the sidewall of the third through hole, and the surface of the organic planar layer is exposed. Then, the organic planar layer is removed to expose part of the dummy structure and part of the plurality of conductive layers.

基於上述,本發明的半導體結構透過電漿蝕刻與濕式蝕刻形成與虛設結構接觸的導通連接件。由於通孔在電漿蝕刻的過程中僅有虛設結構被暴露出,導電層是透過濕式蝕刻被暴露出,以使後續形成的導電連接件的側壁具有凹凸形狀,且導電連接件的側壁的凹處對應於導電層的側壁,導電連接件的側壁的凸處對應於絕緣層的側壁。如此一來,可避免天線效應的產生,減少從前由電漿蝕刻形成導通孔的過程中因累積於導電層的強大電場造成半導體結構的損害,進而提高半導體結構的可靠度。Based on the above, the semiconductor structure of the present invention forms the conductive connection member in contact with the dummy structure through plasma etching and wet etching. Since only the dummy structure of the via hole is exposed during the plasma etching process, the conductive layer is exposed through wet etching, so that the sidewall of the subsequently formed conductive connector has a concave-convex shape, and the sidewall of the conductive connector has a concave-convex shape. The recesses correspond to the side walls of the conductive layer, and the protrusions of the side walls of the conductive connectors correspond to the side walls of the insulating layer. In this way, the antenna effect can be avoided, and the damage to the semiconductor structure caused by the strong electric field accumulated in the conductive layer in the process of forming the via hole by plasma etching can be reduced, thereby improving the reliability of the semiconductor structure.

下文列舉實施例並配合所附圖式來進行詳細地說明,但所提供的實施例並非用以限制本發明所涵蓋的範圍。此外,圖式僅以說明為目的,並未依照原尺寸作圖。為了方便理解,下述說明中相同的元件將以相同的符號標示來說明。The following examples are listed and described in detail with the accompanying drawings, but the provided examples are not intended to limit the scope of the present invention. In addition, the drawings are for illustrative purposes only and are not drawn to original scale. In order to facilitate understanding, the same elements in the following description will be described with the same symbols.

此外,關於文中所使用「包含」、「包括」、「具有」等等用語,均為開放性的用語,也就是指「包括但不限於」。In addition, terms such as "comprising", "including", and "having" used in the text are all open terms, which means "including but not limited to".

應當理解,儘管術語「第一」、「第二」、「第三」等在本文中可以用於描述各種元件、部件、區域、層及/或部分,但是這些元件、部件、區域、及/或部分不應受這些術語的限制。這些術語僅用於將一個元件、部件、區域、層或部分與另一個元件、部件、區域、層或部分區分開。因此,下面討論的「第一元件」、「部件」、「區域」、「層」、或「部分」可以被稱為第二元件、部件、區域、層或部分而不脫離本文的教導。It should be understood that although the terms "first", "second", "third", etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, and/or or parts thereof shall not be limited by these terms. These terms are only used to distinguish one element, component, region, layer or section from another element, component, region, layer or section. Thus, a "first element," "component," "region," "layer," or "section" discussed below could be termed a second element, component, region, layer or section without departing from the teachings herein.

另外,文中所提到的方向性用語,例如「上」、「下」等,僅是用以參考圖式的方向,並非用來限制本發明。In addition, the directional terms mentioned in the text, such as "up" and "down", are only used to refer to the directions of the drawings, and are not used to limit the present invention.

圖1A至圖1J是依照本發明第一實施例的一種半導體結構的製造流程的局部剖面示意圖。1A to 1J are schematic partial cross-sectional views of a manufacturing process of a semiconductor structure according to a first embodiment of the present invention.

請參照圖1A,提供第一三維半導體元件110及第二三維半導體元件120。第一三維半導體元件110包括第一基板112以及第一堆疊結構S11。第一基板112可以為矽基板,第一基板112中可以包括主動元件或被動元件(未繪示),但本發明不以此為限。第一堆疊結構S11設置於第一基板112上,其包括交替堆疊的多個第一絕緣層114以及多個第一導電層116。圖1A中雖顯示一整塊的第一絕緣層114,但應知第一絕緣層114是多層的,並與多層的第一導電層116交替堆疊。多個第一絕緣層114的材料例如可為二氧化矽、有機高分子材料或其他合適的絕緣材料。多個第一導電層116的材料可為金屬材料(例如銅、鋁或其他合適金屬)以作為後續內部連線使用或可為多晶矽材料以作為閘極或其他半導體元件使用。多個第一導電層116具有多個第一開口OP1。Referring to FIG. 1A , a first three-dimensional semiconductor device 110 and a second three-dimensional semiconductor device 120 are provided. The first three-dimensional semiconductor device 110 includes a first substrate 112 and a first stack structure S11. The first substrate 112 may be a silicon substrate, and the first substrate 112 may include active devices or passive devices (not shown), but the present invention is not limited thereto. The first stack structure S11 is disposed on the first substrate 112 and includes a plurality of first insulating layers 114 and a plurality of first conductive layers 116 stacked alternately. Although a whole first insulating layer 114 is shown in FIG. 1A , it should be known that the first insulating layer 114 is multi-layered and stacked alternately with multi-layered first conductive layers 116 . The material of the plurality of first insulating layers 114 can be, for example, silicon dioxide, organic polymer material or other suitable insulating materials. The material of the plurality of first conductive layers 116 can be a metal material (such as copper, aluminum or other suitable metal) for use as a subsequent interconnection or a polysilicon material for use as a gate or other semiconductor elements. The plurality of first conductive layers 116 has a plurality of first openings OP1.

在本實施例中,多個第一開口OP1具有相同的寬度,且彼此互相對準,但本發明不以此為限。在其他實施例中,多個第一開口OP1可以具有不同的寬度,舉例來說,越遠離第一基板112的多個第一開口OP1的寬度可以越小。In this embodiment, the plurality of first openings OP1 have the same width and are aligned with each other, but the invention is not limited thereto. In other embodiments, the plurality of first openings OP1 may have different widths, for example, the widths of the plurality of first openings OP1 that are farther away from the first substrate 112 may be smaller.

在一些實施例中,第一堆疊結構S11還包括第一介電層118,設置於多個第一絕緣層114相對於第一基板112的表面上,可有益於後續第一三維半導體元件110及第二三維半導體元件120的接合。In some embodiments, the first stack structure S11 further includes a first dielectric layer 118 disposed on the surface of the plurality of first insulating layers 114 opposite to the first substrate 112, which can benefit the subsequent first three-dimensional semiconductor element 110 and Bonding of the second three-dimensional semiconductor element 120 .

在一些實施例中,第一基板112包括第一隔離結構ST1,以將第一基板112中的主動區隔開。In some embodiments, the first substrate 112 includes a first isolation structure ST1 to isolate active regions in the first substrate 112 .

第二三維半導體元件120包括第二基板122以及第二堆疊結構S12。第二基板122可以為矽基板,第二基板122中可以包括主動元件或被動元件(未繪示),但本發明不以此為限。第二堆疊結構S12設置於第二基板122上,其包括交替堆疊的多個第二絕緣層124以及多個第二導電層126。圖1A中雖顯示一整塊的第二絕緣層124,但應知第二絕緣層124是多層的,並與多層的第二導電層126交替堆疊。多個第二絕緣層124的材料例如可為二氧化矽、有機高分子材料或其他合適的絕緣材料。多個第二導電層126具有第二開口OP2及至少一虛設結構DM1。多個第二導電層126的材料可為金屬材料(例如銅、鋁或其他合適金屬)以作為後續內部連線使用或可為多晶矽材料以作為閘極或其他半導體元件使用。虛設結構DM1可與多個第二導電層126中的一層為相同模層。舉例來說,在本實施例中,虛設結構DM1與多個第二導電層126中的第二層M2為相同模層,但本發明不以此為限,虛設結構DM1可依需求設置於適當的膜層中。The second three-dimensional semiconductor device 120 includes a second substrate 122 and a second stack structure S12. The second substrate 122 may be a silicon substrate, and the second substrate 122 may include active elements or passive elements (not shown), but the present invention is not limited thereto. The second stack structure S12 is disposed on the second substrate 122 and includes a plurality of second insulating layers 124 and a plurality of second conductive layers 126 stacked alternately. Although a whole second insulating layer 124 is shown in FIG. 1A , it should be known that the second insulating layer 124 is multi-layered and stacked alternately with the multi-layer second conductive layer 126 . The material of the plurality of second insulating layers 124 can be, for example, silicon dioxide, organic polymer material or other suitable insulating materials. The plurality of second conductive layers 126 has a second opening OP2 and at least one dummy structure DM1 . The material of the plurality of second conductive layers 126 can be a metal material (such as copper, aluminum or other suitable metal) for use as a subsequent interconnection or polysilicon material for use as a gate or other semiconductor elements. The dummy structure DM1 can be the same mold layer as one of the plurality of second conductive layers 126 . For example, in this embodiment, the dummy structure DM1 and the second layer M2 in the plurality of second conductive layers 126 are the same mold layer, but the present invention is not limited thereto, and the dummy structure DM1 can be arranged in an appropriate in the film layer.

在一些實施例中,虛設結構DM1為浮動的,其不與其他第二導電層126(例如第一層M1、第二層M2、第三層M3)電性連接。In some embodiments, the dummy structure DM1 is floating, and is not electrically connected to other second conductive layers 126 (eg, the first layer M1 , the second layer M2 , the third layer M3 ).

在本實施例中,雖僅繪示一個第二開口OP2,但並非用以限定本發明,第二開口OP2的數量可以為多個,其可以類似於多個第一開口OP1的設置方式設置於多個第二導電層126中。多個第二開口OP2可以具有相同的寬度,且彼此互相對準,但本發明不以此為限。在其他實施例中,多個第二開口OP2可以具有不同的寬度,舉例來說,越靠近第二基板122的多個第二開口OP2的寬度可以越小。In this embodiment, although only one second opening OP2 is shown, it is not intended to limit the present invention. The number of second openings OP2 can be multiple, which can be arranged in a manner similar to the arrangement of multiple first openings OP1. in the plurality of second conductive layers 126 . The plurality of second openings OP2 may have the same width and be aligned with each other, but the invention is not limited thereto. In other embodiments, the plurality of second openings OP2 may have different widths, for example, the width of the plurality of second openings OP2 closer to the second substrate 122 may be smaller.

在一些實施例中,第二堆疊結構S12還包括第二介電層128,設置於多個第二絕緣層124相對於第二基板122的表面上,可有益於後續第一三維半導體元件110及第二三維半導體元件120的接合。In some embodiments, the second stack structure S12 further includes a second dielectric layer 128 disposed on the surface of the plurality of second insulating layers 124 opposite to the second substrate 122, which can benefit the subsequent first three-dimensional semiconductor device 110 and Bonding of the second three-dimensional semiconductor element 120 .

在一些實施例中,第二基板122包括第二隔離結構ST2,以將第二基板122中的主動區隔開。In some embodiments, the second substrate 122 includes a second isolation structure ST2 to isolate the active region in the second substrate 122 .

應理解,多個第一絕緣層114、多個第一導電層116、多個第二絕緣層124及多個第二導電層126的層數與配置可依實際需求調整,本發明不以此為限。第一開口OP1與第二開口OP2的數量也可依實際需求調整,本發明不以此為限。It should be understood that the number and arrangement of the plurality of first insulating layers 114, the plurality of first conductive layers 116, the plurality of second insulating layers 124 and the plurality of second conductive layers 126 can be adjusted according to actual needs, and the present invention does not limit. The numbers of the first opening OP1 and the second opening OP2 can also be adjusted according to actual needs, and the present invention is not limited thereto.

請參照圖1B,接合第一三維半導體元件110與第二三維半導體元件120,其中第二開口OP2與多個第一開口OP1的位置彼此互相對準。舉例來說,將第二開口OP2與多個第一開口OP1的位置彼此互相對準,並透過第一介電層118與第二介電層128將第一三維半導體元件110與第二三維半導體元件120接合。Referring to FIG. 1B , the first three-dimensional semiconductor device 110 and the second three-dimensional semiconductor device 120 are bonded, wherein the positions of the second opening OP2 and the plurality of first openings OP1 are aligned with each other. For example, the positions of the second opening OP2 and the plurality of first openings OP1 are aligned with each other, and the first three-dimensional semiconductor element 110 and the second three-dimensional semiconductor element 110 are connected to each other through the first dielectric layer 118 and the second dielectric layer 128. Element 120 engages.

在一實施例中,在接合第一三維半導體元件110與第二三維半導體元件的期間120,多個第一開口OP1的寬度及第二開口OP2的寬度以越遠離第一基板112者的寬度越小的方式配置。In one embodiment, during the period 120 of bonding the first three-dimensional semiconductor element 110 and the second three-dimensional semiconductor element, the widths of the plurality of first openings OP1 and the width of the second openings OP2 are the ones that are farther away from the first substrate 112. Small way to configure.

請繼續參照圖1B,薄化第一基板112。舉例來說,利用研磨或化學機械平坦化或濕蝕刻,對第一基板112進行減薄,但本發明不以此為限。在其他實施例中,第一基板112也可不進行薄化製程。Please continue to refer to FIG. 1B , the first substrate 112 is thinned. For example, the first substrate 112 is thinned by grinding or chemical mechanical planarization or wet etching, but the invention is not limited thereto. In other embodiments, the first substrate 112 may not be subjected to a thinning process.

請參照圖1C,以虛設結構DM1作為中止層,進行電漿蝕刻,以於第一基板112、第一堆疊結構S11與第二堆疊結構S12中形成貫穿第一基板112、多個第一絕緣層114、多個第一開口OP1、多個第二絕緣層124以及第二開口OP2的第一通孔V1。舉例來說,先於第一基板112上形成罩幕層130,罩幕層130具有罩幕開口130a。之後,以罩幕層130作為罩幕,並以虛設結構DM1作為中止層,進行電漿蝕刻,以形成第一通孔V1。第一通孔V1貫穿第一基板112、多個第一絕緣層114、多個第一開口OP1、第一介電層118(如有)、第二介電層128(如有)、多個第二絕緣層124以及第二開口OP2,並暴露出虛設結構DM1,但未露出多個第一導電層116以及多個第二導電層126。也就是說,第一通孔V1的寬度W3小於多個第一開口OP1的寬度W1及第二開口OP2的寬度W2。由於電漿蝕刻過程中,僅暴露出虛設結構DM1,並未暴露出其他第一導電層116或第二導電層126,因此可減少天線效應的發生,降低第一三維半導體元件110與第二三維半導體元件120受損的風險,進而提高其可靠度。Referring to FIG. 1C , the dummy structure DM1 is used as a stop layer to perform plasma etching to form a plurality of first insulating layers penetrating the first substrate 112 and the first stack structure S11 and the second stack structure S12. 114 , a plurality of first openings OP1 , a plurality of second insulating layers 124 , and a first via V1 of the second opening OP2 . For example, the mask layer 130 is formed on the first substrate 112 first, and the mask layer 130 has a mask opening 130a. Afterwards, plasma etching is performed with the mask layer 130 as a mask and the dummy structure DM1 as a stop layer to form a first via hole V1. The first via hole V1 runs through the first substrate 112, the plurality of first insulating layers 114, the plurality of first openings OP1, the first dielectric layer 118 (if any), the second dielectric layer 128 (if any), a plurality of The second insulating layer 124 and the second opening OP2 expose the dummy structure DM1 , but do not expose the plurality of first conductive layers 116 and the plurality of second conductive layers 126 . That is to say, the width W3 of the first through hole V1 is smaller than the width W1 of the first openings OP1 and the width W2 of the second openings OP2 . During the plasma etching process, only the dummy structure DM1 is exposed, and no other first conductive layer 116 or second conductive layer 126 is exposed, so the occurrence of the antenna effect can be reduced, and the connection between the first three-dimensional semiconductor element 110 and the second three-dimensional semiconductor element 110 can be reduced. The risk of damage to the semiconductor device 120 is reduced, thereby improving its reliability.

在一些實施例中,第一通孔V1的形狀可以為柱狀或錐狀,本發明不以此為限。在一些實施例中,第一通孔V1還可貫穿第一隔離結構ST1。In some embodiments, the shape of the first through hole V1 may be columnar or tapered, and the present invention is not limited thereto. In some embodiments, the first via hole V1 may also penetrate through the first isolation structure ST1.

請參照圖1D,進行濕式蝕刻,除去第一通孔V1內的第一基板112、多個第一絕緣層114與多個第二絕緣層124,直到暴露出部分多個第一導電層116及部分多個第二導電層126,以形成第二通孔V2。濕式蝕刻法例如是濕式浸潤(wet dip)蝕刻,可以透過多次濕式浸潤除去第一通孔V1內的第一基板112、多個第一絕緣層114、多個第二絕緣層124、第一介電層118(如有)及第二介電層128(如有),直到暴露出部分多個第一導電層116及部分多個第二導電層126。舉例來說,可進行兩次濕式浸潤,先使用第一蝕刻劑除去第一通孔V1內的部分第一基板112,再使用第二蝕刻劑除去第一通孔V1內的部分多個第一絕緣層114、部分多個第二絕緣層124、部分第一介電層118(如有)及部分第二介電層128(如有)。第一蝕刻劑可以包括氫氧化四甲銨 (tetramethylammonium hydroxide,TMAH)、氨水(NH 4OH)或其他用於濕式蝕刻矽的蝕刻劑。第二蝕刻劑可以包括氫氟酸(hydrofluoric acid ,HF)或其他用於濕式蝕刻氧化矽的蝕刻劑。 Referring to FIG. 1D , wet etching is performed to remove the first substrate 112 , the plurality of first insulating layers 114 and the plurality of second insulating layers 124 in the first through hole V1 until part of the plurality of first conductive layers 116 are exposed. and some of the plurality of second conductive layers 126 to form the second via hole V2. The wet etching method is, for example, wet dip etching, which can remove the first substrate 112, the plurality of first insulating layers 114, and the plurality of second insulating layers 124 in the first through hole V1 through multiple wet dips. , the first dielectric layer 118 (if any) and the second dielectric layer 128 (if any), until part of the plurality of first conductive layers 116 and part of the plurality of second conductive layers 126 are exposed. For example, wet wetting can be performed twice, first using the first etchant to remove part of the first substrate 112 in the first through hole V1, and then using the second etchant to remove part of the plurality of first substrates 112 in the first through hole V1. An insulating layer 114, a portion of a plurality of second insulating layers 124, a portion of the first dielectric layer 118 (if present), and a portion of the second dielectric layer 128 (if present). The first etchant may include tetramethylammonium hydroxide (TMAH), ammonia (NH 4 OH) or other etchant used for wet etching silicon. The second etchant may include hydrofluoric acid (HF) or other etchant used for wet etching silicon oxide.

在一些實施例中,透過濕式蝕刻,過度蝕刻多個第一絕緣層114、多個第二絕緣層124、第一介電層118(如有)及第二介電層128(如有),以使第二通孔V2的最大寬度W4大於多個第一開口OP1的寬度W1(繪示於圖1C)及第二開口OP2的寬度W2(繪示於圖1C)。在其他實施例中,若多個第一開口OP1及多個第二開口OP2分別具有不同寬度,第二通孔V2的最大寬度W4可以大於多個第一開口OP1的最大寬度W1及多個第二開口OP2的最大寬度W2。In some embodiments, the plurality of first insulating layers 114, the plurality of second insulating layers 124, the first dielectric layer 118 (if present), and the second dielectric layer 128 (if present) are over-etched by wet etching. In order to make the maximum width W4 of the second through hole V2 larger than the width W1 of the plurality of first openings OP1 (shown in FIG. 1C ) and the width W2 of the second opening OP2 (shown in FIG. 1C ). In other embodiments, if the plurality of first openings OP1 and the plurality of second openings OP2 have different widths, the maximum width W4 of the second through hole V2 may be larger than the maximum width W1 of the plurality of first openings OP1 and the plurality of second openings OP1. The maximum width W2 of the second opening OP2.

在一些實施例中,第二通孔V2的形狀可以為柱狀或錐狀,本發明不以此為限。In some embodiments, the shape of the second through hole V2 may be columnar or tapered, and the present invention is not limited thereto.

請參照圖1E,移除罩幕層130,於第二通孔V2中填入有機平坦層140,有機平坦層140不覆蓋第一基板112的側壁。有機平坦層140例如可為有機樹脂、光阻或其他合適材料,本發明不以此為限。有機平坦層140不覆蓋第二通孔V2中暴露出的第一基板112,也就是說,有機平坦層140的頂面可大致與第一隔離結構ST1的頂面切齊或略低於第一隔離結構ST1的頂面。在其他實施例中,若第一基板112不包括第一隔離結構ST1,有機平坦層140的頂面可大致與多個第一絕緣層114的頂面切齊或略低於多個第一絕緣層114的頂面。Referring to FIG. 1E , the mask layer 130 is removed, and the organic planar layer 140 is filled in the second via hole V2 , and the organic planar layer 140 does not cover the sidewall of the first substrate 112 . The organic planar layer 140 can be, for example, organic resin, photoresist or other suitable materials, and the invention is not limited thereto. The organic planarization layer 140 does not cover the first substrate 112 exposed in the second via hole V2, that is, the top surface of the organic planarization layer 140 may be substantially aligned with the top surface of the first isolation structure ST1 or slightly lower than the top surface of the first isolation structure ST1. The top surface of structure ST1 is isolated. In other embodiments, if the first substrate 112 does not include the first isolation structure ST1, the top surface of the organic planarization layer 140 may be substantially aligned with the top surfaces of the plurality of first insulating layers 114 or slightly lower than the top surfaces of the plurality of first insulating layers 114 . The top surface of layer 114.

請參照圖1F,除去未被有機平坦層140覆蓋的部分第一基板112,以形成第三通孔V3。舉例來說,可利用等向性蝕刻(isotropic etching)或非等向性蝕刻(anisotropic etching),除去部分第一基板112,以形成第三通孔V3。第三通孔V3與第二通孔V2重疊,且第三通孔V3的寬度W5大於第二通孔V2的寬度W4(繪示於圖1D)。Referring to FIG. 1F , a portion of the first substrate 112 not covered by the organic planar layer 140 is removed to form a third via hole V3 . For example, isotropic etching or anisotropic etching can be used to remove part of the first substrate 112 to form the third via hole V3. The third through hole V3 overlaps with the second through hole V2, and the width W5 of the third through hole V3 is greater than the width W4 of the second through hole V2 (shown in FIG. 1D ).

請參照圖1G及圖1H,於第一基板112上及第三通孔V3的側壁形成鈍化層150,並暴露出有機平坦層140的表面。舉例來說,如圖1G所示,可以利用沉積法,於第一基板112上及第三通孔V3中先共形地形成鈍化材料層150a。在一實施例中,鈍化材料層150a可透過沉積製程參數的調整,使在第一基板112上的鈍化材料層150a的厚度大於在第三通孔V3中的鈍化材料層150a的厚度。之後,如圖1H所示,除去部分鈍化材料層150a,以暴露出有機平坦層140的表面,進而形成鈍化層150,以保護第一基板112,並使第一基板112與後續形成於第三通孔V3中或者形成在第一基板112上的導電材料電性隔離。除去部分鈍化材料層150a的方法例如可以透過回蝕刻的方式將在第三通孔V3中的鈍化材料層150a去除,但本發明不以此為限。在其他實施例中,部分鈍化材料層150a也可以利用微影蝕刻法去除,例如在鈍化材料層150a上形成暴露出第三通孔V3內的部分鈍化材料層150a的光阻層(未繪示),並以光阻層為罩幕蝕刻暴露出的鈍化材料層150a,直到露出有機平坦層140的表面而形成鈍化層150,之後再將光阻層移除。Referring to FIG. 1G and FIG. 1H , a passivation layer 150 is formed on the first substrate 112 and on the sidewall of the third via hole V3 , and exposes the surface of the organic planar layer 140 . For example, as shown in FIG. 1G , the passivation material layer 150a may be conformally formed on the first substrate 112 and in the third via hole V3 by using a deposition method. In one embodiment, the thickness of the passivation material layer 150a on the first substrate 112 is greater than the thickness of the passivation material layer 150a in the third via hole V3 through adjustment of deposition process parameters. Afterwards, as shown in FIG. 1H, part of the passivation material layer 150a is removed to expose the surface of the organic planar layer 140, and then the passivation layer 150 is formed to protect the first substrate 112, and make the first substrate 112 and the subsequent formation of the third substrate 112 The conductive material in the via hole V3 or formed on the first substrate 112 is electrically isolated. The method of removing part of the passivation material layer 150a may, for example, remove the passivation material layer 150a in the third via hole V3 by etching back, but the invention is not limited thereto. In other embodiments, part of the passivation material layer 150a can also be removed by lithographic etching, for example, a photoresist layer (not shown) is formed on the passivation material layer 150a to expose part of the passivation material layer 150a in the third via hole V3. ), and use the photoresist layer as a mask to etch the exposed passivation material layer 150a until the surface of the organic planarization layer 140 is exposed to form the passivation layer 150, and then remove the photoresist layer.

請參照圖1I,移除第二通孔V2中的有機平坦層140,以暴露出部分虛設結構DM1、部分多個第一導電層116及部分多個第二導電層126。有機平坦層140可由灰化製程、蝕刻製程或其他適合的製程進行移除,本發明不以此為限。Referring to FIG. 1I , the organic planar layer 140 in the second via hole V2 is removed to expose part of the dummy structure DM1 , part of the plurality of first conductive layers 116 and part of the plurality of second conductive layers 126 . The organic planar layer 140 can be removed by ashing process, etching process or other suitable process, the present invention is not limited thereto.

請參照圖1J,於第二通孔V2(繪示於圖1I)中形成導電連接件160a,以與多個第一導電層116及多個第二導電層126電性連接。舉例來說,可於第二通孔V2的表面共形地形成阻障層162,並於阻障層162上形成導電材料層164,以形成與多個第一導電層116及多個第二導電層126電性連接的導電連接件160a。如此一來,第一三維半導體元件110可透過導電連接件160a與第二三維半導體元件120電性連接。阻障層162的材料例如可為鉭、氮化鉭、鈦、氮化鈦或其他合適材料,導電材料層164的材料例如可為銅、鎢或其他合適導電材料,本發明不以此為限。阻障層162與導電材料層164的形成方法可以為物理氣相沉積(PVD)、化學氣相沉積(CVD)或原子層沉積法(ALD),其中優選地,化學氣相沉積(CVD)或原子層沉積法(ALD)可使阻障層162較完整地覆蓋具有凹凸側壁的第二通孔V2表面,但本發明不以此為限。Referring to FIG. 1J , conductive connectors 160 a are formed in the second via holes V2 (shown in FIG. 1I ) to be electrically connected to the plurality of first conductive layers 116 and the plurality of second conductive layers 126 . For example, the barrier layer 162 can be conformally formed on the surface of the second via hole V2, and the conductive material layer 164 can be formed on the barrier layer 162, so as to form a plurality of first conductive layers 116 and a plurality of second conductive layers. The conductive connector 160 a electrically connects the conductive layer 126 . In this way, the first three-dimensional semiconductor device 110 can be electrically connected to the second three-dimensional semiconductor device 120 through the conductive connecting member 160a. The material of the barrier layer 162 can be, for example, tantalum, tantalum nitride, titanium, titanium nitride or other suitable materials, and the material of the conductive material layer 164 can be, for example, copper, tungsten or other suitable conductive materials, and the present invention is not limited thereto. . The barrier layer 162 and the conductive material layer 164 can be formed by physical vapor deposition (PVD), chemical vapor deposition (CVD) or atomic layer deposition (ALD), wherein preferably, chemical vapor deposition (CVD) or Atomic layer deposition (ALD) can make the barrier layer 162 completely cover the surface of the second via hole V2 with uneven sidewalls, but the invention is not limited thereto.

在一些實施例中,阻障層162及導電材料層164還可延伸至鈍化層150的表面上,以作為內連線結構。在另外一些實施例中,亦可以配合化學機械平坦化移除鈍化層150上方的阻障層162及導電材料層164,之後再接續相關的內連線結構的製作。內連線結構可由既有製程製得,在此不再贅述。In some embodiments, the barrier layer 162 and the conductive material layer 164 can also extend to the surface of the passivation layer 150 to serve as an interconnection structure. In some other embodiments, the barrier layer 162 and the conductive material layer 164 above the passivation layer 150 may also be removed in conjunction with chemical mechanical planarization, and then the fabrication of related interconnection structures may be continued. The interconnection structure can be manufactured by existing manufacturing processes, and will not be repeated here.

在本實施例中,如圖1D所示的第二通孔V2是透過電漿蝕刻與濕式蝕刻形成的,電漿蝕刻的過程中僅有虛設結構DM1被暴露出,之後再透過濕式蝕刻將多個第一導電層116及多個第二導電層126暴露出,以與後續形成的導電連接件160a電性連接,因此第一導電層116及第二導電層126並不會遭受長時間的電漿轟擊,故可避免天線效應的產生,減少從前由電漿蝕刻形成導通孔的過程中,因累積於導電層的強大電場造成半導體元件的損害,進而提高半導體元件的可靠度。In this embodiment, the second via hole V2 shown in FIG. 1D is formed through plasma etching and wet etching. During the plasma etching process, only the dummy structure DM1 is exposed, and then through wet etching. A plurality of first conductive layers 116 and a plurality of second conductive layers 126 are exposed to be electrically connected to the subsequently formed conductive connectors 160a, so that the first conductive layers 116 and the second conductive layers 126 will not be subjected to long-term The plasma bombardment can avoid the antenna effect, reduce the damage of the semiconductor element caused by the strong electric field accumulated in the conductive layer in the process of forming the via hole by plasma etching, and improve the reliability of the semiconductor element.

經過上述製程後即可大致上完成本實施例半導體結構100A的製作。After the above process, the fabrication of the semiconductor structure 100A of this embodiment can be substantially completed.

因此,圖1J的半導體結構100A基本上包括第一基板112、堆疊結構S1以及導電連接件160a。堆疊結構S1設置於第一基板112上,其包括多個絕緣層DL以及與多個絕緣層DL交替堆疊的多個導電層ML。多個絕緣層DL包括多個第一絕緣層114、第一介電層118、第二介電層128及多個第二絕緣層124。多個導電層ML包括多個第一導電層116及多個第二導電層126,其中多個第二導電層126中具有一虛設結構DM1,也就是說,多個導電層ML中至少一個具有虛設結構DM1。導電連接件160a貫穿第一基板112與堆疊結構S1中的多個絕緣層DL與多個導電層ML,且導電連接件160a的一端與虛設結構DM1接觸。導電連接件160a的側壁具有凹凸形狀,導電連接件160a的側壁的凹處SW1可對應於多數個導電層ML的側壁,導電連接件160a的側壁的凸處SW2可對應於多數個絕緣層DL的側壁。Therefore, the semiconductor structure 100A of FIG. 1J basically includes the first substrate 112 , the stack structure S1 and the conductive connector 160 a. The stack structure S1 is disposed on the first substrate 112 and includes a plurality of insulating layers DL and a plurality of conductive layers ML alternately stacked with the plurality of insulating layers DL. The plurality of insulating layers DL includes a plurality of first insulating layers 114 , a first dielectric layer 118 , a second dielectric layer 128 and a plurality of second insulating layers 124 . The multiple conductive layers ML include multiple first conductive layers 116 and multiple second conductive layers 126, wherein the multiple second conductive layers 126 have a dummy structure DM1, that is, at least one of the multiple conductive layers ML has Dummy structure DM1. The conductive connection part 160a penetrates through the first substrate 112 and the plurality of insulating layers DL and the plurality of conductive layers ML in the stack structure S1, and one end of the conductive connection part 160a is in contact with the dummy structure DM1. The sidewall of the conductive connector 160a has a concave-convex shape, the recesses SW1 of the sidewall of the conductive connector 160a may correspond to the sidewalls of the plurality of conductive layers ML, and the protrusions SW2 of the sidewall of the conductive connector 160a may correspond to the plurality of insulating layers DL. side wall.

在本實施例中,導電連接件160a的形狀為柱狀,但本發明不以此為限。在其他實施例中,導電連接件160a的形狀可以為錐狀。In this embodiment, the shape of the conductive connecting member 160a is columnar, but the present invention is not limited thereto. In other embodiments, the shape of the conductive connecting member 160a may be tapered.

在一實施例中,多個導電層ML包括多個開口OP,例如包括第一開口OP1及第二開口OP2。多個開口OP的位置彼此互相對應,且導電連接件160a設置於多個開口OP中,其中導電連接件160a的側壁與多個開口OP的側壁相接觸。In one embodiment, the conductive layers ML include a plurality of openings OP, for example, a first opening OP1 and a second opening OP2 . The positions of the plurality of openings OP correspond to each other, and the conductive connectors 160a are disposed in the plurality of openings OP, wherein the sidewalls of the conductive connectors 160a are in contact with the sidewalls of the plurality of openings OP.

圖2A是圖1J中導電層ML的一種局部上視示意圖。圖2B是圖1J中導電層ML的另一種局部上視示意圖。圖2C是圖1J中導電層ML的又一種局部上視示意圖。為了清楚示意,圖2A至圖2C僅繪示導電層及其開口,以表示導電層與其開口的關係。FIG. 2A is a schematic partial top view of the conductive layer ML in FIG. 1J . FIG. 2B is another partial top view of the conductive layer ML in FIG. 1J . FIG. 2C is another partial top view of the conductive layer ML in FIG. 1J . For clarity, FIG. 2A to FIG. 2C only show the conductive layer and its openings, so as to show the relationship between the conductive layer and its openings.

請參照圖1J及圖2A至圖2C,每個開口OP可在連續的導電層ML中形成或是由不連續的導電層ML形成。舉例來說,如圖2A及2B所示,開口OP可以包括圓形開口或矩形開口,形成於連續的導電層ML中。由於連續的導電層ML與導電連接件160a的接觸面積較大,可以使電阻下降。在其他實施例中,如圖2C所示,開口OP可由不連續的導電層ML形成,例如開口OP是由導電層ML的第一部分P1與第二部分P2之間的間隔所構成的溝槽狀開口,其中第一部分P1與第二部分P2不相接觸。Referring to FIG. 1J and FIGS. 2A to 2C , each opening OP can be formed in a continuous conductive layer ML or formed by a discontinuous conductive layer ML. For example, as shown in FIGS. 2A and 2B , the opening OP may include a circular opening or a rectangular opening formed in the continuous conductive layer ML. Since the contact area between the continuous conductive layer ML and the conductive connecting member 160a is larger, the resistance can be reduced. In other embodiments, as shown in FIG. 2C , the opening OP can be formed by a discontinuous conductive layer ML, for example, the opening OP is a groove-like groove formed by the interval between the first part P1 and the second part P2 of the conductive layer ML. Opening, wherein the first part P1 is not in contact with the second part P2.

在一實施例中,半導體結構100A還包括第二基板122,設置於堆疊結構S1相對於第一基板112的表面上。也就是說,堆疊結構S1位於第一基板112與第二基板122之間。In one embodiment, the semiconductor structure 100A further includes a second substrate 122 disposed on the surface of the stack structure S1 opposite to the first substrate 112 . That is to say, the stack structure S1 is located between the first substrate 112 and the second substrate 122 .

在一實施例中,第一基板112與第二基板122可分別包括第一隔離結構ST1與第二隔離結構ST2。導電連接件160a可貫穿第一隔離結構ST1。In one embodiment, the first substrate 112 and the second substrate 122 may respectively include a first isolation structure ST1 and a second isolation structure ST2. The conductive connection member 160a may penetrate through the first isolation structure ST1.

在一實施例中,虛設結構DM1是浮動的。In one embodiment, the dummy structure DM1 is floating.

由於半導體結構100A具有與虛設結構DM1接觸的導電連接件160a,且導電連接件160a的側壁具有凹凸形狀,導電連接件160a的側壁的凹處SW1可對應於多數個導電層ML的側壁,導電連接件160a的側壁的凸處SW2可對應於多數個絕緣層DL的側壁,可具有較佳的可靠度。Since the semiconductor structure 100A has a conductive connection piece 160a in contact with the dummy structure DM1, and the sidewall of the conductive connection piece 160a has a concave-convex shape, the recess SW1 of the sidewall of the conductive connection piece 160a can correspond to the sidewalls of a plurality of conductive layers ML, and the conductive connection The protrusion SW2 of the sidewall of the component 160a may correspond to the sidewalls of a plurality of insulating layers DL, which may have better reliability.

圖3是依照本發明第二實施例的一種半導體結構的局部剖面示意圖。圖3的剖面示意圖大致相似於圖1J的剖面示意圖,因此第二實施例中與第一實施例記載的相同構件可參照前述的相關內容,故第一與第二實施例中相同的配置,在此不再贅述。3 is a schematic partial cross-sectional view of a semiconductor structure according to a second embodiment of the present invention. The schematic cross-sectional view of FIG. 3 is roughly similar to the schematic cross-sectional view of FIG. 1J , so the same components recorded in the second embodiment and the first embodiment can refer to the above-mentioned related content, so the same configurations in the first and second embodiments, in This will not be repeated here.

在圖3中,本實施例之半導體結構100A’不同於第一實施例之處在於,半導體結構100A’的導電連接件160a’為錐狀,且多個導電層ML的多個開口OP具有不同寬度,其中越遠離第一基板112的多個開口OP的寬度越小。由於半導體結構100A’ 具有與虛設結構DM1接觸的導電連接件160a’,且導電連接件160a’的側壁具有凹凸形狀,導電連接件160a’的側壁的凹處SW1’可對應於多數個導電層ML的側壁,導電連接件160a’的側壁的凸處SW2’可對應於多數個絕緣層DL的側壁,可具有較佳的可靠度。In FIG. 3, the semiconductor structure 100A' of this embodiment is different from the first embodiment in that the conductive connector 160a' of the semiconductor structure 100A' is tapered, and the multiple openings OP of the multiple conductive layers ML have different The width of the plurality of openings OP is smaller the farther away from the first substrate 112 . Since the semiconductor structure 100A' has a conductive connector 160a' in contact with the dummy structure DM1, and the sidewall of the conductive connector 160a' has a concave-convex shape, the recess SW1' of the sidewall of the conductive connector 160a' may correspond to a plurality of conductive layers ML The sidewalls of the conductive connector 160a' may correspond to the sidewalls of a plurality of insulating layers DL, which may have better reliability.

圖4是依照本發明第三實施例的一種半導體結構的局部剖面示意圖。圖4的剖面示意圖大致相似於圖1J的剖面示意圖,因此第三實施例中與第一實施例記載的相同構件可參照前述的相關內容,故第一與第三實施例中相同的配置,在此不再贅述。FIG. 4 is a schematic partial cross-sectional view of a semiconductor structure according to a third embodiment of the present invention. The schematic cross-sectional view of FIG. 4 is roughly similar to the schematic cross-sectional view of FIG. 1J , so the same components recorded in the third embodiment and the first embodiment can refer to the above-mentioned related content, so the same configurations in the first and third embodiments, in This will not be repeated here.

在圖4中,本實施例之半導體結構100B不同於第一實施例之處在於,半導體結構100B包括兩個導電連接件160a、160b,多個第二導電層126中具有兩個虛設結構DM1、DM2,分別對應於導電連接件160a、160b。也就是說,導電連接件160a、160b分別貫穿第一基板112與堆疊結構S1中的多個絕緣層DL與多個導電層ML,且導電連接件160a的一端與虛設結構DM1接觸,導電連接件160b的一端與虛設結構DM2接觸。虛設結構DM1、DM2可以位於相同膜層或不同膜層,本發明不以此為限。導電連接件160a、160b可以在相同的製程過程中一同完成,可使製程簡化。圖5是依照本發明第四實施例的一種半導體結構的局部剖面示意圖。圖5的剖面示意圖大致相似於圖4的剖面示意圖,因此第四實施例中與第三實施例記載的相同構件可參照前述的相關內容,故第三與第四實施例中相同的配置,在此不再贅述。In FIG. 4, the semiconductor structure 100B of this embodiment is different from the first embodiment in that the semiconductor structure 100B includes two conductive connectors 160a, 160b, and there are two dummy structures DM1, DM1, DM2 corresponds to the conductive connectors 160a, 160b, respectively. That is to say, the conductive connectors 160a, 160b penetrate through the first substrate 112 and the plurality of insulating layers DL and the plurality of conductive layers ML in the stack structure S1 respectively, and one end of the conductive connector 160a is in contact with the dummy structure DM1, and the conductive connectors One end of 160b is in contact with the dummy structure DM2. The dummy structures DM1 and DM2 may be located in the same film layer or different film layers, and the present invention is not limited thereto. The conductive connectors 160a and 160b can be completed together in the same manufacturing process, which can simplify the manufacturing process. FIG. 5 is a schematic partial cross-sectional view of a semiconductor structure according to a fourth embodiment of the present invention. The schematic cross-sectional view of Fig. 5 is roughly similar to the schematic cross-sectional view of Fig. 4, so the same components recorded in the fourth embodiment and the third embodiment can refer to the aforementioned related content, so the same configurations in the third and fourth embodiments, in This will not be repeated here.

在圖5中,本實施例之半導體結構100C不同於第三實施例之處在於,半導體結構100C包括三個導電連接件160a、160b、160c,多個第二導電層126中具有三個虛設結構DM1、DM2、DM3,分別對應於導電連接件160a、160b、160c。也就是說,導電連接件160a、160b、160c分別貫穿第一基板112與堆疊結構S1中的多個絕緣層DL與多個導電層ML,且導電連接件160a的一端與虛設結構DM1接觸,導電連接件160b的一端與虛設結構DM2接觸,導電連接件160c的一端與虛設結構DM3接觸。虛設結構DM1、DM2、DM3可以位於相同膜層或不同膜層,本發明不以此為限。導電連接件160a、160b、160c可以在相同的製程過程中一同完成,可使製程簡化。In FIG. 5, the semiconductor structure 100C of this embodiment is different from the third embodiment in that the semiconductor structure 100C includes three conductive connectors 160a, 160b, 160c, and there are three dummy structures in the plurality of second conductive layers 126 DM1, DM2, DM3 correspond to the conductive connectors 160a, 160b, 160c, respectively. That is to say, the conductive connectors 160a, 160b, and 160c penetrate through the first substrate 112 and the plurality of insulating layers DL and the plurality of conductive layers ML in the stack structure S1 respectively, and one end of the conductive connector 160a is in contact with the dummy structure DM1 to conduct electricity. One end of the connection part 160b is in contact with the dummy structure DM2, and one end of the conductive connection part 160c is in contact with the dummy structure DM3. The dummy structures DM1 , DM2 , and DM3 may be located in the same film layer or different film layers, and the present invention is not limited thereto. The conductive connectors 160a, 160b, 160c can be completed together in the same manufacturing process, which can simplify the manufacturing process.

在一實施例中,虛設結構DM3可以與多個第二導電層126中的閘極層位於相同膜層,也就是說,虛設結構DM3可被視為虛設閘極,但本發明不以此為限。In one embodiment, the dummy structure DM3 can be located in the same film layer as the gate layers in the plurality of second conductive layers 126, that is, the dummy structure DM3 can be regarded as a dummy gate, but the present invention does not take this as a limit.

圖6A至圖6H是依照本發明第五實施例的一種半導體結構的部分製造流程的局部剖面示意圖。6A to 6H are partial cross-sectional schematic diagrams of a partial manufacturing process of a semiconductor structure according to a fifth embodiment of the present invention.

請參照圖6A,提供三維半導體元件210於載板201上。載板201可為矽、玻璃、聚合物或其他適宜的材料所製成,本發明不以此為限,只要所述材料能夠承載在其之上所形成的三維半導體元件210且能夠承受後續的製程即可。三維半導體元件210包括基板212以及堆疊結構S2。基板212可以為矽基板,設置於載板201上。基板212中可以包括主動元件或被動元件,本發明不以此為限。堆疊結構S2設置於基板212與載板201之間,其包括交替堆疊的多個絕緣層214以及多個導電層216。圖6A中雖顯示一整塊的絕緣層214,但應知絕緣層214是多層的,並與多層的導電層216交替堆疊。多個絕緣層214的材料例如可為二氧化矽、有機高分子材料或其他合適的絕緣材料。多個導電層216具有多個開口OP’及至少一虛設結構DM1’。多個導電層216的材料可為金屬材料(例如銅、鋁或其他合適金屬)以作為後續內部連線使用或可為多晶矽材料以作為閘極或其他半導體元件使用。虛設結構DM1’可與多個導電層216中的一層為相同模層。舉例來說,在本實施例中,虛設結構DM1’與多個導電層216中的第一層M1’為相同模層,但本發明不以此為限,虛設結構DM1’可依需求設置於適當的膜層中。虛設結構DM1’為浮動的,其不與其他導電層216(例如第一層M1’、第二層M2’、第三層M3’)電性連接。Referring to FIG. 6A , a three-dimensional semiconductor device 210 is provided on a carrier 201 . The carrier 201 can be made of silicon, glass, polymer or other suitable materials, and the present invention is not limited thereto, as long as the material can support the three-dimensional semiconductor element 210 formed on it and can withstand subsequent The process can be. The three-dimensional semiconductor device 210 includes a substrate 212 and a stack structure S2. The substrate 212 may be a silicon substrate, and is disposed on the carrier 201 . The substrate 212 may include active components or passive components, and the present invention is not limited thereto. The stack structure S2 is disposed between the substrate 212 and the carrier 201 , and includes a plurality of insulating layers 214 and a plurality of conductive layers 216 stacked alternately. Although a whole insulating layer 214 is shown in FIG. 6A , it should be understood that the insulating layer 214 is multi-layered and stacked alternately with the multi-layer conductive layer 216 . The material of the plurality of insulating layers 214 can be, for example, silicon dioxide, organic polymer material or other suitable insulating materials. The plurality of conductive layers 216 has a plurality of openings OP' and at least one dummy structure DM1'. The material of the plurality of conductive layers 216 can be a metal material (such as copper, aluminum or other suitable metal) for use as a subsequent interconnection or a polysilicon material for use as a gate or other semiconductor elements. The dummy structure DM1' can be the same mold layer as one of the conductive layers 216. For example, in this embodiment, the dummy structure DM1' is the same mold layer as the first layer M1' of the plurality of conductive layers 216, but the present invention is not limited thereto, and the dummy structure DM1' can be arranged in in the appropriate film layer. The dummy structure DM1' is floating, and it is not electrically connected to other conductive layers 216 (such as the first layer M1', the second layer M2', and the third layer M3').

在本實施例中,多個開口OP’具有相同的寬度,且彼此互相對準,但本發明不以此為限。在其他實施例中,多個開口OP’可以具有不同的寬度,舉例來說,越遠離基板212的多個開口OP’的寬度可以越小。In this embodiment, the openings OP' have the same width and are aligned with each other, but the invention is not limited thereto. In other embodiments, the openings OP' may have different widths. For example, the openings OP' farther away from the substrate 212 may have smaller widths.

在一些實施例中,堆疊結構S2還包括介電層218,設置於多個絕緣層214相對於基板212的表面上。三維半導體元件210可透過介電層218與載板201連接。In some embodiments, the stack structure S2 further includes a dielectric layer 218 disposed on a surface of the plurality of insulating layers 214 opposite to the substrate 212 . The three-dimensional semiconductor device 210 can be connected to the carrier 201 through the dielectric layer 218 .

在一些實施例中,基板212包括隔離結構ST1’,以將基板212中的主動區隔開。In some embodiments, the substrate 212 includes an isolation structure ST1' to isolate the active regions in the substrate 212.

請繼續參照圖6A,以虛設結構DM1’作為中止層,進行電漿蝕刻,以於基板212與堆疊結構S2中形成貫穿基板212、多個絕緣層214以及多個開口OP’的第一通孔V1’。舉例來說,先於基板212上形成罩幕層230,罩幕層230具有罩幕開口230a。之後,以罩幕層230作為罩幕,並以虛設結構DM1’作為中止層,進行電漿蝕刻,以形成第一通孔V1’。第一通孔V1’貫穿基板212、多個絕緣層214以及多個開口OP’,並暴露出虛設結構DM1’,但未露出多個導電層216。也就是說,第一通孔V1’的寬度W2’小於多個開口OP’的寬度W1’。由於電漿蝕刻過程中,僅暴露出虛設結構DM1’,並未暴露出其他導電層216,因此可減少天線效應的發生,降低三維半導體元件210受損的風險,進而提高其可靠度。Please continue to refer to FIG. 6A, using the dummy structure DM1' as a stop layer, plasma etching is performed to form first through holes penetrating the substrate 212, the plurality of insulating layers 214 and the plurality of openings OP' in the substrate 212 and the stacked structure S2. V1'. For example, the mask layer 230 is formed on the substrate 212 first, and the mask layer 230 has a mask opening 230a. Afterwards, plasma etching is performed by using the mask layer 230 as a mask and using the dummy structure DM1' as a stop layer to form the first via hole V1'. The first via hole V1' penetrates through the substrate 212, the plurality of insulating layers 214 and the plurality of openings OP', and exposes the dummy structure DM1', but does not expose the plurality of conductive layers 216. That is, the width W2' of the first through hole V1' is smaller than the width W1' of the plurality of openings OP'. During the plasma etching process, only the dummy structure DM1' is exposed, and other conductive layers 216 are not exposed, so the occurrence of antenna effect can be reduced, the risk of damage to the three-dimensional semiconductor element 210 can be reduced, and the reliability thereof can be improved.

而且,在本實施例中,基板212中有隔離結構ST1’,所以第一通孔V1’也可貫穿隔離結構ST1’。也就是說,基板212中如果沒有隔離結構ST1’或者要形成第一通孔V1’的部位沒有設置隔離結構ST1’,第一通孔V1’自然不會貫穿隔離結構。在一些實施例中,第一通孔V1’的形狀可以為柱狀或錐狀,本發明不以此為限。Moreover, in this embodiment, there is an isolation structure ST1' in the substrate 212, so the first via hole V1' can also penetrate through the isolation structure ST1'. That is to say, if there is no isolation structure ST1' in the substrate 212 or no isolation structure ST1' is provided at the position where the first via V1' is to be formed, the first via V1' will naturally not penetrate the isolation structure. In some embodiments, the shape of the first through hole V1' may be columnar or tapered, and the present invention is not limited thereto.

請參照圖6B,進行濕式蝕刻,除去第一通孔V1’內的基板212與多個絕緣層214,直到暴露出部分多個導電層216,以形成第二通孔V2’。濕式蝕刻法例如是濕式浸潤(wet dip)蝕刻,可以透過多次濕式浸潤除去第一通孔V1’內的基板212與多個絕緣層214,直到暴露出部分多個導電層216。舉例來說,可進行兩次濕式浸潤,先使用第一蝕刻劑除去第一通孔V1’內的基板212,再使用第二蝕刻劑除去第一通孔V1’內的多個絕緣層214。第一蝕刻劑可以包括氫氧化四甲銨 (tetramethylammonium hydroxide,TMAH)、氨水(NH 4OH)或其他用於濕式蝕刻矽的蝕刻劑。第二蝕刻劑可以包括氫氟酸(hydrofluoric acid ,HF)或其他用於濕式蝕刻氧化矽的蝕刻劑。 Referring to FIG. 6B , wet etching is performed to remove the substrate 212 and the plurality of insulating layers 214 in the first via hole V1 ′ until a portion of the plurality of conductive layers 216 is exposed, so as to form the second via hole V2 ′. The wet etching method is, for example, wet dip etching. The substrate 212 and the plurality of insulating layers 214 in the first via hole V1 ′ can be removed through multiple wet dips until a portion of the plurality of conductive layers 216 is exposed. For example, wet wetting can be performed twice, first using a first etchant to remove the substrate 212 in the first via V1', and then using a second etchant to remove the plurality of insulating layers 214 in the first via V1' . The first etchant may include tetramethylammonium hydroxide (TMAH), ammonia (NH 4 OH) or other etchant used for wet etching silicon. The second etchant may include hydrofluoric acid (HF) or other etchant used for wet etching silicon oxide.

在一些實施例中,透過濕式蝕刻,過度蝕刻多個絕緣層214,以使第二通孔V2’的最大寬度W3’大於多個開口OP’的寬度W1’(繪示於圖6A)。在其他實施例中,若多個開口OP’具有不同寬度,第二通孔V2’的最大寬度W3’可以大於多個開口OP’的最大寬度W1’。In some embodiments, the plurality of insulating layers 214 are over-etched through wet etching, so that the maximum width W3' of the second via hole V2' is greater than the width W1' of the plurality of openings OP' (shown in FIG. 6A ). In other embodiments, if the plurality of openings OP' have different widths, the maximum width W3' of the second through hole V2' may be greater than the maximum width W1' of the plurality of openings OP'.

在一些實施例中,第二通孔V2’的形狀可以為柱狀或錐狀,本發明不以此為限。In some embodiments, the shape of the second through hole V2' may be columnar or tapered, and the present invention is not limited thereto.

請參照圖6C,移除罩幕層230,於第二通孔V2’中填入有機平坦層240,有機平坦層240不覆蓋基板212的側壁。有機平坦層240例如可為有機樹脂、光阻或其他合適材料,本發明不以此為限。有機平坦層240不覆蓋第二通孔V2’中暴露出的基板212,也就是說,有機平坦層240的頂面可大致與隔離結構ST1’的頂面切齊或略低於隔離結構ST1’的頂面。在其他實施例中,若基板212不包括隔離結構ST1’,有機平坦層240的頂面可大致與多個絕緣層214的頂面切齊或略低於多個絕緣層214的頂面。Referring to FIG. 6C , the mask layer 230 is removed, and an organic planar layer 240 is filled in the second via hole V2'. The organic planar layer 240 does not cover the sidewall of the substrate 212. Referring to FIG. The organic planar layer 240 can be, for example, organic resin, photoresist or other suitable materials, and the invention is not limited thereto. The organic planarization layer 240 does not cover the substrate 212 exposed in the second via hole V2', that is, the top surface of the organic planarization layer 240 may be substantially aligned with the top surface of the isolation structure ST1' or slightly lower than the isolation structure ST1'. top surface. In other embodiments, if the substrate 212 does not include the isolation structure ST1', the top surface of the organic planarization layer 240 may be substantially aligned with the top surfaces of the plurality of insulating layers 214 or slightly lower than the top surfaces of the plurality of insulating layers 214.

請參照圖6D,除去未被有機平坦層240覆蓋的部分基板212,以形成第三通孔V3’。舉例來說,可利用等向性蝕刻(isotropic etching)或非等向性蝕刻(anisotropic etching),除去部分基板212,以形成第三通孔V3’。第三通孔V3’與第二通孔V2’重疊,且第三通孔V3’的寬度W4’大於第二通孔V2’的寬度W3’ (繪示於圖5B)。Referring to FIG. 6D, a part of the substrate 212 not covered by the organic planar layer 240 is removed to form a third via hole V3'. For example, isotropic etching or anisotropic etching can be used to remove part of the substrate 212 to form the third via hole V3'. The third through hole V3' overlaps the second through hole V2', and the width W4' of the third through hole V3' is greater than the width W3' of the second through hole V2' (shown in FIG. 5B ).

請參照圖6E及圖6F,於基板212上及第三通孔V3’的側壁形成鈍化層250,並暴露出有機平坦層240的表面。舉例來說,如圖6E所示,可以利用沉積法,於基板212上及第三通孔V3’中先共形地形成鈍化材料層250a。在一實施例中,鈍化材料層250a可透過沉積製程參數的調整,使在基板212上的鈍化材料層250a的厚度大於在第三通孔V3’中的鈍化材料層250a的厚度。之後,如圖6F所示,除去部分鈍化材料層250a,以暴露出有機平坦層240的表面,進而形成鈍化層250,以保護基板212並與後續形成於第三通孔V3’中或基板212上的導電材料隔離。除去部分鈍化材料層250a的方法例如可以透過回蝕刻的方式將在第三通孔V3’中的鈍化材料層250a去除,但本發明不以此為限。在其他實施例中,部分鈍化材料層250a也可以利用微影蝕刻法去除,例如在鈍化材料層250a上形成暴露出第三通孔V3’內的部分鈍化材料層250a的光阻層(未繪示),並以光阻層為罩幕蝕刻暴露出的鈍化材料層250a,直到露出有機平坦層240的表面而形成鈍化層250,之後再將光阻層移除。Referring to FIG. 6E and FIG. 6F , a passivation layer 250 is formed on the substrate 212 and the sidewall of the third via hole V3', and the surface of the organic planar layer 240 is exposed. For example, as shown in FIG. 6E , the passivation material layer 250a can be conformally formed on the substrate 212 and in the third via hole V3' by using a deposition method. In one embodiment, the thickness of the passivation material layer 250a on the substrate 212 is greater than the thickness of the passivation material layer 250a in the third via hole V3' through adjustment of deposition process parameters. Afterwards, as shown in FIG. 6F, part of the passivation material layer 250a is removed to expose the surface of the organic planar layer 240, thereby forming a passivation layer 250 to protect the substrate 212 and subsequently formed in the third via hole V3' or the substrate 212. isolated from conductive material. The method of removing part of the passivation material layer 250a may, for example, remove the passivation material layer 250a in the third via hole V3' by etching back, but the present invention is not limited thereto. In other embodiments, part of the passivation material layer 250a can also be removed by photolithographic etching, for example, a photoresist layer (not shown) is formed on the passivation material layer 250a to expose part of the passivation material layer 250a in the third via hole V3'. ), and use the photoresist layer as a mask to etch the exposed passivation material layer 250a until the surface of the organic planarization layer 240 is exposed to form the passivation layer 250, and then remove the photoresist layer.

請參照圖6G,移除第二通孔V2’中的有機平坦層240,以暴露出部分虛設結構DM1’ 及部分多個導電層216。有機平坦層240可由灰化製程、蝕刻製程或其他適合的製程進行移除,本發明不以此為限。Referring to FIG. 6G, the organic planar layer 240 in the second via hole V2' is removed to expose part of the dummy structure DM1' and part of the plurality of conductive layers 216. Referring to FIG. The organic planar layer 240 can be removed by ashing process, etching process or other suitable process, the invention is not limited thereto.

請參照圖6H,於第二通孔V2’(繪示於圖6G)中形成導電連接件260a,以與多個導電層216電性連接。舉例來說,可於第二通孔V2’的表面共形地形成阻障層262,並於阻障層262上形成導電材料層264,以形成與多個導電層216電性連接的導電連接件260a。阻障層262的材料例如可為鉭、氮化鉭、鈦、氮化鈦或其他合適材料,導電材料層264的材料例如可為銅、鎢或其他合適導電材料,本發明不以此為限。阻障層262與導電材料層264的形成方法可以為物理氣相沉積(PVD)、化學氣相沉積(CVD)或原子層沉積法(ALD),其中優選地,化學氣相沉積(CVD)或原子層沉積法(ALD)可使阻障層262較完整地覆蓋具有凹凸側壁的第二通孔V2’表面,但本發明不以此為限。Referring to FIG. 6H , a conductive connector 260a is formed in the second via hole V2' (shown in FIG. 6G ) to be electrically connected to the plurality of conductive layers 216 . For example, the barrier layer 262 can be conformally formed on the surface of the second via hole V2 ′, and the conductive material layer 264 can be formed on the barrier layer 262 to form a conductive connection electrically connected to the plurality of conductive layers 216 Item 260a. The material of the barrier layer 262 can be, for example, tantalum, tantalum nitride, titanium, titanium nitride or other suitable materials, and the material of the conductive material layer 264 can be, for example, copper, tungsten or other suitable conductive materials, and the present invention is not limited thereto. . The barrier layer 262 and the conductive material layer 264 can be formed by physical vapor deposition (PVD), chemical vapor deposition (CVD) or atomic layer deposition (ALD), wherein preferably, chemical vapor deposition (CVD) or Atomic layer deposition (ALD) can make the barrier layer 262 completely cover the surface of the second via hole V2 ′ having uneven sidewalls, but the invention is not limited thereto.

在一些實施例中,阻障層262及導電材料層264還可延伸至鈍化層250的表面上,以作為內連線結構。在另外一些實施例中,亦可以配合化學機械平坦化移除鈍化層150上方的阻障層162及導電材料層164,之後再接續相關的內連線結構的製作。內連線結構可由既有製程製得,在此不再贅述。In some embodiments, the barrier layer 262 and the conductive material layer 264 can also extend to the surface of the passivation layer 250 to serve as an interconnect structure. In some other embodiments, the barrier layer 162 and the conductive material layer 164 above the passivation layer 150 may also be removed in conjunction with chemical mechanical planarization, and then the fabrication of related interconnection structures may be continued. The interconnection structure can be manufactured by existing manufacturing processes, and will not be repeated here.

在本實施例中,第二通孔V2’是透過電漿蝕刻與濕式蝕刻形成的,電漿蝕刻的過程中僅有虛設結構DM1’被暴露出,之後再透過濕式蝕刻將多個導電層216暴露出,以與後續形成的導電連接件260a電性連接,因此可降低天線效應的產生,減少從前由電漿蝕刻形成導通孔的過程中因累積於導電層的強大電場造成半導體結構的損害,進而提高半導體結構的可靠度。In this embodiment, the second via hole V2' is formed through plasma etching and wet etching. During the plasma etching process, only the dummy structure DM1' is exposed. The layer 216 is exposed to be electrically connected to the subsequently formed conductive connector 260a, thereby reducing the generation of the antenna effect and reducing the damage of the semiconductor structure caused by the strong electric field accumulated in the conductive layer in the process of forming the via hole by plasma etching. damage, thereby improving the reliability of the semiconductor structure.

經過上述製程後即可大致上完成本實施例半導體結構200的製作。After the above process, the fabrication of the semiconductor structure 200 of this embodiment can be substantially completed.

因此,圖6H的半導體結構200基本上包括基板212(也可稱為第一基板212)、堆疊結構S2以及導電連接件260a。堆疊結構S2設置於基板212上,其包括多個絕緣層DL’以及與多個絕緣層DL’交替堆疊的多個導電層ML’。多個絕緣層DL’包括多個絕緣層214及介電層218。多個導電層ML’包括多個導電層216,其中多個導電層216中具有一虛設結構DM1’,也就是說,多個導電層ML’中至少一個具有虛設結構DM1’。導電連接件260a貫穿基板212與堆疊結構S2中的多個絕緣層DL’與多個導電層ML’,且導電連接件260a的一端與虛設結構DM1’接觸。導電連接件260a的側壁具有凹凸形狀,導電連接件260a的側壁的凹處SW1”可對應於多數個導電層ML’的側壁,導電連接件260a的側壁的凸處SW2”可對應於多數個絕緣層DL’的側壁。Therefore, the semiconductor structure 200 in FIG. 6H basically includes a substrate 212 (also referred to as a first substrate 212 ), a stack structure S2 and a conductive connector 260a. The stack structure S2 is disposed on the substrate 212, and includes a plurality of insulating layers DL' and a plurality of conductive layers ML' alternately stacked with the plurality of insulating layers DL'. The plurality of insulating layers DL' includes a plurality of insulating layers 214 and a dielectric layer 218 . The multiple conductive layers ML' include multiple conductive layers 216, wherein the multiple conductive layers 216 have a dummy structure DM1' therein, that is, at least one of the multiple conductive layers ML' has the dummy structure DM1'. The conductive connection 260a penetrates through the substrate 212 and the plurality of insulating layers DL' and the plurality of conductive layers ML' in the stack structure S2, and one end of the conductive connection 260a is in contact with the dummy structure DM1'. The sidewall of the conductive connector 260a has a concavo-convex shape, the recesses SW1" of the sidewall of the conductive connector 260a may correspond to the sidewalls of a plurality of conductive layers ML', and the protrusions SW2" of the sidewall of the conductive connector 260a may correspond to a plurality of insulating sidewall of layer DL'.

在本實施例中,導電連接件260a的形狀為柱狀,但本發明不以此為限。在其他實施例中,導電連接件260a的形狀可以為錐狀。在一實施例中,多個導電層ML’包括多個開口OP’,多個開口OP’的位置彼此互相對應,且導電連接件260a設置於多個開口OP’中,其中導電連接件260a的側壁與多個開口OP’的側壁相接觸。開口OP’可以包括圓形開口、矩形開口或是溝槽狀開口。開口OP’類似於圖2A至圖2C所繪示的開口OP,可參考圖2A至圖2C及其相關段落加以理解,於此不再贅述。In this embodiment, the shape of the conductive connecting member 260a is columnar, but the present invention is not limited thereto. In other embodiments, the shape of the conductive connecting member 260a may be tapered. In one embodiment, the plurality of conductive layers ML' includes a plurality of openings OP', the positions of the plurality of openings OP' correspond to each other, and the conductive connectors 260a are disposed in the plurality of openings OP', wherein the conductive connectors 260a The sidewalls are in contact with sidewalls of the plurality of openings OP'. The opening OP' may include a circular opening, a rectangular opening, or a groove-like opening. The opening OP' is similar to the opening OP shown in FIG. 2A to FIG. 2C , which can be understood with reference to FIG. 2A to FIG. 2C and related paragraphs, and will not be repeated here.

在一實施例中,多數個開口OP’可以具有不同寬度,其中越遠離基板212的多數個開口OP’的寬度越小。In one embodiment, the plurality of openings OP' may have different widths, and the widths of the plurality of openings OP' that are farther away from the substrate 212 are smaller.

在一實施例中,半導體結構200還包括載板201,設置於堆疊結構S2相對於基板212的表面上。也就是說,堆疊結構S2位於基板212與載板201之間。In one embodiment, the semiconductor structure 200 further includes a carrier 201 disposed on a surface of the stack structure S2 opposite to the substrate 212 . That is to say, the stack structure S2 is located between the substrate 212 and the carrier 201 .

在一實施例中,基板212可包括隔離結構ST1’,導電連接件260a可貫穿隔離結構ST1’。In one embodiment, the substrate 212 may include an isolation structure ST1', and the conductive connection member 260a may penetrate through the isolation structure ST1'.

在一實施例中,虛設結構DM1’是浮動的。In one embodiment, the dummy structure DM1' is floating.

由於半導體結構200具有與虛設結構DM1’接觸的導電連接件260a,且導電連接件260a與每個導電層ML’接觸的部分側壁SW1”較導電連接件260a與每個絕緣層DL’接觸的部分側壁SW2”內縮,可具有較佳的可靠度。Since the semiconductor structure 200 has a conductive connector 260a in contact with the dummy structure DM1', and the portion of the sidewall SW1" of the conductive connector 260a in contact with each conductive layer ML' is smaller than the portion of the conductive connector 260a in contact with each insulating layer DL' The side wall SW2" is retracted, which can have better reliability.

綜上所述,本發明的半導體結構透過電漿蝕刻與濕式蝕刻形成與虛設結構接觸的導通連接件。由於通孔在電漿蝕刻的過程中僅有虛設結構被暴露出,導電層是透過濕式蝕刻被暴露出,以使後續形成的導電連接件與每個導電層接觸的部分側壁較導電連接件與每個絕緣層接觸的部分側壁內縮。如此一來,可降低天線效應的產生,減少從前由電漿蝕刻形成導通孔的過程中因累積於導電層的強大電場造成半導體結構的損害,進而提高半導體結構的可靠度。To sum up, the semiconductor structure of the present invention forms the conductive connection member contacting the dummy structure through plasma etching and wet etching. Since only the dummy structure of the via hole is exposed during the plasma etching process, the conductive layer is exposed through wet etching, so that the portion of the sidewall of the subsequently formed conductive connection that contacts each conductive layer is thinner than the conductive connection. Portions of the sidewalls in contact with each insulating layer are set back. In this way, the generation of antenna effect can be reduced, and the damage to the semiconductor structure caused by the strong electric field accumulated in the conductive layer in the process of forming the via hole by plasma etching can be reduced, thereby improving the reliability of the semiconductor structure.

100A、100A’、100B、100C、200:半導體結構 110:第一三維半導體元件 112:第一基板 114:第一絕緣層 116:第一導電層 118:第一介電層 120:第二三維半導體元件 122:第二基板 124:第二絕緣層 126:第二導電層 128:第二介電層 130、230:罩幕層 130a、230a:罩幕開口 140、240:有機平坦層 150、250:鈍化層 150a、250a:鈍化材料層 160a、160a’、160b、160c、260a:導電連接件 162、262:阻障層 164、264:導電材料層 201:載板 210:三維半導體元件 212:基板(第一基板) 214:絕緣層 216:導電層 218:介電層 DL、DL’:絕緣層 DM1、DM1’、DM2、DM3:虛設結構 ML、ML’:導電層 M1、M1’:第一層 M2、M2’:第二層 M3、M3’:第三層 OP、OP’:開口 OP1:第一開口 OP2:第二開口 P1:第一部分 P2:第二部分 S1、S2:堆疊結構 S11:第一堆疊結構 S12:第二堆疊結構 ST1’:隔離結構 ST1:第一隔離結構 ST2:第二隔離結構 SW1、SW2、SW1’、SW2’、SW1”、SW2”:側壁 V1、V1’:第一通孔 V2、V2’:第二通孔 V3、V3’:第三通孔 W1、W2、W3、W4、W5、W1’、W2’、W3’、W4’:寬度100A, 100A', 100B, 100C, 200: Semiconductor structure 110: The first three-dimensional semiconductor element 112: The first substrate 114: the first insulating layer 116: the first conductive layer 118: the first dielectric layer 120: The second three-dimensional semiconductor element 122: Second substrate 124: Second insulating layer 126: the second conductive layer 128: second dielectric layer 130, 230: mask layer 130a, 230a: curtain opening 140, 240: organic flat layer 150, 250: passivation layer 150a, 250a: passivation material layer 160a, 160a', 160b, 160c, 260a: conductive connectors 162, 262: barrier layer 164, 264: conductive material layer 201: carrier board 210: Three-dimensional semiconductor components 212: Substrate (first substrate) 214: insulating layer 216: conductive layer 218: Dielectric layer DL, DL': insulating layer DM1, DM1', DM2, DM3: dummy structures ML, ML': conductive layer M1, M1': the first layer M2, M2': the second floor M3, M3': the third floor OP, OP': opening OP1: first opening OP2: second opening P1: part one P2: Part Two S1, S2: stacked structure S11: The first stack structure S12: Second stack structure ST1': isolation structure ST1: The first isolation structure ST2: Second isolation structure SW1, SW2, SW1’, SW2’, SW1”, SW2”: side walls V1, V1': the first through hole V2, V2': the second through hole V3, V3': the third through hole W1, W2, W3, W4, W5, W1’, W2’, W3’, W4’: Width

圖1A至圖1J是依照本發明第一實施例的一種半導體結構的製造流程的局部剖面示意圖。 圖2A是圖1J的導電層的一種局部上視示意圖。 圖2B是圖1J的導電層的另一種局部上視示意圖。 圖2C是圖1J的導電層的又一種局部上視示意圖。 圖3是依照本發明第二實施例的一種半導體結構的局部剖面示意圖。 圖4是依照本發明第三實施例的一種半導體結構的局部剖面示意圖。 圖5是依照本發明第四實施例的一種半導體結構的局部剖面示意圖。 圖6A至圖6H是依照本發明第五實施例的一種半導體結構的部分製造流程的局部剖面示意圖。 1A to 1J are schematic partial cross-sectional views of a manufacturing process of a semiconductor structure according to a first embodiment of the present invention. FIG. 2A is a schematic partial top view of the conductive layer in FIG. 1J . FIG. 2B is another partial top view of the conductive layer in FIG. 1J . FIG. 2C is another partial top view of the conductive layer in FIG. 1J . 3 is a schematic partial cross-sectional view of a semiconductor structure according to a second embodiment of the present invention. FIG. 4 is a schematic partial cross-sectional view of a semiconductor structure according to a third embodiment of the present invention. FIG. 5 is a schematic partial cross-sectional view of a semiconductor structure according to a fourth embodiment of the present invention. 6A to 6H are partial cross-sectional schematic diagrams of a partial manufacturing process of a semiconductor structure according to a fifth embodiment of the present invention.

100A:半導體結構 100A: Semiconductor Structure

112:第一基板 112: The first substrate

114:第一絕緣層 114: the first insulating layer

116:第一導電層 116: the first conductive layer

118:第一介電層 118: the first dielectric layer

122:第二基板 122: Second substrate

124:第二絕緣層 124: Second insulating layer

126:第二導電層 126: the second conductive layer

128:第二介電層 128: second dielectric layer

150:鈍化層 150: passivation layer

160a:導電連接件 160a: Conductive connector

162:阻障層 162: barrier layer

164:導電材料層 164: conductive material layer

DL:絕緣層 DL: insulating layer

DM1:虛設結構 DM1: Dummy structure

ML:導電層 ML: conductive layer

OP:開口 OP: opening

OP1:第一開口 OP1: first opening

OP2:第二開口 OP2: second opening

S1:堆疊結構 S1: stack structure

ST1:第一隔離結構 ST1: The first isolation structure

ST2:第二隔離結構 ST2: Second isolation structure

SW1、SW2:側壁 SW1, SW2: side wall

Claims (23)

一種半導體結構,包括: 第一基板; 堆疊結構,設置於所述第一基板上,其中所述堆疊結構包括: 多數個絕緣層;以及 多數個導電層,與所述多數個絕緣層交替堆疊,其中所述多數個導電層中至少一個具有虛設結構;以及 至少一導電連接件,貫穿所述第一基板與所述堆疊結構中的所述多數個絕緣層與所述多數個導電層,且所述導電連接件的一端與所述虛設結構接觸,其中所述導電連接件的側壁具有凹凸形狀,所述導電連接件的所述側壁的凹處對應於所述多數個導電層的側壁,所述導電連接件的所述側壁的凸處對應於所述多數個絕緣層的側壁。 A semiconductor structure comprising: first substrate; A stacked structure disposed on the first substrate, wherein the stacked structure includes: multiple layers of insulation; and a plurality of conductive layers alternately stacked with the plurality of insulating layers, wherein at least one of the plurality of conductive layers has a dummy structure; and at least one conductive connection piece, passing through the first substrate and the plurality of insulating layers and the plurality of conductive layers in the stacked structure, and one end of the conductive connection piece is in contact with the dummy structure, wherein the The side wall of the conductive connector has a concave-convex shape, the recesses of the side wall of the conductive connector correspond to the side walls of the plurality of conductive layers, and the protrusions of the side wall of the conductive connector correspond to the plurality of layers. side walls of an insulating layer. 如請求項1所述的半導體結構,更包括第二基板,設置於所述堆疊結構相對於所述第一基板的表面上。The semiconductor structure according to claim 1, further comprising a second substrate disposed on a surface of the stack structure opposite to the first substrate. 如請求項1所述的半導體結構,其中所述虛設結構是浮動的。The semiconductor structure of claim 1, wherein the dummy structure is floating. 如請求項1所述的半導體結構,其中所述第一基板包括第一隔離結構,且所述導電連接件貫穿所述第一隔離結構。The semiconductor structure as claimed in claim 1, wherein the first substrate includes a first isolation structure, and the conductive connection member penetrates through the first isolation structure. 如請求項1所述的半導體結構,其中所述多數個導電層包括多數個開口,所述多數個開口的位置彼此互相對應,且所述導電連接件設置於所述多數個開口中,其中所述導電連接件的所述側壁與所述多數個開口的側壁相接觸。The semiconductor structure according to claim 1, wherein the plurality of conductive layers include a plurality of openings, the positions of the plurality of openings correspond to each other, and the conductive connectors are disposed in the plurality of openings, wherein the plurality of openings The sidewalls of the conductive connectors are in contact with the sidewalls of the plurality of openings. 如請求項5所述的半導體結構,其中所述多數個開口具有不同寬度,其中越遠離所述第一基板的所述多數個開口的寬度越小。The semiconductor structure as claimed in claim 5, wherein the plurality of openings have different widths, and the widths of the plurality of openings are smaller the farther away from the first substrate. 如請求項5所述的半導體結構,其中每個所述開口包括圓形開口、矩形開口或是溝槽狀開口。The semiconductor structure as claimed in claim 5, wherein each of the openings comprises a circular opening, a rectangular opening or a trench opening. 如請求項1所述的半導體結構,其中所述多數個導電層中有二個以上具有所述虛設結構。The semiconductor structure according to claim 1, wherein more than two of the plurality of conductive layers have the dummy structure. 一種半導體結構的製造方法,包括: 提供第一三維半導體元件,所述第一三維半導體元件包括: 第一基板;以及 第一堆疊結構,設置於所述第一基板上,其中所述第一堆疊結構包括交替堆疊的多數個第一絕緣層以及多數個第一導電層,其中所述多數個第一導電層具有多數個第一開口; 提供第二三維半導體元件,所述第二三維半導體元件包括: 第二基板;以及 第二堆疊結構,設置於所述第二基板上,其中所述第二堆疊結構包括交替堆疊的多數個第二絕緣層以及多數個第二導電層,其中所述多數個第二導電層中至少一個具有虛設結構,且所述多數個第二導電層具有多數個第二開口; 接合所述第一三維半導體元件與所述第二三維半導體元件,其中所述多數個第二開口與所述多數個第一開口的位置彼此互相對準; 以所述虛設結構作為中止層,進行電漿蝕刻,以於所述第一基板、所述第一堆疊結構與所述第二堆疊結構中形成貫穿所述第一基板、所述多數個第一絕緣層、所述多數個第一開口、所述多數個第二絕緣層以及所述多數個第二開口的第一通孔; 進行濕式蝕刻,除去所述第一通孔內的所述第一基板、所述多數個第一絕緣層與所述多數個第二絕緣層,直到暴露出部分所述多數個第一導電層及部分所述多數個第二導電層,以形成第二通孔;以及 於所述第二通孔中形成導電連接件,以與所述多數個第一導電層及所述多數個第二導電層電性連接。 A method of fabricating a semiconductor structure, comprising: A first three-dimensional semiconductor element is provided, the first three-dimensional semiconductor element comprising: a first substrate; and The first stack structure is disposed on the first substrate, wherein the first stack structure includes a plurality of first insulating layers and a plurality of first conductive layers stacked alternately, wherein the plurality of first conductive layers have a plurality of a first opening; A second three-dimensional semiconductor element is provided, the second three-dimensional semiconductor element comprising: a second substrate; and The second stack structure is disposed on the second substrate, wherein the second stack structure includes a plurality of second insulating layers and a plurality of second conductive layers stacked alternately, wherein at least one of the plurality of second conductive layers one has a dummy structure, and the plurality of second conductive layers has a plurality of second openings; bonding the first three-dimensional semiconductor element and the second three-dimensional semiconductor element, wherein the positions of the plurality of second openings and the plurality of first openings are aligned with each other; Using the dummy structure as a stop layer, plasma etching is performed to form through the first substrate, the plurality of first stacked structures in the first substrate, the first stacked structure, and the second stacked structure. an insulating layer, the plurality of first openings, the plurality of second insulating layers, and the first through holes of the plurality of second openings; performing wet etching to remove the first substrate, the plurality of first insulating layers, and the plurality of second insulating layers in the first through hole until a part of the plurality of first conductive layers is exposed and a portion of the plurality of second conductive layers to form a second via hole; and A conductive connector is formed in the second through hole to be electrically connected to the plurality of first conductive layers and the plurality of second conductive layers. 如請求項9所述的半導體結構的製造方法,其中進行所述濕式蝕刻的步驟包括過度蝕刻所述多數個第一絕緣層與所述多數個第二絕緣層,以使所述第二通孔的最大寬度大於所述多數個第一開口的最大寬度及所述多數個第二開口的最大寬度。The method for manufacturing a semiconductor structure according to claim 9, wherein the step of performing the wet etching includes over-etching the plurality of first insulating layers and the plurality of second insulating layers, so that the second vias The maximum width of the hole is greater than the maximum width of the plurality of first openings and the maximum width of the plurality of second openings. 如請求項10所述的半導體結構的製造方法,其中所述第一通孔的形狀與所述第二通孔的形狀分別為柱狀或錐狀。The method for manufacturing a semiconductor structure as claimed in claim 10, wherein the shape of the first through hole and the shape of the second through hole are columnar or tapered, respectively. 如請求項9所述的半導體結構的製造方法,其中: 在所述第一三維半導體元件中,越遠離所述第一基板的所述多數個第一開口的寬度越小; 在所述第二三維半導體元件中,越靠近所述第二基板的所述多數個第二開口的寬度越小;以及 在接合所述第一三維半導體元件與所述第二三維半導體元件的期間,所述多數個第一開口的寬度及所述多數個第二開口的寬度以越遠離所述第一基板者的寬度越小的方式配置。 The method of manufacturing a semiconductor structure as claimed in item 9, wherein: In the first three-dimensional semiconductor element, the widths of the plurality of first openings are smaller the farther away from the first substrate; In the second three-dimensional semiconductor element, widths of the plurality of second openings are smaller closer to the second substrate; and During the bonding of the first three-dimensional semiconductor element and the second three-dimensional semiconductor element, the widths of the plurality of first openings and the widths of the plurality of second openings are equal to those that are farther away from the first substrate. The smaller the way the configuration is. 如請求項9所述的半導體結構的製造方法,其中形成所述第一通孔的期間未露出所述多數個第一導電層以及所述多數個第二導電層。The method for manufacturing a semiconductor structure according to claim 9, wherein the plurality of first conductive layers and the plurality of second conductive layers are not exposed during the formation of the first via hole. 如請求項9所述的半導體結構的製造方法,其中進行所述濕式蝕刻的步驟包括: 使用第一蝕刻劑除去所述第一通孔內的所述第一基板;以及 使用第二蝕刻劑除去所述第一通孔內的所述多數個第一絕緣層與所述多數個第二絕緣層。 The method for manufacturing a semiconductor structure as claimed in item 9, wherein the step of performing the wet etching comprises: removing the first substrate within the first via hole using a first etchant; and The plurality of first insulating layers and the plurality of second insulating layers in the first through hole are removed using a second etchant. 如請求項9所述的半導體結構的製造方法,其中於所述第二通孔中形成所述導電連接件之前,更包括: 於所述第二通孔中填入有機平坦層,且所述有機平坦層不覆蓋所述第一基板的側壁; 除去未被所述有機平坦層覆蓋的部分所述第一基板,以形成第三通孔,其中所述第三通孔與所述第二通孔重疊,所述第三通孔的寬度大於所述第二通孔的寬度; 於所述第一基板上及所述第三通孔的側壁形成鈍化層,並暴露出所述有機平坦層的表面;以及 移除所述有機平坦層,以暴露出部分所述虛設結構、部分所述多數個第一導電層及部分所述多數個第二導電層。 The method for manufacturing a semiconductor structure according to claim 9, further comprising: before forming the conductive connection in the second via hole: filling the second through hole with an organic planar layer, and the organic planar layer does not cover the sidewall of the first substrate; removing a portion of the first substrate not covered by the organic planar layer to form a third through hole, wherein the third through hole overlaps with the second through hole, and the width of the third through hole is larger than the The width of the second through hole; forming a passivation layer on the first substrate and the sidewall of the third through hole, and exposing the surface of the organic planar layer; and The organic planar layer is removed to expose part of the dummy structure, part of the plurality of first conductive layers, and part of the plurality of second conductive layers. 如請求項9所述的半導體結構的製造方法,其中形成所述導電連接件於所述第二通孔中的步驟包括: 於所述第二通孔的表面共形地形成阻障層以及 於所述第二通孔內填入導電材料層。 The method for manufacturing a semiconductor structure as claimed in claim 9, wherein the step of forming the conductive connector in the second through hole comprises: conformally forming a barrier layer on the surface of the second via hole and A conductive material layer is filled in the second through hole. 一種半導體結構的製造方法,包括: 提供三維半導體元件於載板上,所述三維半導體元件包括: 基板,設置於所述載板上;以及 堆疊結構,設置於所述基板與所述載板之間,所述堆疊結構包括;交替堆疊的多數個絕緣層以及多數個導電層,其中所述多數個導電層中至少一個具有虛設結構,且所述多數個導電層具有多數個開口; 以所述虛設結構作為中止層,進行電漿蝕刻,以於所述基板與所述堆疊結構中形成貫穿所述基板、所述多數個絕緣層以及所述多數個開口的第一通孔; 進行濕式蝕刻,除去所述第一通孔內的所述基板與所述多數個絕緣層,直到暴露出部分所述多數個導電層,以形成第二通孔;以及 於所述第二通孔中形成導電連接件,以與所述多數個導電層電性連接。 A method of fabricating a semiconductor structure, comprising: A three-dimensional semiconductor element is provided on the carrier, the three-dimensional semiconductor element comprising: a substrate disposed on the carrier; and a stack structure, disposed between the substrate and the carrier plate, the stack structure includes: a plurality of insulating layers and a plurality of conductive layers stacked alternately, wherein at least one of the plurality of conductive layers has a dummy structure, and the plurality of conductive layers has a plurality of openings; performing plasma etching using the dummy structure as a stop layer, so as to form first through holes penetrating the substrate, the plurality of insulating layers, and the plurality of openings in the substrate and the stacked structure; performing wet etching to remove the substrate and the plurality of insulating layers in the first through hole until a part of the plurality of conductive layers is exposed, so as to form a second through hole; and A conductive connection is formed in the second through hole to be electrically connected to the plurality of conductive layers. 如請求項17所述的半導體結構的製造方法,其中進行所述濕式蝕刻的步驟包括過度蝕刻所述多數個絕緣層,以使所述第二通孔的最大寬度大於所述多數個開口的最大寬度。The method for manufacturing a semiconductor structure as claimed in claim 17, wherein the step of performing the wet etching includes overetching the plurality of insulating layers, so that the maximum width of the second via hole is greater than that of the plurality of openings maximum width. 如請求項17所述的半導體結構的製造方法,其中所述第一通孔的形狀與所述第二通孔的形狀分別為柱狀或錐狀。The method for manufacturing a semiconductor structure as claimed in claim 17, wherein the shape of the first through hole and the shape of the second through hole are columnar or tapered, respectively. 如請求項17所述的半導體結構的製造方法,其中在所述三維半導體元件中,越遠離所述基板的所述多數個開口的寬度越小。The method for manufacturing a semiconductor structure according to claim 17, wherein in the three-dimensional semiconductor element, the widths of the plurality of openings are smaller the farther away from the substrate. 如請求項17所述的半導體結構的製造方法,其中形成所述第一通孔的期間未露出所述多數個導電層。The method of manufacturing a semiconductor structure as claimed in claim 17, wherein the plurality of conductive layers are not exposed during the formation of the first via hole. 如請求項17所述的半導體結構的製造方法,其中進行所述濕式蝕刻的步驟包括: 使用第一蝕刻劑除去所述第一通孔內的所述基板;以及 使用第二蝕刻劑除去所述第一通孔內的所述多數個絕緣層。 The method for manufacturing a semiconductor structure as claimed in item 17, wherein the step of performing the wet etching comprises: removing the substrate within the first via hole using a first etchant; and The plurality of insulating layers within the first via is removed using a second etchant. 如請求項17所述的半導體結構的製造方法,其中於所述第二通孔中形成所述導電連接件之前,更包括: 於所述第二通孔中填入有機平坦層,且所述有機平坦層不覆蓋所述基板的側壁; 除去未被所述有機平坦層覆蓋的部分所述基板,以形成第三通孔,其中所述第三通孔與所述第二通孔重疊,所述第三通孔的寬度大於所述第二通孔的寬度; 於所述基板上及所述第三通孔的側壁形成鈍化層,並暴露出所述有機平坦層的表面;以及 移除所述有機平坦層,以暴露出部分所述虛設結構及部分所述多數個導電層。 The method for manufacturing a semiconductor structure according to claim 17, further comprising: before forming the conductive connection in the second via hole: filling the second through hole with an organic planar layer, and the organic planar layer does not cover the sidewall of the substrate; removing a portion of the substrate not covered by the organic planar layer to form a third through hole, wherein the third through hole overlaps with the second through hole, and the width of the third through hole is larger than that of the first through hole. The width of the two through holes; forming a passivation layer on the substrate and the sidewall of the third through hole, and exposing the surface of the organic planar layer; and The organic planar layer is removed to expose part of the dummy structure and part of the plurality of conductive layers.
TW110142538A 2021-11-16 2021-11-16 Semiconductor structure and manufacturing method of the same TWI780985B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW110142538A TWI780985B (en) 2021-11-16 2021-11-16 Semiconductor structure and manufacturing method of the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW110142538A TWI780985B (en) 2021-11-16 2021-11-16 Semiconductor structure and manufacturing method of the same

Publications (2)

Publication Number Publication Date
TWI780985B true TWI780985B (en) 2022-10-11
TW202322326A TW202322326A (en) 2023-06-01

Family

ID=85475971

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110142538A TWI780985B (en) 2021-11-16 2021-11-16 Semiconductor structure and manufacturing method of the same

Country Status (1)

Country Link
TW (1) TWI780985B (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202002111A (en) * 2018-06-13 2020-01-01 美商英帆薩斯邦德科技有限公司 TSV as pad
TW202137466A (en) * 2020-03-26 2021-10-01 美商英特爾股份有限公司 Device contact sizing in integrated circuit structures

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202002111A (en) * 2018-06-13 2020-01-01 美商英帆薩斯邦德科技有限公司 TSV as pad
TW202137466A (en) * 2020-03-26 2021-10-01 美商英特爾股份有限公司 Device contact sizing in integrated circuit structures

Also Published As

Publication number Publication date
TW202322326A (en) 2023-06-01

Similar Documents

Publication Publication Date Title
US8896136B2 (en) Alignment mark and method of formation
JP5562087B2 (en) Via structure and via etching process to form it
JP4110390B2 (en) Manufacturing method of semiconductor device
US8421238B2 (en) Stacked semiconductor device with through via
US20110057321A1 (en) 3-d multi-wafer stacked semiconductor structure and method for manufacturing the same
TW202115849A (en) Semiconductor structure and method of fabricating the same
US20090212438A1 (en) Integrated circuit device comprising conductive vias and method of making the same
US20090051039A1 (en) Through-substrate via for semiconductor device
US8658529B2 (en) Method for manufacturing semiconductor device
TWI684244B (en) Methods of patterning variable width metallization lines
TWI691454B (en) Monolithic integration of mems and ic devices and method of forming the same
CN110121766A (en) The method of lead is formed in hybrid bonded semiconductor devices
US20200075482A1 (en) Semiconductor device and manufacturing method thereof
TW202143335A (en) Packaged semiconductor devices and methods of forming the same
TWI713147B (en) Method for manufacturing semiconductor device
WO2012062060A1 (en) Stacked semiconductor device and manufacturing method thereof
JP2005135988A (en) Manufacturing method for semiconductor device
WO2011135641A1 (en) Semiconductor device and method for manufacturing same
TWI677954B (en) Interconnected structure and manufacturing method thereof, and electronic equipment including interconnected structure
JP5271562B2 (en) Semiconductor device and manufacturing method of semiconductor device
US11164834B2 (en) Wafer structure and method for manufacturing the same, and chip structure
TWI415216B (en) Semiconductor interconnect having adjacent reservoir for bonding and method for formation
CN113363202A (en) Semiconductor structure and forming method thereof
TWI780985B (en) Semiconductor structure and manufacturing method of the same
TWI716051B (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent