TWI778190B - 將應力工程應用於自對準多重圖案化(samp)製程的製造方法 - Google Patents

將應力工程應用於自對準多重圖案化(samp)製程的製造方法 Download PDF

Info

Publication number
TWI778190B
TWI778190B TW107144941A TW107144941A TWI778190B TW I778190 B TWI778190 B TW I778190B TW 107144941 A TW107144941 A TW 107144941A TW 107144941 A TW107144941 A TW 107144941A TW I778190 B TWI778190 B TW I778190B
Authority
TW
Taiwan
Prior art keywords
stress
substrate
samp
applying
film
Prior art date
Application number
TW107144941A
Other languages
English (en)
Other versions
TW201937574A (zh
Inventor
志方 劉
高明輝
大衛 L 歐米拉
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201937574A publication Critical patent/TW201937574A/zh
Application granted granted Critical
Publication of TWI778190B publication Critical patent/TWI778190B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/564Details not otherwise provided for, e.g. protection against moisture
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0161Controlling physical properties of the material
    • B81C2201/0163Controlling internal stress of deposited layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0161Controlling physical properties of the material
    • B81C2201/0171Doping materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Thin Film Transistor (AREA)
  • Heterocyclic Carbon Compounds Containing A Hetero Ring Having Oxygen Or Sulfur (AREA)

Abstract

揭示將應力工程應用於自對準多重圖案化(SAMP)製程以處理微電子工作件之實施例。所揭示的處理方法係將基板中之應力使用於SAMP製程,以改善所得到的圖案參數。首先,沉積高應力膜在基板之前側及背側上,由於高應力膜之沉積處理,高應力膜提供雙軸應力至基板。其次,實施SAMP製程,以形成間隔物成為間隔物圖案。接著,將間隔物圖案轉移至下方層,以形成圖案化結構。在圖案轉移期間,高應力膜在沿著圖案化結構之一部分之至少一方向上提供軸向應力,因而改善所得到的圖案形成。

Description

將應力工程應用於自對準多重圖案化(SAMP)製程的製造方法
本揭示內容關於微電子工作件之處理方法,尤其關於在微電子工作件上產生圖案化結構之方法。
半導體元件之形成涉及與基板上之多個材料層之形成、圖案化及去除有關之一系列製造技術。在過去的幾十年中,元件特徵部之尺寸縮小一直是在積體電路處理發展上之主要驅動力。藉由縮小特徵部尺寸之大小,已經實現了降低成本並同時提高元件性能之實質改善。然而,特徵部尺寸之縮小及相關的可縮放性已經在製造處理流程中產生了不可避免的困難及複雜性,特別是在用於實現縮小的特徵部尺寸之圖案化技術方面。
自對準多重圖案化(SAMP)技術已經用於在半導體處理中實現超出目前微影限制之縮小的特徵部尺寸。例如, SAMP技術已經用於實現特徵部尺寸小於22奈米(nm)之鰭式場效電晶體(FinFET)架構。 SAMP技術使用額外的間隔物形成及蝕刻步驟,以達成所需的節距縮小,以實現這些次22 nm結構。關於SAMP處理流程,核心(core)及間隔物是兩種常見的硬遮罩材料,其可用於在先進半導體處理技術中獲得小特徵部尺寸。例如,習知的SAMP流程包含以下步驟:核心形成、間隔物沉積、間隔物蝕刻及核心拉除(core pull)。在該方法中,最終特徵部關鍵尺寸(CD)係由間隔物沉積厚度及所得到的間隔物圖案之物理特徵所控制。然後使用進一步的蝕刻處理,以將間隔物圖案轉移至下方層以形成圖案化結構。
當這些小特徵部尺寸,特別是當特徵部尺寸延伸至小於10 nm時,用於形成間隔物圖案及所得到的圖案結構之沉積∕蝕刻處理之粗糙度及均勻性控制變得非常關鍵,因為粗糙度∕均勻性變異可能是這類的小尺寸特徵部之製程變異之主要來源。 例如,對於這些次10 nm節點之習知SAMP技術,在間隔物圖案轉移至下方層之後,在所形成的圖案線中已經發現粗糙度。這些粗糙的圖案線將經由後續的處理階段帶來非期望的變異,且最終將使得所製造的微電子工作件之最終特徵部劣化。不同於直接圖案化方法,由於應用於複雜處理流程中之SAMP技術之本質,線粗糙度之改善是困難且複雜的。
圖1A-1D(先前技術)提供SAMP處理之傳統處理流程之示例性實施例,SAMP處理包括從間隔物拉除核心以及將間隔物圖案轉移至下方層。
首先參考圖1A(先前技術),顯示出材料層及圖案化結構之示例性實施例110,其係在使用微影及蝕刻處理(或先前SAMP處理)以在下方層上方形成核心102之後。對於示例性實施例110,在核心102下方之層包括硬遮罩層104及基板106。微影處理可包括光學微影、極紫外光(EUV)微影及∕或其它期望的微影處理。蝕刻處理可包括,例如,反應性離子蝕刻(RIE)處理及∕或其它用於形成核心102之蝕刻或剝除處理。蝕刻處理可包括,例如,電漿蝕刻處理,電漿蝕刻具有包括氟碳化合物、氧、氮、氫、氬及∕或其它氣體之電漿氣體、在各種壓力及功率條件下。此外,此電漿蝕刻可在具有不同放電電漿以用於指向性蝕刻之多個步驟中完成,以實現核心102之期望結構。
應當注意,核心102可包括,例如,矽、非晶碳、光阻及∕或其它材料。硬遮罩層104可為,例如,以下材料之一或多者,包括但不限於四乙氧基矽烷(TEOS)、矽氧化物(SiOx )、低溫矽氧化物、矽氮化物(SiN)、犧牲SiN、SiCOH、矽氮氧化物(SiON)及∕或其它硬遮罩材料。基板106可為用於微電子工作件之矽及∕或其它基板材料。
圖1B(先前技術)提供示例性實施例120,其係在實施沉積處理以在核心102上方沉積間隔物層122之後。間隔物層122可為,例如,矽氧化物、矽氮化物、金屬氧化物、金屬氮化物及∕或其它保護間隔物材料。在一實施例中,使用化學氣相沉積(CVD)、電漿增強CVD(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)及∕或其它沉積處理以沉積間隔物層122。亦應注意,對於SAMP間隔物,ALD沉積方法通常是較佳的沉積技術,因為其係基於自限制原子層沉積,提供原子級均勻的膜形成。
圖1C(先前技術)提供示例性實施例130,其係在實施間隔物打開處理以形成與核心102相鄰之間隔物132、並且實施核心拉除處理以拉除核心102及留下間隔物132成為間隔物圖案134之後。間隔物打開處理可為,例如,蝕刻處理,其蝕刻間隔物層122以形成間隔物132與核心102相鄰,核心拉除處理可為,例如,蝕刻處理,其蝕刻核心102以留下間隔物132。此蝕刻處理及核心拉除處理亦可為如上所述之電漿蝕刻處理,具有根據核心102及間隔物層122所使用之材料之化學品。隨後,間隔物圖案134可轉移至下方層,例如硬遮罩104及基板106。
圖1D(先前技術)提供示例性實施例140,其係在實施圖案轉移處理以將間隔物圖案134轉移至在下方層(例如,硬遮罩104及基板106)中之圖案化結構142之後。圖案轉移處理可為,例如,蝕刻處理,其蝕刻間隔物132、硬遮罩104及∕或基板106以形成圖案化結構142。取決於所使用的蝕刻處理,間隔物132之一部分可能留下做為從間隔物圖案134而轉移至下方層之圖案化結構142之一部分。用於圖案轉移之此蝕刻處理亦可為如上所述之電漿蝕刻處理。
所得到的圖案化結構142通常包括圖案線,圖案線縱向延伸通過所製造的微電子工作件之表面。如上所述,對於小的特徵部尺寸(例如小於22 nm之特徵部尺寸,尤其是小於10 nm之特徵部尺寸),傳統的沉積∕蝕刻製造處理在圖案線中導致不可接受的粗糙度及∕或不均勻性。
描述用於處理微電子工作件之實施例,以將應力工程應用於SAMP處理。亦可實行各種變化,同時仍然利用本文中所述之技術。
對於一實施例,揭示將基板中之應力使用於SAMP處理之方法,基板具有前側及背側。該方法包括:沉積高應力膜在基板之前側及背側上,由於高應力膜之沉積處理,高應力膜提供雙軸應力至基板;實施SAMP製程,以形成間隔物成為間隔物圖案;及轉移間隔物圖案至下方層,以形成圖案化結構,在轉移期間,高應力膜在沿著圖案化結構之一部分之至少一方向上提供軸向應力。
在另外的實施例中,圖案化結構包括圖案線,高應力膜在沿著圖案線之縱向方向上提供軸向應力,軸向應力係大於0.5十億帕斯卡(GPa)之應力。在又一實施例中,圖案線具有小於1.6之線寬粗糙度(LWR)。在又另一實施例中,圖案線具有小於1.2之線寬粗糙度(LWR)。
在另外的實施例中,軸向應力係大於1.0 GPa之應力。在又一實施例中,圖案線具有大於5.0之深寬比。
在另外的實施例中,軸向應力係大於1.25 GPa之應力。在又一實施例中,圖案線具有大於7.0之深寬比。
在另外的實施例中,圖案化結構包括小於22奈米之特徵部尺寸,軸向應力係大於0.5 GPa之應力。在又另一實施例中,圖案化結構包括小於10奈米之特徵部尺寸,軸向應力係大於0.5 GPa之應力。
在另外的實施例中,該方法包括:控制沉積步驟之操作變數,以符合雙軸應力之目標參數。在又另一實施例中,該方法包括:控制該實施步驟及該轉移步驟之操作變數,以確保圖案化結構符合線粗糙度之目標參數。
在另外的實施例中,SAMP製程包括:實施核心界定處理,以形成核心在基板之前側上;及根據核心,形成間隔物成為間隔物圖案。在又一實施例中,形成間隔物之步驟包括:沉積間隔物層在核心上方;蝕刻間隔物層以形成間隔物;及實施進一步蝕刻,以拉除核心及留下間隔物成為間隔物圖案。
在另外的實施例中,轉移步驟包括:蝕刻在基板之前側上、在間隔物之間之高應力膜;及蝕刻在間隔物之間之基板。在另一實施例中,該方法包括移除間隔物。
在另外的實施例中,圖案化結構包括圖案線;圖案線具有小於10奈米之特徵部尺寸;圖案線具有大於5.0之深寬比;軸向應力係大於1.0 GPa之應力。在又另一實施例中,圖案化結構包括圖案線;圖案線具有小於10奈米之特徵部尺寸;圖案線具有大於7.0之深寬比;軸向應力係大於1.25 GPa之應力。
在另外的實施例中,沉積步驟包括沉積第一高應力膜在基板之背側上、及沉積第二高應力膜在基板之前側上,其中第二高應力膜係與第一高應力膜匹配。在又另一實施例中,沉積步驟包括沉積第一高應力膜在基板之背側上、及沉積第二高應力膜在基板之前側上,其中第二高應力膜係與第一高應力膜不同。
如果需要,可實現不同的或額外的特徵、變化及實施例,並且也可以利用相關的系統及方法。
描述了實施例,用於將應力工程應用於自對準多重圖案化(SAMP)處理以處理微電子工作件。
隨著半導體元件工業朝向更小的關鍵尺寸移動,開發新處理流程之必要性已經增加,以符合或超越當前及下一代元件之電性、物理及可靠性規格。如上所述,多重圖案化處理(例如SAMP處理)非常依賴於間隔物之物理輪廓及關鍵尺寸(CD)控制,以產生被轉移至下方層之期望圖案。相較於直接圖案化處理,對於依賴於圖案化間隔物之SAMP處理而言,線粗糙度及變異是難以控制的。隨著特徵部尺寸之縮小,線粗糙度及變異對於製程餘裕及元件特性之負面影響越來越大。
為了克服先前製造處理所遭遇的問題,所揭示的實施例在SAMP處理中形成間隔物圖案之沉積∕蝕刻處理之前,將具有高雙軸應力之膜沉積至用於微電子工作件之基板之前側及背側。這些高應力膜提供額外的拉伸應力,有助於拉直圖案線並且降低從間隔物圖案轉移至下方層之圖案之線粗糙度。藉由沉積高應力材料而使用應力工程改善了微電子工作件之物理性能及處理結果。對於一實施例,在基板(例如,矽基板)之前側及背側上沉積高應力膜,對於前側圖案在至少一方向上增加了額外的軸向應力,且這種額外的軸向應力降低了圖案線的粗糙度及變異,特別是對於矽蝕刻處理。此外,藉由在高應力膜之沉積期間控制操作變數,可控制應力之方向及大小,以進一步改善前側圖案結果。所揭示的應力工程技術可應用於幾乎所有的SAMP處理以改善圖案結果。亦可實行其它變化,同時仍然利用本文中所述之應力工程技術。
圖2是示例性實施例200之處理流程圖,將具有高拉伸應力之膜施加至用於微電子工作件之基板之前側及背側,以改善藉由SAMP處理所形成之圖案。在步驟202中,將高應力膜沉積在用於微電子工作件之基板之前側及背側上。高應力膜可為,例如,矽氧化物、矽氮化物、金屬、金屬氧化物及∕或在處理參數下所沉積之其他材料。此外,可沉積高應力膜,使得沉積的材料提供大於0.5十億帕斯卡(Giga Pascal,GPa)之雙軸應力。應當注意,藉由沉積期間之處理條件及∕或藉由膜組成,可控制膜之固有應力。例如,在矽氮化物(SiN)膜中之硼濃度是藉由組成而控制膜應力之一範例,其中低硼濃度可將膜應力增加至約1.2 GPa,高硼濃度可將膜應力降低至約0.3 GPa。一般而言,減少在沉積膜中之雜質可用於增加所得的膜應力,而增加在沉積膜中之雜質可用於減少所得的膜應力。做為進一步的範例,處理條件(例如溫度及壓力)可用於控制不同膜類型(例如,SiO2、SiN)及沉積技術(例如,CVD、ALD)之膜應力。來自應力受控膜之實際圖案化益處係來自於由應力所引起之應變量、或線被拉伸的量,其與晶圓受應力而彎曲之程度有關。因此,應變量或晶圓彎曲量係由膜厚及應力所決定。因此,可使用及控制處理條件及膜組成及厚度,以決定由沉積膜所提供之應力。
在沉積高應力膜之後,基板之處理可使用習知的SAMP處理流程,包括核心界定、間隔物沉積及間隔物蝕刻與隨後的圖案轉移處理。對於示例性實施例200,SAMP處理流程212包括步驟204、206、208及210。首先,在步驟204中提供核心形成,其中核心係形成在基板之前側上。對於核心形成,可實施微影以印刷一圖案,並且可藉由一或更多蝕刻處理以將該圖案轉移至核心材料。亦應注意,核心形成亦可包括對關鍵尺寸(CD)及特徵部輪廓之精確控制,以改善微電子工作件之最終特徵部。在步驟206中,沉積間隔物層在核心上方。間隔物層沉積可使用原子層沉積(ALD)及∕或另一保形膜沉積技術,其較佳地為間隔物層提供均勻的膜覆蓋。在間隔物層沉積之後,在步驟208中使用蝕刻處理(例如,電漿蝕刻)以蝕刻間隔物層並且拉除核心,以留下間隔物成為間隔物圖案。與SAMP處理之例子相同,所得到的間隔物圖案所界定之特徵部之節距(pitch)為核心之原始節距之一半。藉由步驟210所示之重複核心∕間隔物形成步驟204、206及208,可有效地使節距減半倍增,以在特徵部尺寸縮小時達到2N 分割,其中「N」為進行核心∕間隔物圖案化之次數。
在SAMP處理流程212完成之後,可使用一或更多後續處理步驟以將所得到的間隔物圖案轉移至下方層,如步驟214所示。圖案轉移可包括,例如,應用於高應力膜、應用於基板、及∕或應用於在間隔物圖案下方之其它層之蝕刻處理。應當注意,可使用額外的及∕或不同的處理步驟,同時仍然利用本文中所述之應用高應力膜之技術,以改善圖案轉移及所得到的圖案線之參數。
亦應注意,可控制步驟202中之沉積、SAMP處理流程212及∕或步驟214之圖案轉移之操作變數,以確保目標參數之達成。例如,可控制用於沉積高應力膜之操作變數,使得由高應力膜所施加之雙軸應力滿足目標應力參數。例如,可控制操作變數,以確保雙軸應力大於0.5十億帕斯卡(GPa)。此外,可控制SAMP處理流程212及步驟214中之圖案轉移之操作變數,使得在圖案轉移之後所得到的圖案化結構滿足線粗糙度及特徵部均勻性之目標參數。受控的操作變數可包括,例如,腔室溫度、腔室壓力、氣體流率、在產生電漿時施加至電極組件之頻率及∕或功率、及∕或處理步驟之其它操作變數。例如,可控制操作變數,以確保在圖案轉移之後圖案結構之線寬粗糙度(LWR)滿足低於1.6且較佳低於1.2之目標LWR。在又一較佳實施例中,圖案化結構之特徵部尺寸係小於10 nm。應當注意,可使用額外的及∕或不同的目標參數,同時仍然利用本文中所述之應用高應力膜之技術,以改善圖案轉移及所得到的圖案線之參數。
以下參考圖4A-C及圖5A-D,以更詳細地說明所揭示的實施例之示例性處理流程,然而可實行各種的處理流程,同時仍然利用本文中所述之技術。在討論這些示例性處理流程之前,參考圖3而描述用於示例性電漿蝕刻處理系統之實施例300。然而,應當注意,本文中所述之技術可與各種蝕刻處理系統一起使用,且實施例300僅為示例性實施例。
圖3為工作件製造系統(例如電漿處理設備)之示例性實施例300之方塊圖,工作件製造系統可做為本文中所述之實施例之蝕刻腔室。具體而言,圖3繪示僅用於說明目的之電漿處理設備之示例性實施例,其可用於實行本文中所述之處理技術。應當了解,其它電漿處理系統及其它蝕刻處理系統同樣可實行本文中所述之技術。對於圖3之示例性實施例300,提供了電容耦合電漿處理設備之概要橫剖面圖,電容耦合電漿處理設備包括處理空間(PS),提供蝕刻腔室給微電子工作件。亦可使用替代的電漿處理設備,包括,例如但不限於,感應耦合電漿處理設備、微波電漿處理設備等。電容耦合電漿處理設備可能特別適合,因為這種設備之電極間距容許有利地控制氣體至電漿空間之局部區域,從而在基板上提供局部電漿處理。
電漿處理設備300可用於多種操作,包括灰化、蝕刻、沉積、清潔、電漿聚合、電漿增強化學氣相沉積(PECVD)、原子層沉積(ALD)等。電漿處理設備300之結構是眾所周知的,且本文中所提出之特定結構僅僅是示例性的。電漿處理可在處理腔室301內進行,處理腔室301可為由金屬(例如鋁或不銹鋼)所製成之真空腔室。處理腔室301界定處理容器,其提供用於電漿產生之處理空間(PS)。處理容器之內壁可塗覆有氧化鋁、氧化釔或其它保護劑。處理容器之形狀可為圓柱形或具有其它幾何構造。
在處理腔室301內之下部中心區域處,基座312(其可為盤狀)可做為載置台,例如待處理的基板302(例如半導體晶圓 )可放置在載置台上。基板302可通過裝載∕卸載埠及閘閥而移動至處理腔室301中。基座312形成做為第二電極之範例之下電極組件320之一部分,第二電極做為載置台以放置基板302於其上。基座312可由,例如,鋁合金所製成。在基座312上設置有靜電卡盤(做為下電極組件之一部分)以用於固定基板302。靜電卡盤設置有電極335。電極335電連接至直流(DC)電源(未顯示)。藉由當來自DC電源之DC電壓施加至電極335時所產生之靜電力,靜電卡盤將基板302吸引至其上。基座312可通過匹配單元與高頻電源電連接。對於其它實施例及處理腔室,可使用二或更多電源並將其連接至處理腔室內之電極335及∕或其它電極。此高頻電源(第二電源)可輸出,例如,在2 MHz至20 MHz範圍中之高頻電壓。施加高頻偏壓功率使得在處理腔室301中所產生之電漿中之離子被吸引至基板302。聚焦環組件338設置在基座312之上表面上方以圍繞靜電卡盤。
排氣通道333可藉由連接至氣體排氣單元之一或更多排氣口(未顯示)而形成。氣體排氣單元可包括真空泵(例如渦輪分子泵),用以將處理腔室301內之電漿處理空間排氣至期望的真空條件。氣體排氣單元將處理腔室301之內部抽真空,從而將其內部壓力減壓至期望的真空度。
上電極組件370為第一電極之範例,設置在下電極組件320之正上方,以平行地面對下電極組件320。電漿產生空間或處理空間(PS)係界定在下電極組件320與上電極組件370之間。上電極組件370包括盤狀的內上電極371及外上電極,外上電極可為環狀並且圍繞內上電極371之周緣。內上電極371亦做為處理氣體入口,用於將特定量的處理氣體注入至在基板302(放置在下電極組件320上)上方之處理空間(PS)中。藉此,上電極組件370形成噴淋頭。具體而言,內上電極371包括氣體注入開口382。
上電極組件370可包括一或更多緩衝腔室389A、389B及389C。緩衝腔室用於擴散處理氣體並且可界定盤狀空間。來自處理氣體供應系統380之處理氣體將氣體供應至上電極組件370。處理氣體供應系統380可用於供應處理氣體以在基板302上實施特定處理,例如成膜、蝕刻等。處理氣體供應系統380連接至氣體供應管線381A、381B及381C,其形成處理氣體供應通道。氣體供應管線連接至內上電極371之緩衝腔室。接著,處理氣體可從緩衝腔室移動至在其下表面處之氣體注入開口382。引入緩衝腔室389A-C之處理氣體之流率可藉由,例如,使用質量流量控制器來調整。此外,引入的處理氣體從電極板(噴淋頭電極)之氣體注入開口382排放至處理空間(PS)。內上電極371部分地用於提供噴淋頭電極組件。
如圖3所示,設置三緩衝腔室389A、389B及389C,對應於邊緣緩衝腔室389A、中間緩衝腔室389B及中心緩衝腔室389C。類似地,氣體供應管線381A、381B及381C可配置為邊緣氣體供應管線381A、中間氣體供應管線381B及中心氣體供應管線381C。緩衝腔室以對應於基板之不同局部區域的方式加以設置,在此例子中為邊緣、中間及中心。如以下將更詳細討論的,這些區域可以對應於基板302之局部區域之特定電漿處理條件。應當了解,使用三局部區域僅為示例性的。因此,電漿處理設備可用於在基板之任何數量的區域上提供局部電漿處理條件。應再次注意,可使用各種配置其中任何一者,且本文中所述之技術不限於處理氣體供應系統380用於將氣體分流至不同緩衝腔室之方式。
上電極組件370經由供電饋線365及匹配單元366與高頻電源(未顯示)(第一高頻電源)電連接。高頻電源可輸出頻率為40 MHz(百萬赫茲)或更高(例如,60 MHz)之高頻電壓,或者可輸出頻率為30-300 MHz之特高頻(VHF)電壓。相較於偏壓電源,此電源可稱為主電源。應當注意,對於某些實施例,沒有電源給上電極,且二電源連接至底電極。亦可實行其它變化。
電漿處理設備之配件可連接至控制單元並由控制單元所控制,控制單元又可連接至相應的記憶儲存單元及使用者界面(均未顯示)。可通過使用者界面以執行各種電漿處理操作,且各種電漿處理配方及操作可儲存在儲存單元中。因此,可利用各種微製造技術而在電漿處理腔室內處理給定的基板。在操作中,電漿處理設備使用上電極及下電極以在處理空間(PS)中產生電漿。接著,可使用所產生的電漿,以各種類型的處理而處理目標基板(例如基板302或任何待處理之材料),各種類型的處理例如為電漿蝕刻、化學氣相沉積、半導體材料、玻璃材料及大型面板(例如薄膜太陽能電池、其它光伏電池、及用於平板顯示器之有機∕無機板)之處理等。
現在參考圖4A-C及5A-D,提供了沉積高應力膜至基板之示例性處理流程實施例,以改善使用SAMP處理流程所形成之圖案線之特徵部參數,例如降低的粗糙度。應當注意,在這些實施例中所示之圖案化結構及特徵部僅為示例性圖案化結構及特徵部,所揭示的技術亦可用於其它圖案化結構及特徵部。亦應注意,對於製造中的微電子工作件,亦可實行額外的及∕或不同的處理步驟,同時仍然利用本文中所述之技術。
圖4A-C提供了示例性處理流程,其中高應力膜沉積在用於微電子工作件之基板之前側及背側上,以提供雙軸拉伸,其改善特徵部參數,例如使用SAMP處理所形成之圖案化結構之圖案線粗糙度。
首先參考圖4A,提供了用於微電子工作件之基板106之示例性實施例410。基板106可為矽、及∕或用於提供使用SAMP處理流程以製造微電子工作件之基板材料之其他材料。
圖4B為在沉積高應力膜404在基板106背側上之後、且在沉積高應力膜402在基板106前側上之後之示例性實施例420。高應力膜402及404提供雙軸拉伸應力給基板106,如沿著第一軸(例如,x軸)之拉伸應力408所示、以及沿著第二軸(例如,y軸)之拉伸應力406所示。高應力膜402及404可為,例如,以下材料其中一或多者,包括但不限於,矽氮化物、矽氧化物、金屬氧化物及∕或在處理參數下所沉積之其它材料,使得沉積的材料滿足雙軸拉伸應力之目標參數。例如,由高應力膜402∕404施加至基板106之雙軸應力可大於0.5 GPa。如上所述,藉由膜組成或沉積方法,可控制膜應力,且應變程度由膜應力及相對於基板厚度之膜厚度所決定。應當注意,第一高應力膜可沉積在基板之背側上,第二高應力膜可沉積在基板之前側上。此外,第二高應力膜可與第一高應力膜相同並且匹配,或者第二高應力膜可與第一高應力膜不同。
對於一實施例,高應力膜402及高應力膜404之沉積可藉由數個方法,包括化學氣相沉積(CVD)、電漿增強CVD(PECVD)、或原子層沉積(ALD),應力藉由膜組成及∕或處理條件(例如沉積化學品、電漿功率及∕或處理溫度)加以控制。在可用以使LWR平滑化之影響最大化之最大應力與過度應力之可能負面影響之間之目標應力值可為最佳選擇,負面影響例如為膜剝離、由應力引起的缺陷(例如錯位)、以及由過度應力引起的晶圓彎曲(其造成對微影及晶圓搬運之不利影響)。
圖4C為示例性實施例430,其係在使用SAMP處理流程以實施核心界定而形成具有核心節距之核心、形成間隔物及拉除核心以留下間隔物圖案(其節距小於原始核心節距)、以及將間隔物圖案轉移至下方層中以形成包括圖案線之圖案化結構之後。對於示例性實施例430,圖案線已形成,其沿著x軸方向縱向延伸,且沿著y軸之寬度明顯較短。由於這些圖案線,在基板106之前側上之高應力膜402之剩餘部分係沿著圖案線之縱向長度在x方向上提供拉伸應力408。
如本文中所述,基於由沉積在基板106上之高應力膜402∕404所提供之雙軸應力,由間隔物圖案134轉移至下方層之圖案轉移所形成之圖案線具有改善的粗糙度參數。藉由用於微電子工作件(例如,矽晶圓)之基板106之彎曲,施加至基板106之高應力膜402∕404提供額外的雙軸應力於微電子工作件之前側。在圖案線寬度明顯小於圖案線長度之情況下,此額外的應力可被視為沿著得到的圖案化結構之圖案線之縱向方向之軸向應力。由於膜之應力鬆弛,圖案線之寬度方向之應力(例如,與圖案線方向垂直之方向)將實際上變為零,因為具有小的寬度尺寸。由於在單一縱向方向上之這種強軸向應力,圖案化結構142之前側圖案線傾向於藉由依循沿著圖案線之縱向長度之機械應力 - 應變相關性而變形及拉直。圖案線之這種拉直導致在降低圖案粗糙度上之顯著改善,從而改善了所得到的圖案化結構之線寬粗糙度(LWR)參數及∕或線邊緣粗糙度(LER)參數。
對於形成在矽基板前側上之圖案線,下表提供了基於由高應力膜402∕404所施加之軸向應力對於不同深寬比(AR)所達到之LWR(線寬粗糙度)參數之一範例。深寬比表示圖案線之高度相對於圖案線之寬度(例如,AR = 特徵部之高度∕特徵部之寬度)。下表中之示例性深寬比分別為6.4、8.7及13.3。下表中之示例性軸向應力水準為無應力、0.5 GPa、1.24 GPa及1.71 GPa。從下表中可看出,對於較高的深寬比而言,較大的軸向應力有助於達到較低的LWR水準。亦應注意,該表僅提供了對於示例性深寬比之軸向應力值之一範例及所得到的LWR值。可使用各種變化,同時仍然利用本文中所述之高應力膜技術。表 – 由高應力膜施加至具有各種深寬比之圖案線之示例性軸向應力
Figure 107144941-A0304-0001
對於所揭示的實施例,期望使用高應力膜402∕404而施加大於0.5 GPa之軸向應力。對於大於5.0之圖案化結構之深寬比,期望使用高應力膜402∕404而施加大於1.0之軸向應力。對於大於7.0之圖案化結構之深寬比,期望使用高應力膜402∕404而施加大於1.25之軸向應力。此外,LWR值小於1.2(大致上由上表中之底線及粗框表示)是較佳的,LWR在1.2與1.6之間(大致上在上表中僅由底線表示)是可接受的,大於1.6之LWR通常是非期望的,尤其是在特徵部尺寸小於10 nm之情況下。
亦應注意,藉由將圖案化結構之高度除以圖案化結構之寬度,可決定圖案化結構之深寬比(AR)。圖案化結構內之圖案線之LWR值可計算為線圖案之剖面之標準差。較高的LWR值表示圖案線具有較多的扭曲或變異,而較低的LWR值表示圖案線具有較少的扭曲或變異。由膜沿著膜表面所產生之拉伸應力,可決定由高應力膜402∕404所提供之雙軸應力以及由高應力膜402∕404所提供給圖案線之軸向應力。對於膜之軸向應力測量之一公認技術係藉由在膜沉積之前及之後對基板進行彎曲測量而決定,其中將彎曲差異繪製成斯托尼(Stoney)方程式以計算膜應力,這是眾所周知的且通常用於從基板曲率之測量來推斷膜應力。
圖5A-D提供了用於自對準多重圖案化(SAMP)處理之處理流程之示例性實施例,其中高應力膜已經預先沉積在用於微電子工作件之基板之前側及背側上。
首先參考圖5A,顯示出材料層及圖案化結構之示例性實施例510,其係在使用微影及蝕刻處理以形成核心102在下方層上方之後。對於示例性實施例510,在核心102下方之層包括先前沉積在基板106(例如用於微電子工作件之半導體基板)之前側上之高應力膜402。如本文中所述,高應力膜404也預先沉積在基板106之背側上。微影處理可包括光學微影、極紫外光(EUV)微影及∕或其它期望的微影處理。蝕刻處理可包括,例如,用於形成核心102之反應性離子蝕刻(RIE)處理及∕或其他蝕刻或剝除處理。蝕刻處理可包括電漿蝕刻處理,具有在各種壓力及功率條件下、包含氟碳化合物、氧、氮、氫、氬及∕或其它氣體之電漿氣體。此外,此電漿蝕刻可在具有不同放電電漿以用於指向性蝕刻之多個步驟中完成,以達成核心102之期望結構。
應當注意,核心102可包括,例如,矽、非晶碳、光阻及∕或其它材料。高應力膜402及404可為,例如,以下材料之一或多者,包括但不限於矽氮化物、矽氧化物、金屬氧化物及∕或其它材料,其在處理參數下沉積,使得所沉積的材料提供期望的雙軸應力。例如,藉由高應力膜402∕404,可沿著基板106表面在每一軸向上提供大於0.5 GPa之雙軸應力。基板106可為矽及∕或用於微電子工作件之其他材料。
圖5B提供了在實施沉積處理以沉積間隔物層122在核心102上方之後之示例性實施例520。間隔物層122可為,例如,矽氧化物、矽氮化物、金屬氧化物、金屬氮化物層及∕或其它保護間隔物材料。在一實施例中,使用化學氣相沉積(CVD)、電漿增強CVD(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)及∕或其他沉積處理以沉積間隔物層122。
圖5C提供了示例性實施例530,其係在實施間隔物打開處理以形成間隔物132與核心102相鄰、並且實施核心拉除處理而拉除核心102以便留下間隔物132成為間隔物圖案134之後。間隔物打開處理可為,例如,蝕刻處理,其蝕刻間隔物層122以形成間隔物132與核心102相鄰。核心拉除處理可為,例如,蝕刻處理,其蝕刻核心102而留下間隔物132成為間隔物圖案134。蝕刻處理及核心拉除處理也可為如上所述之電漿蝕刻處理,其中化學品係基於用於核心102及間隔物層122之材料。對於一實施例,間隔物蝕刻及核心拉除蝕刻處理為電漿蝕刻處理,其使用包括氮(N2 )、氧(O2 )、一氧化碳(CO)、二氧化碳(CO2 )、氫(H2 )、溴化氫(HBr)、氯( Cl2 )、甲烷(CH4 )、氬(Ar),氦(He)、碳氫氟化物(Cx Hy Fz )、碳氟化物(Cx Fy )及∕或其它期望的氣體其中一或多者之氣體,在各種壓力及功率條件下。
圖5D提供了示例性實施例540,其係在實施圖案轉移處理以將間隔物圖案134轉移至下方層(例如,高應力膜402及基板106)內之圖案化結構142之後。圖案轉移處理可為,例如,蝕刻處理,其蝕刻間隔物132、高應力膜402及基板106以形成圖案化結構142。取決於蝕刻處理,一部分的間隔物132可留下做為轉移至下方層之圖案化結構142之部分。用於圖案轉移之這些蝕刻處理亦可為如上所述之電漿蝕刻處理。對於一實施例,高應力膜蝕刻及基板蝕刻是電漿蝕刻處理,其使用包括氮(N2 )、氧(O2 )、一氧化碳(CO)、二氧化碳(CO2 )、氫(H2 )、溴化氫(HBr)、氯( Cl2 )、甲烷(CH4 )、氬(Ar),氦(He)、碳氫氟化物(Cx Hy Fz )、碳氟化物(Cx Fy )及∕或其它期望的氣體其中一或多者之氣體,在各種壓力及功率條件下。
如本文中所述,基於沉積在基板106上之高應力膜402∕404所提供之軸向應力,由間隔物圖案134圖案轉移至下方層而形成在圖案化結構142內之圖案線具有改善的粗糙度參數。再次注意,對於製造中之微電子工作件,除了所示的那些處理步驟,亦可實行額外的及∕或不同的處理步驟,同時仍然利用本文中所述之技術。
應當注意,在本說明書整篇中所提及的「一實施例」係意指與實施例結合說明之特定特徵、結構、材料、或特性被包含在本發明之至少一實施例中,但不表示其存在於每一實施例中。因此,在本說明書整篇中之不同地方所出現之「在一實施例中」詞語不一定關於本發明之同一個實施例。再者,該等特定特徵、結構、材料、或特性可能在一或更多實施例中以任何適當方式加以結合。在其它實施例中,可包含各種額外的層及∕或結構,及∕或可省略所述的特徵。
當使用在本文中,「微電子工作件」一般關於根據本發明所處理之物件。微電子工作件可包含元件(尤其是半導體或其它電子元件)之任何材料部分或結構,並且可為,例如,基底基板結構(例如半導體基板)、或在基底基板結構上之一層(例如薄膜)。因此,工作件不應被限制於任何特定基底結構、下方層或上方層、已圖案化或未圖案化,而應包含任何這樣的層或基底結構、以及層及∕或基底結構之任何組合。以下說明內容可能參照特定類型之基板,但此僅為了說明之目的而非做為限制。
當使用在本文中,「基板」一詞意指且包含其上方形成有材料之基底材料或結構。應當了解,基板可包含單一材料、複數層之不同材料、其中具有不同材料或不同結構之區域之一層或複數層等。該等材料可包含半導體、絕緣體、導體、或其組合。例如,基板可為半導體基板、在支撐結構上之基底半導體層、金屬電極,或其上形成有一或更多層、結構或區域之半導體基板。基板可為習知的矽基板、或包含半導體材料層之其它主體基板。當使用在本文中,「主體基板」一詞不僅意指並包含矽晶圓,也意指並包含絕緣層上矽(「SOI」,silicon-on-insulator)基板,例如矽藍寶石(「SOS」,silicon-on-sapphire)基板及矽玻璃(「SOG」,silicon-on-glass)基板、基底半導體基礎上之矽磊晶層、以及其它半導體或光電材料,例如矽-鍺、鍺、砷化鎵、氮化鎵、及磷化銦。基板可為摻雜的或非摻雜的。
用以處理微電子工作件之系統與方法係描述於各種實施例中。熟習此項技藝者將了解,在缺少一或更多具體細節、或在具有其它替代及∕或額外方法、材料或構件之情況下,仍可實施各種實施例。在其它情況下,不詳細顯示或說明為人所熟知的結構、材料或操作,以避免模糊了本發明之各種實施例之態樣。類似地,為了解釋之目的而提出特定的數量、材料及構造,以提供對本發明之徹底瞭解。然而,本發明可在缺少特定細節之情況下被實施。再者,應當了解,圖式中所顯示之各種實施例為示例性的,且不必然依照比例繪製。
根據本說明內容,熟習此項技藝者將可明白所述的系統及方法之進一步修改及替代的實施例。因此,應當了解,所述的系統及方法不受這些示例性配置所限制。應當了解,本文中所示及所述之系統及方法之形式係做為示例性實施例。在實施時可能有各種變化。因此,雖然本發明在本文中係參考特定實施例而加以說明,但在不偏離本發明範圍之情況下可能做出各種修改及變化。因此,說明書及圖式應被視為是用於說明的而不是限制性的,且這樣的修改應包含在本發明之範圍內。再者,本文中所述之關於特定實施例之任何益處、優點、或問題解決方案,不應被解釋為任何請求項或所有請求項之關鍵的、必須的、或必要的特徵或要素。
102‧‧‧核心104‧‧‧硬遮罩層106‧‧‧基板110, 120, 130, 140‧‧‧實施例122‧‧‧間隔物層132‧‧‧間隔物134‧‧‧間隔物圖案142‧‧‧圖案化結構200‧‧‧實施例202, 204, 206, 208, 210, 214‧‧‧步驟212‧‧‧處理流程300‧‧‧電漿處理設備301‧‧‧處理腔室302‧‧‧基板312‧‧‧基座320‧‧‧下電極組件333‧‧‧排氣通道335‧‧‧電極338‧‧‧聚焦環組件365‧‧‧供電饋線366‧‧‧匹配單元370‧‧‧上電極組件371‧‧‧內上電極380‧‧‧處理氣體供應系統381A, 381B, 381C‧‧‧氣體供應管線382‧‧‧氣體注入開口389A, 389B, 389C‧‧‧緩衝腔室410, 420, 430‧‧‧實施例402, 404‧‧‧高應力膜406, 408‧‧‧拉伸應力510, 520, 530, 540‧‧‧實施例PS‧‧‧處理空間
藉由參考以下的說明及伴隨的圖式,可以更完整地了解本發明及其優點,其中相同的元件符號表示相同的特徵。然而,應當注意,伴隨的圖式僅僅顯示出所揭示的概念之示例性實施例,因此不應視為對範圍之限制,因為所揭示的概念可允許其它等效的實施例。
圖1A-D(先前技術)提供用於自對準多重圖案化(SAMP)處理之傳統處理流程之示例性實施例,自對準多重圖案化(SAMP)處理包括從間隔物拉除核心及將間隔物圖案轉移至下方層。
圖2為示例性實施例之處理流程圖,其將具有高拉伸應力之膜施加至用於微電子工作件之基板之前側及背側,以改善藉由SAMP處理所形成之圖案。
圖3為用於工作件製造系統(例如電漿處理設備)之示例性實施例之方塊圖,該工作件製造系統可做為蝕刻腔室而用於本文中所述之實施例。
圖4A-C提供示例性處理流程,其中將高應力膜沉積在用於微電子工作件之基板之前側及背側以提供雙軸應力,雙軸應力改善利用SAMP處理所形成之圖案化結構之特徵部參數,例如圖案線粗糙度。
圖5A-D提供用於SAMP處理之處理流程之示例性實施例,其中先前已經將高應力膜沉積在用於微電子工作件之基板之前側及背側。
200‧‧‧實施例
202,204,206,208,210,214‧‧‧步驟
212‧‧‧處理流程

Claims (20)

  1. 一種將基板中之應力使用於自對準多重圖案化(SAMP)製程之方法,該基板具有一前側及一背側,該方法包括: 沉積一高應力膜在該基板之該前側及該背側上,由於該高應力膜之該沉積處理,該高應力膜提供雙軸應力至該基板; 實施一SAMP製程,以形成複數間隔物成為一間隔物圖案;及 轉移該間隔物圖案至複數下方層,以形成一圖案化結構,其中在該轉移期間,該高應力膜在沿著該圖案化結構之一部分之至少一方向上提供軸向應力。
  2. 如申請專利範圍第1項之將基板中之應力使用於SAMP製程之方法,其中該圖案化結構包括複數圖案線,其中該高應力膜在沿著該等圖案線之一縱向方向上提供軸向應力,及其中該軸向應力係大於0.5十億帕斯卡(GPa)之應力。
  3. 如申請專利範圍第2項之將基板中之應力使用於SAMP製程之方法,其中該等圖案線具有小於1.6之線寬粗糙度(LWR)。
  4. 如申請專利範圍第2項之將基板中之應力使用於SAMP製程之方法,其中該等圖案線具有小於1.2之線寬粗糙度(LWR)。
  5. 如申請專利範圍第2項之將基板中之應力使用於SAMP製程之方法,其中該軸向應力係大於1.0 GPa之應力。
  6. 如申請專利範圍第5項之將基板中之應力使用於SAMP製程之方法,其中該等圖案線具有大於5.0之深寬比。
  7. 如申請專利範圍第2項之將基板中之應力使用於SAMP製程之方法,其中該軸向應力係大於1.25 GPa之應力。
  8. 如申請專利範圍第7項之將基板中之應力使用於SAMP製程之方法,其中該等圖案線具有大於7.0之深寬比。
  9. 如申請專利範圍第1項之將基板中之應力使用於SAMP製程之方法,其中該圖案化結構包括小於22奈米之特徵部尺寸,及其中該軸向應力係大於0.5十億帕斯卡(GPa)之應力。
  10. 如申請專利範圍第1項之將基板中之應力使用於SAMP製程之方法,其中該圖案化結構包括小於10奈米之特徵部尺寸,及其中該軸向應力係大於0.5十億帕斯卡(GPa)之應力。
  11. 如申請專利範圍第1項之將基板中之應力使用於SAMP製程之方法,更包括控制該沉積步驟之複數操作變數,以符合該雙軸應力之目標參數。
  12. 如申請專利範圍第1項之將基板中之應力使用於SAMP製程之方法,更包括控制該實施步驟及該轉移步驟之複數操作變數,以確保該圖案化結構符合線粗糙度之目標參數。
  13. 如申請專利範圍第1項之將基板中之應力使用於SAMP製程之方法,其中該SAMP製程包括: 實施一核心界定處理,以形成複數核心在該基板之該前側上;及 根據該等核心,形成複數間隔物成為該間隔物圖案。
  14. 如申請專利範圍第13項之將基板中之應力使用於SAMP製程之方法,其中該形成複數間隔物之步驟包括: 沉積一間隔物層在該等核心上方; 蝕刻該間隔物層以形成複數間隔物;及 實施進一步蝕刻,以拉除該等核心及留下該等間隔物成為該間隔物圖案。
  15. 如申請專利範圍第1項之將基板中之應力使用於SAMP製程之方法,其中該轉移步驟包括: 蝕刻在該基板之該前側上、在該等間隔物之間之該高應力膜;及 蝕刻在該等間隔物之間之該基板。
  16. 如申請專利範圍第15項之將基板中之應力使用於SAMP製程之方法,更包括移除該等間隔物。
  17. 如申請專利範圍第1項之將基板中之應力使用於SAMP製程之方法,其中該圖案化結構包括複數圖案線,其中該等圖案線具有小於10奈米之特徵部尺寸,其中該等圖案線具有大於5.0之深寬比,及其中該軸向應力係大於1.0 GPa之應力。
  18. 如申請專利範圍第1項之將基板中之應力使用於SAMP製程之方法,其中該圖案化結構包括複數圖案線,其中該等圖案線具有小於10奈米之特徵部尺寸,其中該等圖案線具有大於7.0之深寬比,及其中該軸向應力係大於1.25 GPa之應力。
  19. 如申請專利範圍第1項之將基板中之應力使用於SAMP製程之方法,其中該沉積步驟包括沉積一第一高應力膜在該基板之該背側上、及沉積一第二高應力膜在該基板之該前側上,其中該第二高應力膜係與該第一高應力膜匹配。
  20. 如申請專利範圍第1項之將基板中之應力使用於SAMP製程之方法,其中該沉積步驟包括沉積一第一高應力膜在該基板之該背側上、及沉積一第二高應力膜在該基板之該前側上,其中該第二高應力膜係與該第一高應力膜不同。
TW107144941A 2017-12-19 2018-12-13 將應力工程應用於自對準多重圖案化(samp)製程的製造方法 TWI778190B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762607781P 2017-12-19 2017-12-19
US62/607,781 2017-12-19
US16/212,144 US10734228B2 (en) 2017-12-19 2018-12-06 Manufacturing methods to apply stress engineering to self-aligned multi-patterning (SAMP) processes
US16/212,144 2018-12-06

Publications (2)

Publication Number Publication Date
TW201937574A TW201937574A (zh) 2019-09-16
TWI778190B true TWI778190B (zh) 2022-09-21

Family

ID=66814657

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107144941A TWI778190B (zh) 2017-12-19 2018-12-13 將應力工程應用於自對準多重圖案化(samp)製程的製造方法

Country Status (3)

Country Link
US (1) US10734228B2 (zh)
TW (1) TWI778190B (zh)
WO (1) WO2019125791A1 (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200641999A (en) * 2005-05-20 2006-12-01 Ind Tech Res Inst Method for fabricating semiconductor device
TW201327672A (zh) * 2011-11-04 2013-07-01 Applied Materials Inc 乾蝕刻製程
TW201705428A (zh) * 2015-06-03 2017-02-01 應用材料股份有限公司 在先進圖案化製程中用於間隔物沉積與選擇性移除的設備與方法
US20170076945A1 (en) * 2015-09-01 2017-03-16 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9741614B1 (en) * 2016-07-11 2017-08-22 United Microelectronics Corp. Method of preventing trench distortion

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6949460B2 (en) 2003-11-12 2005-09-27 Lam Research Corporation Line edge roughness reduction for trench etch
US7060549B1 (en) 2005-07-01 2006-06-13 Advanced Micro Devices, Inc. SRAM devices utilizing tensile-stressed strain films and methods for fabricating the same
US8039341B2 (en) 2006-07-06 2011-10-18 Freescale Semiconductor, Inc. Selective uniaxial stress modification for use with strained silicon on insulator integrated circuit
US7670931B2 (en) 2007-05-15 2010-03-02 Novellus Systems, Inc. Methods for fabricating semiconductor structures with backside stress layers
US7834399B2 (en) 2007-06-05 2010-11-16 International Business Machines Corporation Dual stress memorization technique for CMOS application
US7675137B2 (en) * 2007-07-26 2010-03-09 International Business Machines Corporation Electrical fuse having sublithographic cavities thereupon
US8877641B2 (en) 2009-12-28 2014-11-04 Spansion Llc Line-edge roughness improvement for small pitches
KR20130015145A (ko) * 2011-08-02 2013-02-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8916450B2 (en) 2012-08-02 2014-12-23 International Business Machines Corporation Method for improving quality of spalled material layers
US9153478B2 (en) * 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
FR3009647A1 (zh) * 2013-08-06 2015-02-13 St Microelectronics Sa
US9129906B2 (en) * 2013-12-05 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US9029263B1 (en) * 2013-12-12 2015-05-12 Texas Instruments Incorporated Method of printing multiple structure widths using spacer double patterning
US9406522B2 (en) * 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
US9508557B2 (en) 2014-11-24 2016-11-29 Tokyo Electron Limited Method of improving line roughness in substrate processing
US9896326B2 (en) 2014-12-22 2018-02-20 Applied Materials, Inc. FCVD line bending resolution by deposition modulation
US10049892B2 (en) * 2015-05-07 2018-08-14 Tokyo Electron Limited Method for processing photoresist materials and structures
TWI766789B (zh) * 2015-06-18 2022-06-01 美商應用材料股份有限公司 用於均勻且共形之混成氧化鈦薄膜的沉積方法
FR3041145B1 (fr) * 2015-09-11 2018-03-09 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de realisation d'une structure de canal de transistor en contrainte uni-axiale
JP6163524B2 (ja) * 2015-09-30 2017-07-12 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR20170050056A (ko) * 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9659784B1 (en) 2015-12-22 2017-05-23 Varian Semiconductor Equipment Associates, Inc. Ion-assisted deposition and implantation of photoresist to improve line edge roughness
US10468251B2 (en) * 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10032632B2 (en) * 2016-10-04 2018-07-24 International Business Machines Corporation Selective gas etching for self-aligned pattern transfer
US10325777B2 (en) * 2017-08-30 2019-06-18 International Business Machines Corporation Utilizing multiple layers to increase spatial frequency
US10734238B2 (en) * 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200641999A (en) * 2005-05-20 2006-12-01 Ind Tech Res Inst Method for fabricating semiconductor device
TW201327672A (zh) * 2011-11-04 2013-07-01 Applied Materials Inc 乾蝕刻製程
TW201705428A (zh) * 2015-06-03 2017-02-01 應用材料股份有限公司 在先進圖案化製程中用於間隔物沉積與選擇性移除的設備與方法
US20170076945A1 (en) * 2015-09-01 2017-03-16 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9741614B1 (en) * 2016-07-11 2017-08-22 United Microelectronics Corp. Method of preventing trench distortion

Also Published As

Publication number Publication date
WO2019125791A1 (en) 2019-06-27
US10734228B2 (en) 2020-08-04
TW201937574A (zh) 2019-09-16
US20190189445A1 (en) 2019-06-20

Similar Documents

Publication Publication Date Title
US9786503B2 (en) Method for increasing pattern density in self-aligned patterning schemes without using hard masks
US10157750B2 (en) Plasma processing method and plasma processing apparatus
US11380551B2 (en) Method of processing target object
US8741166B2 (en) Plasma etching method
TWI618145B (zh) 電漿蝕刻方法及電漿蝕刻裝置
US9330935B2 (en) Plasma etching method and plasma etching apparatus
US10748769B2 (en) Methods and systems for patterning of low aspect ratio stacks
US9543164B2 (en) Etching method
US10991594B2 (en) Method for area-selective etching of silicon nitride layers for the manufacture of microelectronic workpieces
US6811831B1 (en) Method for depositing silicon nitride
TWI610362B (zh) 表面介面工程方法
US10818507B2 (en) Method of etching silicon nitride layers for the manufacture of microelectronic workpieces
US11551930B2 (en) Methods to reshape spacer profiles in self-aligned multiple patterning
TWI778190B (zh) 將應力工程應用於自對準多重圖案化(samp)製程的製造方法
US10607835B2 (en) Etching method
US10937662B2 (en) Method of isotropic etching of silicon oxide utilizing fluorocarbon chemistry
JP2010267670A (ja) プラズマ処理方法
US20230343598A1 (en) Method For Improving Etch Rate And Critical Dimension Uniformity When Etching High Aspect Ratio Features Within A Hard Mask Layer
CN118039440A (zh) 具有平坦顶部轮廓的间隔物图案化工艺

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent