TWI774410B - Semiconductor device and method of forming the same - Google Patents

Semiconductor device and method of forming the same Download PDF

Info

Publication number
TWI774410B
TWI774410B TW110120602A TW110120602A TWI774410B TW I774410 B TWI774410 B TW I774410B TW 110120602 A TW110120602 A TW 110120602A TW 110120602 A TW110120602 A TW 110120602A TW I774410 B TWI774410 B TW I774410B
Authority
TW
Taiwan
Prior art keywords
region
forming
gate structures
substrate
layer
Prior art date
Application number
TW110120602A
Other languages
Chinese (zh)
Other versions
TW202249256A (en
Inventor
王裕隆
蔡耀庭
陳建廷
衛遠皇
Original Assignee
華邦電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 華邦電子股份有限公司 filed Critical 華邦電子股份有限公司
Priority to TW110120602A priority Critical patent/TWI774410B/en
Application granted granted Critical
Publication of TWI774410B publication Critical patent/TWI774410B/en
Publication of TW202249256A publication Critical patent/TW202249256A/en

Links

Images

Landscapes

  • Non-Volatile Memory (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

Provided is a semiconductor device including a substrate, a plurality of first gate structures, and a protective structure. The substrate includes a first region and a second region. The first gate structures are disposed on the substrate in the first region. The protective structure conformally covers a sidewall of one of the first gate structures adjacent to the second region. The protective structure includes a lower portion and an upper portion disposed on the lower portion. The lower and upper portions have different dielectric materials. A method of forming a semiconductor device is also provided.

Description

半導體元件及其形成方法Semiconductor element and method of forming the same

本發明是有關於一種具有快閃記憶體的半導體元件及其形成方法。The present invention relates to a semiconductor device having a flash memory and a method for forming the same.

隨著半導體技術的提升,半導體元件的尺寸愈來愈小,以使半導體元件的積集度增加,進而將具有更多功能的元件整合在同一晶片上。在此情況下,半導體元件中的線寬亦逐漸縮小,以使電子產品達到輕薄短小的需求。With the improvement of semiconductor technology, the size of semiconductor elements is getting smaller and smaller, so that the integration of semiconductor elements is increased, thereby integrating elements with more functions on the same wafer. Under this circumstance, the line width in the semiconductor device is also gradually reduced, so that the electronic products meet the requirements of being light, thin, and short.

然而,當半導體元件中的關鍵尺寸愈來愈小的同時,半導體製程技術也將面臨到許多挑戰。舉例來說,接縫區(stitch region)周圍或是周邊電路區周圍的鎢殘留物會形成源極/汲極接觸窗之間的漏電路徑,進而導致半導體元件的良率下降。However, as the critical dimensions in semiconductor devices are getting smaller and smaller, the semiconductor process technology will also face many challenges. For example, tungsten residues around a stitch region or around a peripheral circuit region can form leakage paths between source/drain contacts, which can lead to a decrease in the yield of semiconductor devices.

本發明提供一種半導體元件及其形成方法,其可解決接縫區周圍或是周邊電路區周圍的鎢殘留物問題,進而提升半導體元件的良率。The present invention provides a semiconductor element and a method for forming the same, which can solve the problem of tungsten residues around the seam area or around the peripheral circuit area, thereby improving the yield of the semiconductor element.

本發明提供一種半導體元件,包括:基底、多個第一閘極結構以及保護結構。基底包括第一區與第二區。第一閘極結構配置在第一區的基底上。保護結構共形地覆蓋與第二區相鄰的多個第一閘極結構中的一者的側壁。保護結構包括下部以及配置在下部上的上部。下部與上部具有不同介電材料。The invention provides a semiconductor element, comprising: a substrate, a plurality of first gate structures and a protection structure. The substrate includes a first region and a second region. The first gate structure is configured on the substrate of the first region. The protection structure conformally covers a sidewall of one of the plurality of first gate structures adjacent to the second region. The protective structure includes a lower portion and an upper portion disposed on the lower portion. The lower part and the upper part have different dielectric materials.

本發明提供一種半導體元件的形成方法,包括:提供包括晶胞區與周邊區的基底;在晶胞區的基底上形成多個第一閘極結構;在周邊區的基底上形成至少一第二閘極結構;在晶胞區的多個第一閘極結構上形成犧牲材料;形成停止層以共形覆蓋至少一第二閘極結構、與周邊區相鄰的多個第一閘極結構中的一者的側壁以及犧牲材料的表面;在周邊區的停止層上形成層間介電層;進行第一蝕刻製程,移除犧牲材料的頂面上的停止層且進一步凹蝕犧牲材料與層間介電層之間的停止層,進而形成第一開口;形成保護層以填入第一開口,其中保護層與停止層具有不同介電材料;以及圖案化犧牲材料,以於多個第一閘極結構之間形成多個虛擬接觸窗。The present invention provides a method for forming a semiconductor device, comprising: providing a substrate including a unit cell region and a peripheral region; forming a plurality of first gate structures on the substrate in the unit cell region; forming at least one second gate structure on the substrate in the peripheral region gate structure; forming sacrificial material on a plurality of first gate structures in the unit cell region; forming a stop layer to conformally cover at least one second gate structure in the plurality of first gate structures adjacent to the peripheral region a sidewall of one of the sacrificial materials and the surface of the sacrificial material; an interlayer dielectric layer is formed on the stop layer in the peripheral region; a first etching process is performed to remove the stop layer on the top surface of the sacrificial material and further etch the sacrificial material and the interlayer a stop layer between the electrical layers, thereby forming a first opening; forming a protective layer to fill the first opening, wherein the protective layer and the stop layer have different dielectric materials; and patterning the sacrificial material to form a plurality of first gate electrodes A plurality of virtual contact windows are formed between the structures.

基於上述,本發明實施例藉由將保護結構形成在晶胞區與接縫區之間以及/或在晶胞區與周邊區之間,以避免回蝕刻製程期間所導致的停止層的損耗。在此情況下,在進行接觸窗替換製程之後,金屬鎢不會殘留在接縫區周圍或是周邊電路區周圍。因此,本發明實施例可避免鎢殘留物所形成的源極/汲極接觸窗之間的漏電路徑,進而提升半導體元件的良率。Based on the above, in the embodiments of the present invention, the protection structure is formed between the unit cell area and the seam area and/or between the unit cell area and the peripheral area to avoid the loss of the stop layer during the etch-back process. In this case, after the contact window replacement process, the metal tungsten will not remain around the seam area or around the peripheral circuit area. Therefore, the embodiments of the present invention can avoid the leakage paths between the source/drain contacts formed by the tungsten residues, thereby improving the yield of the semiconductor device.

參照本實施例之圖式以更全面地闡述本發明。然而,本發明亦可以各種不同的形式體現,而不應限於本文中所述之實施例。圖式中的層與區域的厚度會為了清楚起見而放大。相同或相似之標號表示相同或相似之元件,以下段落將不再一一贅述。The present invention is more fully described with reference to the drawings of this embodiment. However, the present invention may be embodied in various forms and should not be limited to the embodiments described herein. The thicknesses of layers and regions in the drawings are exaggerated for clarity. The same or similar reference numerals denote the same or similar elements, and will not be repeated in the following paragraphs.

圖1A至圖1J是本發明第一實施例的半導體元件之製造流程的剖面示意圖。1A to 1J are schematic cross-sectional views of the manufacturing process of the semiconductor device according to the first embodiment of the present invention.

請參照圖1A,本實施例提供一種半導體元件1(如圖1J所示)的製造方法,其步驟如下。首先,提供一初始結構1a,其包括基底100、多個第一閘極結構110以及至少一第二閘極結構120。基底100可包括第一區R1與第二區R2。在一實施例中,第一區R1可以是晶胞區,而第二區R2可以是周邊區。在一實施例中,基底100可例如為半導體基底、半導體化合物基底或是絕緣層上有半導體基底(Semiconductor Over Insulator,SOI)。在本實施例中,基底100可以是矽基底。Referring to FIG. 1A , the present embodiment provides a method for manufacturing a semiconductor device 1 (as shown in FIG. 1J ), the steps of which are as follows. First, an initial structure 1 a is provided, which includes a substrate 100 , a plurality of first gate structures 110 and at least one second gate structure 120 . The substrate 100 may include a first region R1 and a second region R2. In one embodiment, the first region R1 may be a unit cell region, and the second region R2 may be a peripheral region. In one embodiment, the substrate 100 may be, for example, a semiconductor substrate, a semiconductor compound substrate, or a semiconductor over insulator (SOI) substrate. In this embodiment, the substrate 100 may be a silicon substrate.

多個第一閘極結構110可配置在第一區R1的基底100上。在本實施例中,第一閘極結構110可以是快閃記憶體(flash memory)結構。具體來說,每一個第一閘極結構110可由下而上依序包括穿隧介電層112、浮置閘極114、閘間介電層116、控制閘極118以及頂蓋層119。也就是說,穿隧介電層112配置在第一區R1的基底100上。浮置閘極114配置在穿隧介電層112上。閘間介電層116配置在浮置閘極114上。控制閘極118配置在閘間介電層116上。頂蓋層119配置在控制閘極118上。A plurality of first gate structures 110 may be disposed on the substrate 100 of the first region R1. In this embodiment, the first gate structure 110 may be a flash memory structure. Specifically, each first gate structure 110 includes a tunnel dielectric layer 112 , a floating gate 114 , an inter-gate dielectric layer 116 , a control gate 118 and a capping layer 119 sequentially from bottom to top. That is, the tunnel dielectric layer 112 is disposed on the substrate 100 of the first region R1. The floating gate 114 is disposed on the tunnel dielectric layer 112 . The inter-gate dielectric layer 116 is disposed on the floating gate 114 . The control gate 118 is disposed on the inter-gate dielectric layer 116 . The cap layer 119 is disposed on the control gate 118 .

在一實施例中,穿隧介電層112的材料可例如是氧化矽,其形成方法可以是化學氣相沉積法(CVD)、熱氧化法等。在一實施例中,浮置閘極114的材料可包括導體材料,例如是摻雜多晶矽、非摻雜多晶矽或其組合,其形成方法可以是化學氣相沈積法。在一實施例中,閘間介電層116可例如是由氮化物/氧化物/氮化物/氧化物/氮化物(Nitride/Oxide/Nitride/Oxide/Nitride,NONON)所構成的複合層,但本發明並不限於此,此複合層可為三層、五層或更多層;閘間介電層116的形成方法可例如是化學氣相沈積法。在一實施例中,控制閘極118的材料可包括導體材料,例如是摻雜多晶矽、非摻雜多晶矽或其組合,其形成方法可以是化學氣相沈積法。在一實施例中,頂蓋層119的材料可包括介電材料,例如是氮化矽、氮氧化矽或其組合,其形成方法可以是化學氣相沈積法。In one embodiment, the material of the tunnel dielectric layer 112 may be, for example, silicon oxide, and the formation method thereof may be chemical vapor deposition (CVD), thermal oxidation, or the like. In one embodiment, the material of the floating gate 114 may include a conductive material, such as doped polysilicon, undoped polysilicon, or a combination thereof, and the formation method may be chemical vapor deposition. In one embodiment, the inter-gate dielectric layer 116 may be, for example, a composite layer composed of nitride/oxide/nitride/oxide/nitride (Nitride/Oxide/Nitride/Oxide/Nitride, NONON), but The present invention is not limited thereto, and the composite layer may be three, five or more layers; the formation method of the inter-gate dielectric layer 116 may be, for example, chemical vapor deposition. In one embodiment, the material of the control gate 118 may include a conductive material, such as doped polysilicon, undoped polysilicon, or a combination thereof, and the formation method may be chemical vapor deposition. In one embodiment, the material of the capping layer 119 may include a dielectric material, such as silicon nitride, silicon oxynitride or a combination thereof, and the formation method may be chemical vapor deposition.

至少一第二閘極結構120可配置在第二區R2的基底100上。具體來說,第二閘極結構120可包括閘介電層122以及配置在閘介電層122上的閘電極124。在一實施例中,閘介電層122的材料可例如是氧化矽,其形成方法可以是化學氣相沉積法、熱氧化法等。在一實施例中,閘電極124的材料可包括導體材料,例如是摻雜多晶矽、非摻雜多晶矽或其組合,其形成方法可以是化學氣相沈積法。在本實施例中,第一閘極結構110與第二閘極結構120可具有不同尺寸,例如是不同高度以及/或不同寬度。另外,第二閘極結構120的閘介電層122的厚度可不同於第一閘極結構110的穿隧介電層112的厚度。此外,雖然圖1A僅繪示單一個第二閘極結構120,但本發明不以此為限。在其他實施例中,第二閘極結構120的數量可依需求來調整。At least one second gate structure 120 may be disposed on the substrate 100 of the second region R2. Specifically, the second gate structure 120 may include a gate dielectric layer 122 and a gate electrode 124 disposed on the gate dielectric layer 122 . In one embodiment, the material of the gate dielectric layer 122 may be, for example, silicon oxide, and the formation method thereof may be chemical vapor deposition, thermal oxidation, or the like. In one embodiment, the material of the gate electrode 124 may include a conductive material, such as doped polysilicon, undoped polysilicon or a combination thereof, and the formation method may be chemical vapor deposition. In this embodiment, the first gate structure 110 and the second gate structure 120 may have different sizes, such as different heights and/or different widths. In addition, the thickness of the gate dielectric layer 122 of the second gate structure 120 may be different from the thickness of the tunnel dielectric layer 112 of the first gate structure 110 . In addition, although FIG. 1A only shows a single second gate structure 120 , the present invention is not limited thereto. In other embodiments, the number of the second gate structures 120 can be adjusted according to requirements.

如圖1A所示,初始結構1a還包括間隙壁102、126、犧牲材料130、停止層132以及層間介電層(ILD layer)134。具體來說,間隙壁102可包括單層結構、雙層結構或是多層結構。舉例來說,間隙壁102可包括氧化矽層104、氮化矽層106以及氧化矽層108。間隙壁102可共形地覆蓋第一閘極結構110的表面。另一方面,間隙壁126可配置在第二閘極結構120的側壁上。在一實施例中,間隙壁126的材料可包括介電材料,例如是氧化矽、氮化矽或其組合。雖然圖1A所繪示的間隙壁126為單層結構,但本發明不以此為限。在其他實施例中,間隙壁126亦可以是雙層結構或是多層結構。As shown in FIG. 1A , the initial structure 1 a further includes spacers 102 , 126 , a sacrificial material 130 , a stop layer 132 and an interlayer dielectric layer (ILD layer) 134 . Specifically, the spacer 102 may include a single-layer structure, a double-layer structure, or a multi-layer structure. For example, the spacers 102 may include a silicon oxide layer 104 , a silicon nitride layer 106 and a silicon oxide layer 108 . The spacer 102 may conformally cover the surface of the first gate structure 110 . On the other hand, the spacer 126 may be disposed on the sidewall of the second gate structure 120 . In one embodiment, the material of the spacer 126 may include a dielectric material, such as silicon oxide, silicon nitride, or a combination thereof. Although the spacer 126 shown in FIG. 1A is a single-layer structure, the present invention is not limited thereto. In other embodiments, the spacer 126 may also be a double-layer structure or a multi-layer structure.

犧牲材料130可配置在間隙壁102上。詳細地說,犧牲材料130可填入第一閘極結構110之間的空間且延伸覆蓋第一閘極結構110的頂面。在一實施例中,犧牲材料130的材料可包括導體材料,例如是摻雜多晶矽、非摻雜多晶矽或其組合,其形成方法可以是化學氣相沈積法。Sacrificial material 130 may be disposed on spacers 102 . In detail, the sacrificial material 130 may fill the spaces between the first gate structures 110 and extend to cover the top surfaces of the first gate structures 110 . In one embodiment, the material of the sacrificial material 130 may include a conductive material, such as doped polysilicon, undoped polysilicon, or a combination thereof, and the formation method may be chemical vapor deposition.

停止層132可共形覆蓋第二閘極結構120、與第二區R2相鄰的第一閘極結構110的側壁110s(或是間隙壁102的側壁102s)以及犧牲材料130的表面。在一實施例中,停止層132的材料可包括介電材料,例如是氮化矽、氮氧化矽等含氮介電材料,其形成方法可以是化學氣相沈積法。The stop layer 132 may conformally cover the second gate structure 120 , the sidewall 110s of the first gate structure 110 adjacent to the second region R2 (or the sidewall 102s of the spacer 102 ) and the surface of the sacrificial material 130 . In one embodiment, the material of the stop layer 132 may include a dielectric material, such as a nitrogen-containing dielectric material such as silicon nitride, silicon oxynitride, etc., and the formation method may be chemical vapor deposition.

層間介電層134可配置在第二區R2的停止層132上。在一實施例中,層間介電層134的材料包括氧化矽、低介電常數(low-k)介電材料等介電材料。於此,所謂的低介電常數為介電常數小於或等於4。層間介電層134的形成步驟可包括:在基底100上形成層間介電材料;以及進行平坦化製程(例如CMP製程)以暴露出第一區R1的停止層132的頂面132t。值得注意的是,第一區R1的停止層132與層間介電層134可具有不同研磨速率的不同介電材料。舉例來說,停止層132可以是氮化矽,而層間介電層134可以是氧化矽。在此情況下,上述的平坦化製程可移除大部分由氧化矽構成的層間介電材料,並停在停止層132的頂面132t上。也就是說,停止層132可視為上述的平坦化製程的研磨停止層。在此實施例中,第一區R1的停止層132的頂面132t與層間介電層134的頂面134t可視為實質上共平面。The interlayer dielectric layer 134 may be disposed on the stop layer 132 of the second region R2. In one embodiment, the material of the interlayer dielectric layer 134 includes dielectric materials such as silicon oxide and low-k dielectric materials. Here, the so-called low dielectric constant means that the dielectric constant is 4 or less. The steps of forming the interlayer dielectric layer 134 may include: forming an interlayer dielectric material on the substrate 100; and performing a planarization process (eg, a CMP process) to expose the top surface 132t of the stop layer 132 of the first region R1. It should be noted that the stop layer 132 and the interlayer dielectric layer 134 of the first region R1 may have different dielectric materials with different polishing rates. For example, the stop layer 132 may be silicon nitride, and the interlayer dielectric layer 134 may be silicon oxide. In this case, the above-mentioned planarization process can remove most of the interlayer dielectric material composed of silicon oxide and stop on the top surface 132t of the stop layer 132 . That is, the stop layer 132 can be regarded as the polishing stop layer of the above-mentioned planarization process. In this embodiment, the top surface 132t of the stop layer 132 of the first region R1 and the top surface 134t of the interlayer dielectric layer 134 may be considered to be substantially coplanar.

請參照圖1B,進行第一蝕刻製程140移除犧牲材料130的頂面130t上的停止層132且進一步凹蝕犧牲材料130與層間介電層134之間的部分停止層132,進而形成第一開口10。在一實施例中,第一蝕刻製程140可以是濕式蝕刻製程。舉例來說,當停止層132為氮化矽,第一蝕刻製程140可以是使用含有磷酸的蝕刻液,由此移除停止層132。由於第一蝕刻製程140所使用的蝕刻液對於停止層132具有高蝕刻選擇性,因此,由氮化矽所構成的停止層132可被大量移除,而由多晶矽所構成的犧牲材料130與由氧化矽所構成的層間介電層134不會被移除或僅少量移除。在此情況下,第一開口10可具有寬度10w與深度10d,其中深度10d為犧牲材料130的頂面130t與第一開口10的底面10bt之間的距離。在本實施例中,第一開口10的寬度10w可介於30 nm至40 nm之間,例如35 nm。第一開口10的深度10d可介於130 nm至150 nm之間,例如140 nm。第一開口10的深寬比可介於5至3.25之間,例如4。Referring to FIG. 1B , a first etching process 140 is performed to remove the stop layer 132 on the top surface 130 t of the sacrificial material 130 and further a portion of the stop layer 132 between the sacrificial material 130 and the interlayer dielectric layer 134 is further etched, thereby forming a first Opening 10. In one embodiment, the first etching process 140 may be a wet etching process. For example, when the stop layer 132 is silicon nitride, the first etching process 140 may use an etchant containing phosphoric acid, thereby removing the stop layer 132 . Since the etchant used in the first etching process 140 has high etching selectivity to the stop layer 132, the stop layer 132 made of silicon nitride can be largely removed, while the sacrificial material 130 made of polysilicon and the The interlayer dielectric layer 134 made of silicon oxide is not removed or is only removed in a small amount. In this case, the first opening 10 may have a width 10w and a depth 10d, wherein the depth 10d is the distance between the top surface 130t of the sacrificial material 130 and the bottom surface 10bt of the first opening 10 . In this embodiment, the width 10w of the first opening 10 may be between 30 nm and 40 nm, for example, 35 nm. The depth 10d of the first opening 10 may be between 130 nm and 150 nm, for example, 140 nm. The aspect ratio of the first opening 10 may be between 5 and 3.25, eg, 4.

請參照圖1C,形成保護材料142,以覆蓋犧牲材料130的頂面130t、層間介電層134的頂面134t並填入第一開口10中。在一實施例中,保護材料142與停止層132a具有不同介電材料。舉例來說,保護材料142可以是諸如氧化矽的氧化物材料,而停止層132可以是諸如氮化矽的氮化物材料。但本發明不以此為限,只要保護材料142與停止層132a為具有高蝕刻選擇比的材料即為本發明的範疇。另外,值得注意的是,由於第一開口10具有高深寬比,因此,在本實施例中,可利用增強高深寬比溝填製程(enhanced High Aspect Ratio Process,eHARP)來形成保護材料142,進而減少第一開口10中的保護材料142的孔洞(voids)。在此情況下,保護材料142可以是eHARP氧化物。但本發明不以此為限,在其他實施例中,亦可以化學氣相沉積法或是原子層沉積法(ALD)來形成保護材料142。在一實施例中,eHARP氧化物中的孔洞小於CVD氧化物或是ALD氧化物中的孔洞。從另一角度來看,eHARP氧化物的緻密度大於CVD氧化物或是ALD氧化物的緻密度。Referring to FIG. 1C , a protective material 142 is formed to cover the top surface 130t of the sacrificial material 130 and the top surface 134t of the interlayer dielectric layer 134 and fill the first opening 10 . In one embodiment, the protective material 142 and the stop layer 132a have different dielectric materials. For example, the protective material 142 may be an oxide material such as silicon oxide, and the stop layer 132 may be a nitride material such as silicon nitride. However, the present invention is not limited to this, as long as the protective material 142 and the stop layer 132a are materials with a high etching selectivity ratio, it is within the scope of the present invention. In addition, it is worth noting that since the first opening 10 has a high aspect ratio, in this embodiment, an enhanced high aspect ratio (eHARP) process can be used to form the protective material 142 , and then the protective material 142 can be formed. The voids of the protective material 142 in the first opening 10 are reduced. In this case, the protective material 142 may be an eHARP oxide. However, the present invention is not limited to this. In other embodiments, chemical vapor deposition or atomic layer deposition (ALD) can also be used to form the protective material 142 . In one embodiment, the holes in the eHARP oxide are smaller than those in the CVD oxide or the ALD oxide. From another point of view, the density of eHARP oxide is greater than that of CVD oxide or ALD oxide.

請參照圖1C與圖1D,進行平坦化製程(例如CMP製程),以移除犧牲材料130的頂面130t上的保護材料142、層間介電層134的頂面134t上的保護材料142以及部分層間介電層134,進而在第一開口10中形成保護層142a。在此情況下,犧牲材料130的頂面130t、保護層142a的頂面142t以及層間介電層134的頂面134t可視為共平面。1C and FIG. 1D, a planarization process (eg, a CMP process) is performed to remove the protective material 142 on the top surface 130t of the sacrificial material 130, the protective material 142 on the top surface 134t of the interlayer dielectric layer 134, and part The interlayer dielectric layer 134 is formed, and the protective layer 142 a is formed in the first opening 10 . In this case, the top surface 130t of the sacrificial material 130, the top surface 142t of the protective layer 142a, and the top surface 134t of the interlayer dielectric layer 134 may be considered to be coplanar.

請參照圖1E與圖1F,圖案化犧牲材料130,以於第一閘極結構110之間形成多個虛擬接觸窗130a。具體來說,如圖1E所示,在基底100上形成罩幕圖案144。罩幕圖案144可覆蓋或是不覆蓋保護層142a的頂面142t。在一實施例中,罩幕圖案144的材料包括介電材料,例如是氮化矽、氮氧化矽等含氮介電材料,其形成方法可以是化學氣相沈積法。接著,以罩幕圖案144為罩幕,進行第二蝕刻製程150,移除部分犧牲材料130,進而形成多個第二開口12。在此情況下,如圖1F所示,第二開口12暴露出第一閘極結構110正上方的間隙壁102,且暴露出保護層142a的部分表面。在一實施例中,第二蝕刻製程150可以是乾式蝕刻製程,例如是反應性離子蝕刻(RIE)製程。Referring to FIGS. 1E and 1F , the sacrificial material 130 is patterned to form a plurality of dummy contacts 130 a between the first gate structures 110 . Specifically, as shown in FIG. 1E , a mask pattern 144 is formed on the substrate 100 . The mask pattern 144 may or may not cover the top surface 142t of the protective layer 142a. In one embodiment, the material of the mask pattern 144 includes a dielectric material, such as a nitrogen-containing dielectric material such as silicon nitride, silicon oxynitride, etc., and the formation method may be chemical vapor deposition. Next, using the mask pattern 144 as a mask, a second etching process 150 is performed to remove part of the sacrificial material 130 , thereby forming a plurality of second openings 12 . In this case, as shown in FIG. 1F , the second opening 12 exposes the spacer 102 directly above the first gate structure 110 and exposes a part of the surface of the protective layer 142 a. In one embodiment, the second etching process 150 may be a dry etching process, such as a reactive ion etching (RIE) process.

請參照圖1G,可選擇性地形成襯層152,以共形地覆蓋。罩幕圖案144與第二開口12的表面。在一實施例中,襯層152的材料可包括介電材料,例如是氧化矽、氮化矽、氮氧化矽或其組合,其形成方法可以是化學氣相沈積法。Referring to FIG. 1G , a liner 152 may be selectively formed to cover conformally. The mask pattern 144 and the surface of the second opening 12 . In one embodiment, the material of the lining layer 152 may include a dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride or a combination thereof, and the formation method may be chemical vapor deposition.

請參照圖1G與圖1H,在第二開口12中形成介電層154。在一實施例中,介電層154的材料可包括介電材料,例如是四乙氧基矽烷(TEOS)。介電層154的形成步驟可包括:在基底100上形成介電材料;以及進行平坦化製程(例如CMP製程)以暴露出罩幕圖案144的頂面144t。Referring to FIG. 1G and FIG. 1H , a dielectric layer 154 is formed in the second opening 12 . In one embodiment, the material of the dielectric layer 154 may include a dielectric material, such as tetraethoxysilane (TEOS). The steps of forming the dielectric layer 154 may include: forming a dielectric material on the substrate 100 ; and performing a planarization process (eg, a CMP process) to expose the top surface 144t of the mask pattern 144 .

請參照圖1I,進行回蝕刻製程160,移除部分襯層152、部分介電層154以及罩幕圖案144,進而暴露出虛擬接觸窗130a的頂面130t與保護層142a的頂面142t。在一實施例中,回蝕刻製程160可以是乾式蝕刻製程,例如是反應性離子蝕刻(RIE)製程。在本實施例中,回蝕刻製程160對於罩幕圖案144具有高蝕刻選擇性,因此,由氮化矽所構成的罩幕圖案144可被完全移除。在此情況下,回蝕刻製程160可停在由多晶矽所構成的虛擬接觸窗130a與由氧化矽所構成的保護層142a上。如圖1I所示,虛擬接觸窗130a可形成在第一閘極結構110之間,且通過間隙壁102與第一閘極結構110分隔。1I, an etch-back process 160 is performed to remove part of the liner 152, part of the dielectric layer 154 and the mask pattern 144, thereby exposing the top surface 130t of the dummy contact 130a and the top surface 142t of the protective layer 142a. In one embodiment, the etch back process 160 may be a dry etching process, such as a reactive ion etching (RIE) process. In the present embodiment, the etch-back process 160 has a high etch selectivity for the mask pattern 144, and thus, the mask pattern 144 made of silicon nitride can be completely removed. In this case, the etch-back process 160 can stop on the dummy contact 130a formed of polysilicon and the protective layer 142a formed of silicon oxide. As shown in FIG. 1I , dummy contacts 130 a may be formed between the first gate structures 110 and separated from the first gate structures 110 by spacers 102 .

值得注意的是,本實施例將停止層的上部由氮化矽替換為氧化矽,因此,此由氧化矽所構成的保護層142a可保護由氮化矽所構成的停止層132a免受回蝕刻製程160的侵蝕而形成凹槽。在此實施例中,停止層132a與保護層142a可視為保護結構162。保護結構162可共形地覆蓋與第二區R2相鄰的第一閘極結構110的側壁110s,且可夾置在層間介電層134與間隙壁102之間。從另一角度來看,保護結構162可具有下部(即停止層132a)與配置在下部132a上的上部(即保護層142a)。下部132a與上部142a之間的界面S1可高於第一閘極結構110的頂面110t。上部142a的頂面142t可外露於層間介電層134。下部132a與上部142a可具有不同介電材料,且上部142a與罩幕圖案144(圖1H)可具有相同材料。舉例來說,下部132a的材料包括氮化矽,而上部142a與罩幕圖案144的材料包括氮化矽。It is worth noting that, in this embodiment, the upper part of the stop layer is replaced by silicon nitride with silicon oxide. Therefore, the protective layer 142a formed of silicon oxide can protect the stop layer 132a formed of silicon nitride from etching back The etching process 160 forms grooves. In this embodiment, the stop layer 132 a and the protective layer 142 a can be regarded as the protective structure 162 . The protection structure 162 may conformally cover the sidewall 110s of the first gate structure 110 adjacent to the second region R2 and may be sandwiched between the interlayer dielectric layer 134 and the spacer 102 . From another perspective, the protection structure 162 may have a lower portion (ie, the stop layer 132 a ) and an upper portion (ie, the protection layer 142 a ) disposed on the lower portion 132 a . The interface S1 between the lower portion 132 a and the upper portion 142 a may be higher than the top surface 110 t of the first gate structure 110 . The top surface 142t of the upper portion 142a may be exposed to the interlayer dielectric layer 134 . The lower portion 132a and the upper portion 142a may have different dielectric materials, and the upper portion 142a and the mask pattern 144 (FIG. 1H) may be of the same material. For example, the material of the lower portion 132a includes silicon nitride, and the material of the upper portion 142a and the mask pattern 144 includes silicon nitride.

請參照圖1I與圖1J,進行替換製程(replacement process)以將虛擬接觸窗130a替換為金屬接觸窗230。具體來說,移除虛擬接觸窗130a及其下方的部分的間隙壁102,以形成暴露出基底100的多個接觸窗開口。接著,形成導體材料以填入接觸窗開口中。在一實施例中,上述的導體材料與犧牲材料130不同。上述的導體材料可包括金屬材料(例如是W、Cu、AlCu等)、阻障金屬(例如是Ti、TiN、Ta、TaN等)或其組合,其形成方法可以是電鍍法、物理氣相沉積法(PVD)、化學氣相沉積法等合適形成方法。然後,進行平坦化製程(例如CMP製程)以移除介電層154、層間介電層134以及保護層142a上方的多餘導體材料,進而形成源極接觸窗SC與汲極接觸窗DC。在此情況下,保護層142a的頂面142t可與源極接觸窗SC的頂面SCt以及汲極接觸窗DC的頂面DCt實質上共平面。也就是說,在進行CMP製程之後,不會有任何金屬殘留物形成在晶胞區R1與周邊區R2之間的停止層132a的上方。因此,本實施例可避免在源極接觸窗SC以及/或汲極接觸窗DC之間形成漏電路徑,進而提升半導體元件1的良率。Referring to FIGS. 1I and 1J , a replacement process is performed to replace the dummy contacts 130 a with metal contacts 230 . Specifically, the dummy contact window 130 a and a portion of the spacer 102 thereunder are removed to form a plurality of contact window openings exposing the substrate 100 . Next, a conductor material is formed to fill the contact openings. In one embodiment, the aforementioned conductor material is different from the sacrificial material 130 . The above-mentioned conductor materials may include metal materials (such as W, Cu, AlCu, etc.), barrier metals (such as Ti, TiN, Ta, TaN, etc.) or combinations thereof, and the formation methods may be electroplating, physical vapor deposition, etc. Suitable formation methods such as PVD, chemical vapor deposition, etc. Then, a planarization process (eg, a CMP process) is performed to remove excess conductor material above the dielectric layer 154, the interlayer dielectric layer 134 and the protective layer 142a, thereby forming the source contact SC and the drain contact DC. In this case, the top surface 142t of the protective layer 142a may be substantially coplanar with the top surface SCt of the source contact SC and the top surface DCt of the drain contact DC. That is, after the CMP process, no metal residue will be formed above the stop layer 132a between the unit cell region R1 and the peripheral region R2. Therefore, the present embodiment can avoid forming a leakage path between the source contact window SC and/or the drain contact window DC, thereby improving the yield of the semiconductor device 1 .

在一實施例中,源極接觸窗SC可配置在第一閘極結構110的第一側,而汲極接觸窗DC可配置在第一閘極結構110的相對於第一側的第二側。也就是說,源極接觸窗SC與汲極接觸窗DC可沿著平行於基底100的方向D1交替配置。此外,如圖1J所示,源極接觸窗SC與汲極接觸窗DC可通過間隙壁102與第一閘極結構110分隔。In one embodiment, the source contact window SC may be disposed on the first side of the first gate structure 110 , and the drain contact window DC may be disposed on the second side of the first gate structure 110 relative to the first side. . That is, the source contact windows SC and the drain contact windows DC may be alternately arranged along the direction D1 parallel to the substrate 100 . In addition, as shown in FIG. 1J , the source contact window SC and the drain contact window DC may be separated from the first gate structure 110 by the spacer 102 .

圖2是本發明第二實施例的一種半導體元件的上視示意圖。FIG. 2 is a schematic top view of a semiconductor device according to a second embodiment of the present invention.

請參照圖2,本發明第二實施例提供一種半導體元件2包括基底100、多個第一閘極結構110、多個源極接觸窗SC、多個汲極接觸窗DC以及多個控制閘極接觸窗CC,基底100包括第一區R1與第二區R2’。在一實施例中,第一區R1可以是晶胞區,而第二區R2’可以是接縫區(stitch region)。接縫區R2可配置在相鄰兩個晶胞區R1之間。Referring to FIG. 2, a second embodiment of the present invention provides a semiconductor device 2 including a substrate 100, a plurality of first gate structures 110, a plurality of source contacts SC, a plurality of drain contacts DC, and a plurality of control gates For the contact window CC, the substrate 100 includes a first region R1 and a second region R2'. In one embodiment, the first region R1 may be a unit cell region, and the second region R2' may be a stitch region. The seam region R2 may be disposed between two adjacent unit cell regions R1.

第一閘極結構110可配置在晶胞區R1的基底100上,其配置與材料已於上述實施例詳細描述過,於此便不再贅述。在一實施例中,源極接觸窗SC可配置在第一閘極結構110的第一側,而汲極接觸窗DC可配置在第一閘極結構110的相對於第一側的第二側。也就是說,源極接觸窗SC與汲極接觸窗DC可沿著X方向交替配置。值得注意的是,源極接觸窗SC與汲極接觸窗DC在上視角度中具有不同形狀。具體來說,如圖2所示,源極接觸窗SC可以是沿著Y方向延伸的條狀圖案。汲極接觸窗DC可以是沿著Y方向交替排列的多個點狀圖案,且多個點狀圖案彼此分隔。源極接觸窗SC與汲極接觸窗DC可沿著Z方向延伸,並與基底100接觸,如圖1J所示。The first gate structure 110 can be disposed on the substrate 100 of the unit cell region R1 , and the configuration and material of the first gate structure 110 have been described in detail in the above embodiments, and will not be repeated here. In one embodiment, the source contact window SC may be disposed on the first side of the first gate structure 110 , and the drain contact window DC may be disposed on the second side of the first gate structure 110 relative to the first side. . That is, the source contact windows SC and the drain contact windows DC may be alternately arranged along the X direction. It is worth noting that the source contact SC and the drain contact DC have different shapes in the top view. Specifically, as shown in FIG. 2 , the source contact window SC may be a stripe pattern extending along the Y direction. The drain contact window DC may be a plurality of dot patterns alternately arranged along the Y direction, and the plurality of dot patterns are separated from each other. The source contact window SC and the drain contact window DC may extend along the Z direction and be in contact with the substrate 100 , as shown in FIG. 1J .

另外,控制閘極接觸窗CC可配置在接縫區R2’的基底100上,以電性連接第一閘極結構110的控制閘極118(如圖1J所示)。具體來說,控制閘極接觸窗CC可配置在源極接觸窗SC與汲極接觸窗DC之間的第一閘極結構110的延伸方向上。控制閘極接觸窗CC可沿著X方向交錯排列。In addition, the control gate contact window CC may be disposed on the substrate 100 in the seam region R2' to electrically connect the control gate 118 of the first gate structure 110 (as shown in FIG. 1J ). Specifically, the control gate contact window CC may be disposed in the extending direction of the first gate structure 110 between the source contact window SC and the drain contact window DC. The control gate contacts CC may be staggered along the X direction.

圖3A至圖3E是沿著圖2之A-A’線的半導體元件2的製造流程的剖面示意圖。3A to 3E are schematic cross-sectional views of the manufacturing process of the semiconductor device 2 along the line A-A' in FIG. 2 .

請參照圖3A,在進行第一蝕刻製程140以形成第一開口12(如圖1B所示)的同時,亦可凹蝕晶胞區R1與接縫區R2’之間的停止層132,進而形成第三開口14。接著,形成保護材料142,以覆蓋犧牲材料130的頂面130t、層間介電層134的頂面134t並填入第三開口14中。值得注意的是,第三開口14的側壁14s與底面14bt之間的夾角θ1為銳角,且第三開口14具有高深寬比。因此,在本實施例中,可利用增強高深寬比溝填製程(eHARP)來形成保護材料142,進而減少第三開口14中的保護材料142的孔洞(voids)並提高保護材料142的緻密度。在本實施例中,夾角θ1可介於0度至87度之間,例如65度。第三開口14的深寬比可介於5至3.25之間,例如4。Referring to FIG. 3A , while the first etching process 140 is performed to form the first opening 12 (as shown in FIG. 1B ), the stop layer 132 between the unit cell region R1 and the seam region R2 ′ can also be etched, and further The third opening 14 is formed. Next, a protective material 142 is formed to cover the top surface 130t of the sacrificial material 130 and the top surface 134t of the interlayer dielectric layer 134 and fill in the third opening 14 . It should be noted that the angle θ1 between the side wall 14s and the bottom surface 14bt of the third opening 14 is an acute angle, and the third opening 14 has a high aspect ratio. Therefore, in the present embodiment, the protection material 142 can be formed by the enhanced high aspect ratio trench filling process (eHARP), thereby reducing the voids of the protection material 142 in the third opening 14 and increasing the density of the protection material 142 . In this embodiment, the included angle θ1 may be between 0 degrees and 87 degrees, for example, 65 degrees. The aspect ratio of the third opening 14 may be between 5 and 3.25, eg, 4.

請參照圖3A與圖3B,進行平坦化製程(例如CMP製程),以移除犧牲材料130的頂面130t上的保護材料142、層間介電層134的頂面134t上的保護材料142以及部分層間介電層134,進而在第三開口14中形成保護層242。在此情況下,犧牲材料130的頂面130t、保護層242的頂面242t以及層間介電層134的頂面134t可視為共平面。3A and 3B, a planarization process (eg, a CMP process) is performed to remove the protective material 142 on the top surface 130t of the sacrificial material 130, the protective material 142 on the top surface 134t of the interlayer dielectric layer 134, and part The interlayer dielectric layer 134 is formed, and the protective layer 242 is formed in the third opening 14 . In this case, the top surface 130t of the sacrificial material 130, the top surface 242t of the protective layer 242, and the top surface 134t of the interlayer dielectric layer 134 may be considered to be coplanar.

請參照圖3C,圖案化犧牲材料130,以於第一閘極結構110之間形成多個虛擬接觸窗130a。具體來說,如圖3C所示,在基底100上形成罩幕圖案144。罩幕圖案144可覆蓋保護層242的頂面242t。接著,以罩幕圖案144為罩幕,進行第二蝕刻製程150,移除部分犧牲材料130,進而形成多個第二開口12(如圖1F所示)。在此實施例中,沿著A-A’線的結構會被罩幕圖案144所覆蓋,因此,並未在圖3C中示出第二開口12。Referring to FIG. 3C , the sacrificial material 130 is patterned to form a plurality of dummy contacts 130 a between the first gate structures 110 . Specifically, as shown in FIG. 3C , a mask pattern 144 is formed on the substrate 100 . The mask pattern 144 may cover the top surface 242t of the protective layer 242 . Next, using the mask pattern 144 as a mask, a second etching process 150 is performed to remove part of the sacrificial material 130 , thereby forming a plurality of second openings 12 (as shown in FIG. 1F ). In this embodiment, the structures along the line A-A' are covered by the mask pattern 144, so the second opening 12 is not shown in FIG. 3C.

請參照圖3D,在形成襯層152與介電層154(如圖1H所示)之後,進行回蝕刻製程160,移除罩幕圖案144,進而暴露出虛擬接觸窗130a的頂面130t與保護層242的頂面242t。在本實施例中,回蝕刻製程160對於罩幕圖案144具有高蝕刻選擇性,因此,由氮化矽所構成的罩幕圖案144可被完全移除。在此情況下,回蝕刻製程160可停在由多晶矽所構成的虛擬接觸窗130a與由氧化矽所構成的保護層242上。Referring to FIG. 3D , after forming the liner layer 152 and the dielectric layer 154 (as shown in FIG. 1H ), an etch-back process 160 is performed to remove the mask pattern 144 , thereby exposing the top surface 130t and the protection of the dummy contact window 130a Top surface 242t of layer 242. In the present embodiment, the etch-back process 160 has a high etch selectivity for the mask pattern 144, and thus, the mask pattern 144 made of silicon nitride can be completely removed. In this case, the etch-back process 160 may stop on the dummy contact 130a formed of polysilicon and the protective layer 242 formed of silicon oxide.

請參照圖3E,進行替換製程以將虛擬接觸窗130a替換為金屬接觸窗230。具體來說,移除虛擬接觸窗130a及其下方的間隙壁,以形成暴露出基底100的多個接觸窗開口。接著,形成導體材料以填入接觸窗開口中。然後,進行平坦化製程(例如CMP製程)以移除層間介電層134以及保護層242上方的多餘導體材料,進而形成金屬接觸窗230(亦即,源極接觸窗SC與汲極接觸窗DC)。在此情況下,保護層242的頂面242t可與金屬接觸窗230的頂面230t以及層間介電層134的頂面134t實質上共平面。在此實施例中,停止層132a與保護層242可視為保護結構262。保護結構262可具有下部(即停止層132a)與配置在下部132a上的上部(即保護層242)。在一實施例中,保護結構262的側壁與基底100的頂面之間的夾角θ2為銳角。在本實施例中,夾角θ2可介於0度至87度之間,例如65度。Referring to FIG. 3E , a replacement process is performed to replace the dummy contacts 130 a with the metal contacts 230 . Specifically, the dummy contact windows 130 a and the spacers thereunder are removed to form a plurality of contact window openings exposing the substrate 100 . Next, a conductor material is formed to fill the contact openings. Then, a planarization process (such as a CMP process) is performed to remove the excess conductor material above the interlayer dielectric layer 134 and the protective layer 242, thereby forming the metal contact window 230 (ie, the source contact window SC and the drain contact window DC) ). In this case, the top surface 242t of the protective layer 242 may be substantially coplanar with the top surface 230t of the metal contact window 230 and the top surface 134t of the interlayer dielectric layer 134 . In this embodiment, the stop layer 132 a and the protective layer 242 can be regarded as the protective structure 262 . The protective structure 262 may have a lower portion (ie, the stop layer 132 a ) and an upper portion (ie, the protective layer 242 ) disposed on the lower portion 132 a . In one embodiment, the included angle θ2 between the sidewall of the protection structure 262 and the top surface of the substrate 100 is an acute angle. In this embodiment, the included angle θ2 may be between 0 degrees and 87 degrees, for example, 65 degrees.

值得注意的是,本實施例可將停止層132a的上部由氮化矽替換為氧化矽,因此,此由氧化矽所構成的保護層242可保護由氮化矽所構成的停止層132a免受回蝕刻製程160的侵蝕而形成凹槽。在此情況下,在進行接觸窗替換製程之後,不會有任何金屬殘留物形成在晶胞區R1與接縫區R2’之間的停止層132a的上方。因此,本實施例可避免在源極接觸窗SC以及/或汲極接觸窗DC之間形成漏電路徑,進而提升半導體元件2的良率。It is worth noting that, in this embodiment, the upper part of the stop layer 132a can be replaced by silicon nitride with silicon oxide. Therefore, the protective layer 242 formed of silicon oxide can protect the stop layer 132a formed of silicon nitride from The grooves are formed by the erosion of the etch-back process 160 . In this case, after the contact window replacement process is performed, no metal residue is formed over the stop layer 132a between the unit cell region R1 and the seam region R2'. Therefore, the present embodiment can avoid forming a leakage path between the source contact windows SC and/or the drain contact windows DC, thereby improving the yield of the semiconductor device 2 .

綜上所述,本發明實施例藉由將保護結構形成在晶胞區與接縫區之間以及/或在晶胞區與周邊區之間,以避免回蝕刻製程期間所導致的停止層的損耗。在此情況下,在進行接觸窗替換製程之後,金屬鎢不會殘留在接縫區周圍或是周邊電路區周圍。因此,本發明實施例可避免鎢殘留物所形成的源極/汲極接觸窗之間的漏電路徑,進而提升半導體元件的良率。To sum up, in the embodiments of the present invention, the protection structure is formed between the unit cell area and the seam area and/or between the unit cell area and the peripheral area to avoid the formation of the stop layer during the etch-back process. loss. In this case, after the contact window replacement process, the metal tungsten will not remain around the seam area or around the peripheral circuit area. Therefore, the embodiments of the present invention can avoid the leakage paths between the source/drain contacts formed by the tungsten residues, thereby improving the yield of the semiconductor device.

1、2:半導體元件 1a:初始結構 10:第一開口 10bt:底面 10d:深度 10w:寬度 12:第二開口 14:第三開口 14bt:底面 14s:側壁 100:基底 102、126:間隙壁 102s、110s:側壁 104、108:氧化矽層 106:氮化矽層 110:第一閘極結構 112:穿隧介電層 114:浮置閘極 116:閘間介電層 118:控制閘極 119:頂蓋層 120:第二閘極結構 122:閘介電層 124:閘電極 130:犧牲材料 130a:虛擬接觸窗 130t、132t、134t、142t、230t、242t、DCt、SCt:頂面 132、132a:停止層(下部) 134:層間介電層 140:第一蝕刻製程 142:保護材料 142a、242:保護層(上部) 144:虛擬接觸窗 150:第二蝕刻製程 152:襯層 154:介電層 160:回蝕刻製程 162、262:保護結構 230:金屬接觸窗 D1、X、Y、Z:方向 DC:汲極接觸窗 S1:界面 SC:源極接觸窗 R1:第一區(晶胞區) R2:第二區(周邊區) R2’:第二區(接縫區) θ1、θ2:夾角 1, 2: Semiconductor components 1a: Initial structure 10: The first opening 10bt: Underside 10d: Depth 10w: width 12: Second opening 14: The third opening 14bt: Underside 14s: Sidewall 100: base 102, 126: Spacers 102s, 110s: Sidewall 104, 108: Silicon oxide layer 106: Silicon nitride layer 110: The first gate structure 112: Tunneling Dielectric Layer 114: floating gate 116: Intergate dielectric layer 118: Control gate 119: Cap layer 120: Second gate structure 122: gate dielectric layer 124: Gate electrode 130: Sacrificial Materials 130a: Virtual Contact Window 130t, 132t, 134t, 142t, 230t, 242t, DCt, SCt: Top surface 132, 132a: stop layer (lower part) 134: Interlayer dielectric layer 140: The first etching process 142: Protective Materials 142a, 242: protective layer (upper) 144: Virtual Contact Window 150: The second etching process 152: Liner 154: Dielectric layer 160: Etch back process 162, 262: Protection structure 230: Metal Contact Window D1, X, Y, Z: direction DC: drain contact window S1: Interface SC: source contact window R1: The first area (unit cell area) R2: The second area (surrounding area) R2': The second area (joint area) θ1, θ2: included angle

圖1A至圖1J是本發明第一實施例的半導體元件之製造流程的剖面示意圖。 圖2是本發明第二實施例的一種半導體元件的上視示意圖。 圖3A至圖3E是沿著圖2之A-A’線的半導體元件的製造流程的剖面示意圖。 1A to 1J are schematic cross-sectional views of the manufacturing process of the semiconductor device according to the first embodiment of the present invention. FIG. 2 is a schematic top view of a semiconductor device according to a second embodiment of the present invention. 3A to 3E are schematic cross-sectional views of the manufacturing process of the semiconductor device along the line A-A' of FIG. 2 .

2:半導體元件 2: Semiconductor components

100:基底 100: base

132a:停止層(下部) 132a: stop layer (lower part)

134:層間介電層 134: Interlayer dielectric layer

134t、230t、242t:頂面 134t, 230t, 242t: top surface

230:金屬接觸窗 230: Metal Contact Window

242:保護層(上部) 242: Protective layer (upper)

262:保護結構 262: Protection Structure

θ2:夾角 θ2: included angle

Claims (12)

一種半導體元件,包括:基底,包括第一區與第二區;多個第一閘極結構,配置在所述第一區的所述基底上;保護結構,共形地覆蓋與所述第二區相鄰的所述多個第一閘極結構中的一者的側壁,其中所述保護結構包括:下部;以及上部,配置在所述下部上,其中所述下部與所述上部具有不同介電材料;源極接觸窗,配置在所述多個第一閘極結構的第一側;以及汲極接觸窗,配置在所述多個第一閘極結構的相對於所述第一側的第二側,其中所述源極接觸窗與所述汲極接觸窗在上視角度中具有不同形狀。 A semiconductor element, comprising: a substrate including a first region and a second region; a plurality of first gate structures disposed on the substrate in the first region; a protection structure conformally covering the second region a sidewall of one of the plurality of first gate structures adjacent to the region, wherein the protection structure includes: a lower portion; and an upper portion disposed on the lower portion, wherein the lower portion and the upper portion have different distances an electrical material; a source contact window disposed on a first side of the plurality of first gate structures; and a drain contact window disposed on the first side of the plurality of first gate structures relative to the first side The second side, wherein the source contact and the drain contact have different shapes in top view. 如請求項1所述的半導體元件,其中所述上部的材料包括氧化矽,且所述下部的材料包括氮化矽。 The semiconductor element of claim 1, wherein the material of the upper portion includes silicon oxide, and the material of the lower portion includes silicon nitride. 如請求項1所述的半導體元件,其中所述第一區為晶胞區,所述第二區為周邊區,所述半導體元件更包括至少一第二閘極結構配置在所述周邊區的所述基底上。 The semiconductor device according to claim 1, wherein the first region is a unit cell region, the second region is a peripheral region, and the semiconductor device further comprises at least one second gate structure disposed in the peripheral region on the substrate. 如請求項3所述的半導體元件,更包括:間隙壁,共形地覆蓋所述多個第一閘極結構的表面,其中所述源極接觸窗與所述汲極接觸窗通過所述間隙壁與所述多個第一閘極結構分隔;以及 層間介電層,配置在所述周邊區的所述至少一第二閘極結構上,其中所述保護結構夾置在所述層間介電層與所述間隙壁之間,且所述保護結構的所述上部的頂面外露於所述層間介電層。 The semiconductor device according to claim 3, further comprising: spacers that conformally cover the surfaces of the plurality of first gate structures, wherein the source contacts and the drain contacts pass through the gaps a wall spaced apart from the plurality of first gate structures; and an interlayer dielectric layer disposed on the at least one second gate structure in the peripheral region, wherein the protection structure is sandwiched between the interlayer dielectric layer and the spacer, and the protection structure The top surface of the upper portion is exposed to the interlayer dielectric layer. 如請求項1所述的半導體元件,其中所述第一區為晶胞區,所述第二區為接縫區,所述半導體元件更包括多個控制閘極接觸窗配置在所述接縫區的所述基底上,以電性連接所述控制閘極。 The semiconductor device according to claim 1, wherein the first region is a unit cell region, the second region is a seam region, and the semiconductor device further comprises a plurality of control gate contacts disposed on the seam On the substrate of the region, the control gate is electrically connected. 如請求項5所述的半導體元件,其中所述保護結構的側壁與所述基底的頂面之間的夾角為銳角。 The semiconductor device according to claim 5, wherein the included angle between the sidewall of the protection structure and the top surface of the substrate is an acute angle. 如請求項1所述的半導體元件,其中所述下部與所述上部之間的界面高於所述多個第一閘極結構的頂面。 The semiconductor element of claim 1, wherein an interface between the lower portion and the upper portion is higher than top surfaces of the plurality of first gate structures. 一種半導體元件的形成方法,包括:提供包括晶胞區與周邊區的基底;在所述晶胞區的所述基底上形成多個第一閘極結構;在所述周邊區的所述基底上形成至少一第二閘極結構;在所述晶胞區的所述多個第一閘極結構上形成犧牲材料;形成停止層以共形覆蓋所述至少一第二閘極結構、與所述周邊區相鄰的所述多個第一閘極結構中的一者的側壁以及所述犧牲材料的表面;在所述周邊區的所述停止層上形成層間介電層;進行第一蝕刻製程,移除所述犧牲材料的頂面上的所述停止層且進一步凹蝕所述犧牲材料與所述層間介電層之間的所述停止 層,進而形成第一開口;形成保護層以填入所述第一開口,其中所述保護層與所述停止層具有不同介電材料;以及圖案化所述犧牲材料,以於所述多個第一閘極結構之間形成多個虛擬接觸窗。 A method for forming a semiconductor element, comprising: providing a substrate including a unit cell region and a peripheral region; forming a plurality of first gate structures on the substrate in the unit cell region; and forming a plurality of first gate structures on the substrate in the peripheral region forming at least one second gate structure; forming a sacrificial material on the plurality of first gate structures in the unit cell region; forming a stop layer to conformally cover the at least one second gate structure, and the a sidewall of one of the plurality of first gate structures adjacent to the peripheral region and the surface of the sacrificial material; forming an interlayer dielectric layer on the stop layer in the peripheral region; performing a first etching process , remove the stop layer on the top surface of the sacrificial material and further etch the stop between the sacrificial material and the interlayer dielectric layer layer to form a first opening; forming a protective layer to fill the first opening, wherein the protective layer and the stop layer have different dielectric materials; and patterning the sacrificial material so that the plurality of A plurality of dummy contact windows are formed between the first gate structures. 如請求項8所述的半導體元件的形成方法,其中所述圖案化所述犧牲材料包括:在所述基底上形成罩幕圖案;以所述罩幕圖案為罩幕,進行第二蝕刻製程,移除部分所述犧牲材料,進而形成多個第二開口;在所述多個第二開口中形成介電層;以及進行回蝕刻製程,移除部分所述介電層以及所述罩幕圖案,進而暴露出所述多個虛擬接觸窗的頂面與所述保護層的頂面。 The method for forming a semiconductor device according to claim 8, wherein the patterning of the sacrificial material comprises: forming a mask pattern on the substrate; using the mask pattern as a mask, performing a second etching process, Part of the sacrificial material is removed to form a plurality of second openings; a dielectric layer is formed in the plurality of second openings; and an etch-back process is performed to remove part of the dielectric layer and the mask pattern , thereby exposing the top surfaces of the plurality of dummy contact windows and the top surface of the protective layer. 如請求項8所述的半導體元件的形成方法,其中在形成所述犧牲材料之前,所述形成方法更包括:形成間隙壁,以共形地覆蓋所述多個第一閘極結構的表面,且所述多個虛擬接觸窗通過所述間隙壁與所述多個第一閘極結構分隔。 The method for forming a semiconductor element according to claim 8, wherein before forming the sacrificial material, the forming method further comprises: forming spacers to conformally cover the surfaces of the plurality of first gate structures, And the plurality of dummy contact windows are separated from the plurality of first gate structures by the spacers. 如請求項10所述的半導體元件的形成方法,更包括:移除所述多個虛擬接觸窗及其下方的所述間隙壁,以形成暴露出所述基底的多個接觸窗開口;以及將導體材料填入所述多個接觸窗開口中,以形成源極接觸窗 與汲極接觸窗,其中所述源極接觸窗與所述汲極接觸窗在上視角度中具有不同形狀。 The method for forming a semiconductor device according to claim 10, further comprising: removing the plurality of dummy contact windows and the spacers below them to form a plurality of contact window openings exposing the substrate; and Conductive material is filled into the plurality of contact openings to form source contacts and drain contacts, wherein the source contacts and the drain contacts have different shapes in top view. 如請求項8所述的半導體元件的形成方法,其中所述第一開口的深寬比介於5至3.25之間。 The method for forming a semiconductor element according to claim 8, wherein the aspect ratio of the first opening is between 5 and 3.25.
TW110120602A 2021-06-07 2021-06-07 Semiconductor device and method of forming the same TWI774410B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW110120602A TWI774410B (en) 2021-06-07 2021-06-07 Semiconductor device and method of forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW110120602A TWI774410B (en) 2021-06-07 2021-06-07 Semiconductor device and method of forming the same

Publications (2)

Publication Number Publication Date
TWI774410B true TWI774410B (en) 2022-08-11
TW202249256A TW202249256A (en) 2022-12-16

Family

ID=83807108

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110120602A TWI774410B (en) 2021-06-07 2021-06-07 Semiconductor device and method of forming the same

Country Status (1)

Country Link
TW (1) TWI774410B (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120241838A1 (en) * 2011-03-24 2012-09-27 Kabushiki Kaisha Toshiba Semiconductor storage device and method for manufacturing the same
TW201519367A (en) * 2013-11-05 2015-05-16 Winbond Electronics Corp Method for fabricating a memory
TW201528346A (en) * 2014-01-09 2015-07-16 Macronix Int Co Ltd Semiconductor device and method of fabricating the same
TW202109626A (en) * 2019-08-30 2021-03-01 華邦電子股份有限公司 Memory device and manufacturing method thereof
TW202121600A (en) * 2019-11-20 2021-06-01 華邦電子股份有限公司 Method for manufacturing memory device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120241838A1 (en) * 2011-03-24 2012-09-27 Kabushiki Kaisha Toshiba Semiconductor storage device and method for manufacturing the same
TW201519367A (en) * 2013-11-05 2015-05-16 Winbond Electronics Corp Method for fabricating a memory
TW201528346A (en) * 2014-01-09 2015-07-16 Macronix Int Co Ltd Semiconductor device and method of fabricating the same
TW202109626A (en) * 2019-08-30 2021-03-01 華邦電子股份有限公司 Memory device and manufacturing method thereof
TW202121600A (en) * 2019-11-20 2021-06-01 華邦電子股份有限公司 Method for manufacturing memory device

Also Published As

Publication number Publication date
TW202249256A (en) 2022-12-16

Similar Documents

Publication Publication Date Title
US6781193B2 (en) Non-volatile memory device having floating trap type memory cell and method of forming the same
TWI686928B (en) Integrated circuit and method for forming same
KR100683867B1 (en) Semiconductor devices and methods of forming the same
KR102528111B1 (en) Semiconductor device
KR102609518B1 (en) Method of forming semiconductor device
KR20150139223A (en) Semiconductor device
US7888804B2 (en) Method for forming self-aligned contacts and local interconnects simultaneously
JP2013201184A (en) Semiconductor storage device manufacturing method
CN110931457A (en) Semiconductor device including multi-stack structure
KR100764746B1 (en) Nonvolatile memory device and method of forming the same
CN106409837B (en) Memory and manufacturing method thereof
TWI548036B (en) Method of fabricating embedded memory device
JP5090619B2 (en) Semiconductor device and manufacturing method thereof
TWI685085B (en) Memory device and method of manufacturing the same
TWI774410B (en) Semiconductor device and method of forming the same
US9129858B2 (en) Semiconductor device
JP2006310454A (en) Semiconductor device and manufacturing method thereof
KR100757337B1 (en) Charge trap type non-volatile memory device and method for manufacturing the same
TWI543304B (en) Embedded memory device and method of fabricating the same
KR20120138875A (en) Wiring structures and methods of manufacturing the same
TWI497650B (en) Memory and manufacturing method thereof
CN115528035A (en) Semiconductor assembly and forming method thereof
US20230017264A1 (en) Semiconductor device and method of forming the same
CN106992178B (en) Memory element and manufacturing method thereof
TWI678796B (en) Memory device and method of manufacturing the same