TWI766949B - Advanced lithography and self-assembled devices - Google Patents

Advanced lithography and self-assembled devices Download PDF

Info

Publication number
TWI766949B
TWI766949B TW107106019A TW107106019A TWI766949B TW I766949 B TWI766949 B TW I766949B TW 107106019 A TW107106019 A TW 107106019A TW 107106019 A TW107106019 A TW 107106019A TW I766949 B TWI766949 B TW I766949B
Authority
TW
Taiwan
Prior art keywords
spacers
layer
lines
metal
ild
Prior art date
Application number
TW107106019A
Other languages
Chinese (zh)
Other versions
TW201936486A (en
Inventor
理查 史肯克
羅伯特 布里斯托
啟文 林
弗羅瑞恩 格斯坦
詹姆士 布雷克威爾
瑪麗 克里薩克
曼尼許 錢霍克
保羅 奈赫斯
查理斯 沃蘭斯
克提斯 華德
史汪米納森 席發庫瑪
伊利耶特 譚
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Priority to TW107106019A priority Critical patent/TWI766949B/en
Publication of TW201936486A publication Critical patent/TW201936486A/en
Application granted granted Critical
Publication of TWI766949B publication Critical patent/TWI766949B/en

Links

Images

Landscapes

  • Materials For Photolithography (AREA)
  • Ship Loading And Unloading (AREA)
  • Heterocyclic Compounds That Contain Two Or More Ring Oxygen Atoms (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Advanced lithography techniques including sub-10nm pitch patterning and structures resulting therefrom are described. Self-assembled devices and their methods of fabrication are described.

Description

先進微影及自聚合裝置Advanced lithography and self-polymerization device

本發明之實施例係於半導體裝置及處理之領域中,而特別地,係有關次10nm節距圖案化及自聚合裝置。Embodiments of the invention are in the field of semiconductor devices and processing, and in particular, sub-10 nm pitch patterning and self-polymerizing devices.

於過去數十年,積體電路中之特徵的擴縮(scaling)已是不斷成長的半導體工業背後之驅動力。定標至越來越小的特徵致能了半導體晶片之有限表面上的功能性單元之增加的密度。例如,縮小電晶體尺寸容許在晶片上結合增加數目的記憶體或邏輯裝置,導致增加生產能力之產品的製造。然而,對於越來越多的容量之慾望並不是沒有問題的。將各裝置之性能最佳化的需求變得越來越重要。Scaling of features in integrated circuits has been the driving force behind the growing semiconductor industry over the past few decades. Scaling to smaller and smaller features enables an increased density of functional units on a limited surface of a semiconductor wafer. For example, shrinking transistor size allows an increased number of memory or logic devices to be incorporated on a chip, resulting in the manufacture of products with increased throughput. However, the desire for more and more capacity is not without problems. The need to optimize the performance of each device is becoming more and more important.

傳統及目前已知的製造程序中之變化性可能限制將其進一步延伸入次10nm的範圍之可能性。因此,針對未來科技節點所需之功能組件的製造可能需要引入新的方法學或者將新的科技集成於目前製造程序中或取代目前製造程序。Variability in traditional and currently known fabrication procedures may limit the possibility of extending it further into the sub-10 nm range. Therefore, the fabrication of functional components required for future technology nodes may require introducing new methodologies or integrating new technologies into or replacing current manufacturing processes.

and

描述先進節距圖案化及自聚合裝置,特別是用以產生次10奈米(nm)裝置和結構之先進節距圖案化技術及自聚合裝置製造方法。於下列描述中,提出多項特定細節,諸如特定集成及材料狀態,以提供本發明之實施例的透徹瞭解。熟悉此項技術人士將清楚本發明之實施例可被實行而無這些特定細節。於其他例子中,眾所周知的特徵(諸如積體電路設計佈局)未被詳細地描述,以免非必要地混淆本發明之實施例。再者,應理解其圖形中所示之各個實施例為說明性表示且不一定依比例描繪。Advanced pitch patterning and self-polymerizing devices are described, particularly advanced pitch patterning techniques and self-polymerizing device fabrication methods for producing sub-10 nanometer (nm) devices and structures. In the following description, numerous specific details are set forth, such as specific integrations and material states, in order to provide a thorough understanding of embodiments of the present invention. It will be apparent to those skilled in the art that embodiments of the invention may be practiced without these specific details. In other instances, well-known features, such as integrated circuit design layouts, have not been described in detail so as not to unnecessarily obscure embodiments of the invention. Furthermore, it should be understood that the various embodiments shown in the figures are illustrative representations and are not necessarily drawn to scale.

以下詳細說明僅為本質上說明性的且不欲限制請求標的之實施例或此等實施例之應用和使用。如文中所使用,文字「範例」指的是「作用為範圍、例子、或圖示」。文中所描述為範例之任何實施方式不一定被解讀為超越其他實施方式之較佳的或有利的。再者,並無意圖由先前技術領域、背景、簡單摘要或以下詳細說明中所提出之任何明確表達的或暗示性的理論所約束。The following detailed description is merely illustrative in nature and is not intended to limit the claimed subject matter embodiments or the application and uses of such embodiments. As used herein, the text "example" refers to "acting as a scope, instance, or illustration." Any implementation described herein as an example is not necessarily to be construed as preferred or advantageous over other implementations. Furthermore, there is no intention to be bound by any expressed or implied theory presented in the preceding technical field, background, brief abstract or the following detailed description.

本說明書包括對於「一實施例」或「實施例」之參考。術語「於一個實施例中」或「於實施例中」之出現不一定指稱相同的實施例。特定特徵、結構、或特性可被結合以任何符合本發明之適當的方式。This specification includes references to "an embodiment" or "an embodiment." The appearances of the terms "in one embodiment" or "in an embodiment" are not necessarily referring to the same embodiment. The particular features, structures, or characteristics may be combined in any suitable manner consistent with the present invention.

術語。以下段落係提供針對本說明書(包括後附申請專利範圍)中所發現之術語的定義及/或背景:the term. The following paragraphs provide definitions and/or background to terms found in this specification (including the appended claims):

「包含。」此術語為開放式結尾的。如後附申請專利範圍中所使用,此術語不排除額外的結構或步驟。"Contains." This term is open ended. As used in the appended claims, this term does not exclude additional structures or steps.

「組態成。」各個單元或組件可被描述或請求為「組態成」履行一工作或多數工作。於此等背景下,「組態成」被用以暗示結構,藉由指示其單元/組件係包括其於操作期間履行那些工作之結構。如此一來,單元/組件可被說是組態成履行該工作,即使當指明的單元/組件目前並未操作(例如,不是開啟/現用)時。闡述其單元/電路/組件被「組態成」履行一或更多工作是明確地表示不要引用35 U.S.C. §112(第六段)於該單元/組件。"Configured." Individual units or components may be described or requested to be "configured" to perform a job or jobs. In these contexts, "configured to" is used to imply structure by indicating that its unit/component includes the structure that performs those tasks during operation. As such, the unit/component can be said to be configured to perform the job even when the specified unit/component is not currently operating (eg, not on/active). To state that its unit/circuit/component is "configured" to perform one or more jobs is to expressly not refer to 35 U.S.C. §112 (sixth paragraph) for that unit/component.

「第一、」「第二、」等等。如文中所使用,這些術語被使用為在其後方之名詞的標示,且並未暗示任何類型的排序(例如,空間、時間、邏輯,等等)。例如,對於「第一」太陽能電池之參照不一定暗示其此太陽能電池為某一序列中之第一個太陽能電池;取而代之,術語「第一」被用以使此太陽能電池與其他太陽能電池(例如,「第二」太陽能電池)有區別。"First," "Second," and so on. As used herein, these terms are used to designate the nouns that follow them, and do not imply any type of ordering (eg, spatial, temporal, logical, etc.). For example, a reference to a "first" solar cell does not necessarily imply that this solar cell is the first solar cell in a sequence; instead, the term "first" is used to distinguish this solar cell from other solar cells such as , "second" solar cells) are different.

「耦合」-以下說明係指稱其被「耦合」在一起的元件或節點或特徵。如文中所使用,除非另有明確地聲明,「耦合」指的是其一元件/節點/特徵被直接地或間接地結合至(或者直接地或間接地通訊與)另一元件/節點/特徵,而不一定是機械地。"Coupled" - The following description refers to elements or nodes or features that are "coupled" together. As used herein, unless expressly stated otherwise, "coupled" means that one element/node/feature is directly or indirectly coupled to (or communicates directly or indirectly with) another element/node/feature , and not necessarily mechanically.

此外,某些術語亦可被用於以下描述中以僅供參考之目的,而因此不意欲為限制性的。例如,諸如「較高」、「較低」、「上方」、及「下方」係指稱該參考所應用之圖形中的方向。諸如「前」、「後」、「後方」、「側面」、「向外」、及「向內」等術語係描述參考之恆定(但任意)框內的組件之部分的定向及/或位置,其係藉由參考描述討論中組件之文字及相關圖形而變得清楚明白。此術語可包括以上所明確地提及之字語、其衍生詞、及類似含義的字語。In addition, certain terms may also be used in the following description for reference purposes only and are therefore not intended to be limiting. For example, terms such as "higher", "lower", "above", and "below" refer to directions in the drawing to which the reference applies. Terms such as "front," "rear," "rear," "side," "outward," and "inward" describe the orientation and/or position of portions of components within a referenced constant (but arbitrary) frame , which is made apparent by reference to the text and associated graphics describing the components in question. This term may include the words expressly mentioned above, derivatives thereof, and words of similar import.

「禁止」-如文中所使用,禁止被用以描述減少或縮小效果。當組件或特徵被描述為禁止行動、動作、或狀況時,其可完全地防止結果或後果或未來狀態。此外,「禁止」亦可指稱其可能另外地發生之後果、性能、及/或效果的減少或減輕。因此,當組件、元件、或特徵被指稱為禁止結果或狀態時,其無須完全地防止或去除該結果或狀態。"Prohibited" - As used herein, prohibited is used to describe a reduction or reduction effect. When a component or feature is described as prohibiting an action, action, or condition, it can completely prevent an outcome or consequence or future state. In addition, "prohibited" may also mean that a reduction or mitigation of the consequences, properties, and/or effects that may otherwise occur. Thus, when a component, element, or feature is referred to as a prohibited result or condition, it need not completely prevent or remove that effect or condition.

文中所述之實施例可針對前段製程(FEOL)半導體處理及結構。FEOL是積體電路(IC)製造之第一部分,其中個別裝置(例如,電晶體、電容、電阻,等等)被圖案化於半導體基底或層中。FEOL通常涵蓋直到(但不包括)金屬互連層之沈積的所有步驟。接續於最後FEOL操作後,其結果通常為具有隔離電晶體(例如,無任何佈線)之晶圓。Embodiments described herein may be directed to front end of line (FEOL) semiconductor processing and structures. FEOL is the first part of integrated circuit (IC) fabrication in which individual devices (eg, transistors, capacitors, resistors, etc.) are patterned in a semiconductor substrate or layer. FEOL generally covers all steps up to, but not including, the deposition of metal interconnect layers. Following the final FEOL operation, the result is typically a wafer with isolated transistors (eg, without any wiring).

文中所述之實施例可針對後段製程(BEOL)半導體處理及結構。BEOL為IC製造之第二部分,其中個別裝置(例如,電晶體、電容、電阻,等等)係與晶圓上之佈線(例如,金屬化層或多層)互連。BEOL包括接點、絕緣層(電介質)、金屬階、及用於晶片至封裝連接之接合部位。於製造階段之BEOL中,接點(墊)、互連佈線、通孔及電介質結構被形成。針對現代IC製程,於BEOL中可加入多於10個金屬層。以下所述之實施例可應用於FEOL處理及結構、BEOL處理及結構、或FEOL和BEOL處理及結構兩者。特別地,雖然範例處理方案可使用一種FEOL處理情境來闡述,但此等方式亦可應用於BEOL處理。同樣地,雖然範例處理方案可使用一種BEOL處理情境來闡述,但此等方式亦可應用於FEOL處理。Embodiments described herein may be directed to back end of line (BEOL) semiconductor processing and structures. BEOL is the second part of IC fabrication where individual devices (eg, transistors, capacitors, resistors, etc.) are interconnected with wiring (eg, metallization layers or layers) on the wafer. BEOL includes contacts, insulating layers (dielectrics), metal levels, and bonding sites for chip-to-package connections. In BEOL at the manufacturing stage, contacts (pads), interconnect wiring, vias, and dielectric structures are formed. For modern IC processes, more than 10 metal layers can be added to the BEOL. The embodiments described below can be applied to FEOL processes and structures, BEOL processes and structures, or both FEOL and BEOL processes and structures. In particular, although the example processing scheme may be illustrated using a FEOL processing context, these approaches may also be applied to BEOL processing. Likewise, while example processing schemes can be illustrated using a BEOL processing scenario, these approaches can also be applied to FEOL processing.

節距分割處理及圖案化方案可被實施以致能文中所述之實施例或可被包括為文中所述之實施例的部分。節距分割圖案化通常係指稱節距減半、節距減為四分之一,等等。節距分割方案可被應用於FEOL處理、BEOL處理、或FEOL(裝置)和BEOL(金屬化)處理兩者。依據文中所述之一或更多實施例,光學微影被首先實施來以預定義的節距列印單向線(例如,嚴格地單向或主要地單向)。節距分割處理被接著實施為一種用以增加線密度之技術。The pitch segmentation process and patterning scheme may be implemented to enable or may be included as part of the embodiments described herein. Pitch division patterning generally refers to pitch halving, pitch halving, and so on. The pitch segmentation scheme can be applied to FEOL processing, BEOL processing, or both FEOL (device) and BEOL (metallization) processing. According to one or more embodiments described herein, optical lithography is first implemented to print unidirectional lines (eg, strictly unidirectional or predominantly unidirectional) at a predefined pitch. The pitch division process is then implemented as a technique to increase line density.

於一實施例中,針對金屬線、ILD線或硬遮罩線之術語「光柵結構」被用以於文中指稱緊密節距光柵結構。於此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成,但該節距可藉由使用間隔物遮罩圖案化而被減半,如本技術中所已知者。甚至,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,文中所述之光柵狀圖案可具有以實質上恆定節距來分隔並具有實質上恆定寬度之金屬線、ILD線或硬遮罩線。例如,於某些實施例中,節距變化可於百分之十以內而寬度變化可於百分之十以內,以及於某些實施例中,節距變化可於百分之五以內而寬度變化可於百分之五以內。圖案可藉由節距減半或節距減為四分之一(或其他節距分割)方式來製造。於一實施例中,光柵不一定是單一節距。In one embodiment, the term "grating structure" for metal lines, ILD lines, or hard mask lines is used herein to refer to a tight pitch grating structure. In this embodiment, tight pitch cannot be achieved directly by conventional lithography. For example, a pattern according to conventional lithography can be formed first, but the pitch can be halved by patterning using a spacer mask, as is known in the art. Even, the original pitch can be reduced by a quarter by a second round of spacer mask patterning. Thus, the grating-like patterns described herein can have metal lines, ILD lines, or hard mask lines that are separated by a substantially constant pitch and have a substantially constant width. For example, in some embodiments, the pitch may vary within ten percent and the width may vary within ten percent, and in some embodiments, the pitch may vary within five percent and the width The variation can be within 5%. Patterns can be made by pitch halving or quarter pitch (or other pitch division). In one embodiment, the grating is not necessarily a single pitch.

於第一範例中,節距減半可被實施以使製得的光柵結構之線密度變兩倍。圖1A闡明接續於層間電介質(ILD)層上所形成之硬遮罩材料層的沈積後(但在圖案化前)之開始結構的橫斷面視圖。圖1B闡明接續於藉由節距減半的硬遮罩層之圖案化後的圖1A之結構的橫斷面視圖。In a first example, pitch halving can be implemented to double the line density of the resulting grating structure. 1A illustrates a cross-sectional view of the starting structure following deposition (but before patterning) of a layer of hard mask material formed on an interlayer dielectric (ILD) layer. FIG. 1B illustrates a cross-sectional view of the structure of FIG. 1A subsequent to patterning by a pitch halved hard mask layer.

參考圖1A,開始結構100具有硬遮罩材料層104,其係形成於層間電介質(ILD)層102上。圖案化遮罩106被配置於硬遮罩材料層104之上。圖案化遮罩106具有沿著其特徵(線)之側壁所形成的間隔物108,於硬遮罩材料層104上。Referring to FIG. 1A , the starting structure 100 has a hard mask material layer 104 formed on an interlayer dielectric (ILD) layer 102 . The patterned mask 106 is disposed over the hard mask material layer 104 . The patterned mask 106 has spacers 108 formed along the sidewalls of its features (lines) on the layer 104 of hard mask material.

參考圖1B,硬遮罩材料層104係以節距減半方式被圖案化。明確地,圖案化遮罩106被首先移除。間隔物108之所得圖案具有遮罩106之密度的兩倍、或者其節距或特徵的一半。間隔物108之圖案係(例如)藉由蝕刻製程而被轉移至硬遮罩材料層104以形成圖案化硬遮罩110,如圖1B中所示。於一此類實施例中,圖案化硬遮罩110被形成以具有單向線之光柵圖案。圖案化硬遮罩110之光柵圖案可為緊密節距光柵結構。例如,緊密節距可能無法直接透過習知的微影技術來達成。甚至,雖然未顯示,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,圖1B的圖案化硬遮罩110之光柵狀圖案可具有以恆定節距來分隔並具有相互間的恆定寬度之硬遮罩線。所獲得的尺寸可能甚小於已利用之微影技術的關鍵尺寸。Referring to FIG. 1B , the hard mask material layer 104 is patterned in a half pitch manner. Specifically, the patterned mask 106 is removed first. The resulting pattern of spacers 108 has twice the density of the mask 106, or half the pitch or features thereof. The pattern of spacers 108 is transferred to the layer of hard mask material 104, eg, by an etch process, to form a patterned hard mask 110, as shown in Figure IB. In one such embodiment, the patterned hardmask 110 is formed to have a grating pattern of unidirectional lines. The grating pattern of the patterned hardmask 110 may be a tight pitch grating structure. For example, tight pitch may not be achieved directly by conventional lithography techniques. Even, although not shown, the original pitch can be reduced by a quarter by a second round of spacer mask patterning. Thus, the raster-like pattern of the patterned hardmask 110 of FIG. 1B may have hardmask lines separated by a constant pitch and having a constant width from each other. The resulting dimensions may be much smaller than the critical dimensions of the lithography techniques that have been utilized.

因此,針對前段製程(FEOL)或後段製程(BEOL)(或兩者)集成方案,覆蓋膜可使用微影及蝕刻處理(其可涉及,例如,間隔物為基的雙倍圖案化(SBDP)或節距減半、或間隔物為基的四倍圖案化(SBQP)或節距減為四分之一)而被圖案化。應理解其他的節距分割方式亦可被實施。Thus, for front-end-of-line (FEOL) or back-end-of-line (BEOL) (or both) integration schemes, the cap film may use lithography and etching processes (which may involve, for example, spacer-based double patterning (SBDP) Either the pitch is halved, or the spacer-based quadruple patterning (SBQP) or the pitch is halved) are patterned. It should be understood that other pitch divisions may also be implemented.

例如,圖2闡明在一種涉及六之因數的節距分割之間隔物為基的六倍圖案化(SBSP)處理技術中之橫斷面視圖。參考圖2,於操作(a),顯示於微影、減薄及蝕刻處理後之犧牲圖案X。於操作(b),顯示於沈積和蝕刻後之間隔物A及B。於操作(c),顯示於間隔物A移除後之操作(b)的圖案。於操作(d),顯示於間隔物C沈積後之操作(c)的圖案。於操作(e),顯示於間隔物C蝕刻後之操作(d)的圖案。於操作(f),於犧牲圖案X移除及間隔物B移除後獲得節距/6圖案。For example, FIG. 2 illustrates a cross-sectional view in a spacer-based six-fold patterning (SBSP) processing technique involving factor-of-six pitch divisions. Referring to FIG. 2, in operation (a), the sacrificial pattern X after the lithography, thinning and etching processes is shown. In operation (b), spacers A and B are shown after deposition and etching. In operation (c), the pattern of operation (b) after the spacer A is removed is shown. In operation (d), the pattern of operation (c) after spacer C deposition is shown. In operation (e), the pattern of operation (d) after spacer C is etched is shown. In operation (f), a pitch/6 pattern is obtained after the sacrificial pattern X is removed and the spacer B is removed.

於另一範例中,圖3闡明在一種涉及九之因數的節距分割之間隔物為基的九倍圖案化(SBNP)處理技術中之橫斷面視圖。參考圖3,於操作(a),顯示於微影、減薄及蝕刻處理後之犧牲圖案X。於操作(b),顯示於沈積和蝕刻後之間隔物A及B。於操作(c),顯示於間隔物A移除後之操作(b)的圖案。於操作(d),顯示於間隔物C及D沈積和蝕刻後之操作(c)的圖案。於操作(e),於間隔物C移除後獲得節距/9圖案。In another example, FIG. 3 illustrates a cross-sectional view in a spacer-based ninefold patterning (SBNP) processing technique involving factor-of-nine pitch divisions. Referring to FIG. 3, in operation (a), the sacrificial pattern X after the lithography, thinning and etching processes is shown. In operation (b), spacers A and B are shown after deposition and etching. In operation (c), the pattern of operation (b) after the spacer A is removed is shown. In operation (d), the pattern of operation (c) after deposition and etching of spacers C and D is shown. In operation (e), a pitch/9 pattern is obtained after spacer C is removed.

於任何情況下,於一實施例中,可藉由習知或最新微影,諸如193nm浸入微影(193i),以製造具柵格佈局。節距分割可被實施以增加具柵格佈局中之線的密度以n之因數。利用193i微影加上以n之因數的節距分割之具柵格佈局形成可被指定為193i+P/n節距分割。於一此類實施例中,193nm浸入定標可利用成本效益高的節距分割而被延伸於許多世代。In any event, in one embodiment, the grid layout can be fabricated by conventional or state-of-the-art lithography, such as 193 nm immersion lithography (193i). Pitch division can be implemented to increase the density of lines in a grid layout by a factor of n. Grid layout formation using 193i lithography plus pitch divisions by a factor of n may be designated as 193i+P/n pitch divisions. In one such embodiment, 193 nm immersion scaling can be extended over many generations with cost-effective pitch segmentation.

於積體電路裝置之製造中,諸如三閘極電晶體之多閘極電晶體已隨著裝置尺寸持續縮小而變得更普遍。於傳統製程中,三閘極電晶體通常被製造於大塊矽基底或矽絕緣體基底上。於某些例子中,大塊矽基底由於其較低的成本以及與現存高產量大塊矽基底設施的相容性而為較佳的。In the manufacture of integrated circuit devices, multi-gate transistors, such as tri-gate transistors, have become more common as device dimensions continue to shrink. In conventional processes, tri-gate transistors are usually fabricated on bulk silicon substrates or silicon insulator substrates. In some instances, bulk silicon substrates are preferred due to their lower cost and compatibility with existing high-volume bulk silicon substrate facilities.

然而,多閘極電晶體之縮小不是無後果的。隨著微電子電路之這些基本建立區塊的尺寸減小且隨著既定區域中所製造之基本建立區塊的總數增加,對於用以製造這些建立區塊之半導體製程的限制變得很困擾。However, the shrinking of multi-gate transistors is not without consequences. As the size of these basic building blocks of microelectronic circuits decreases and as the total number of basic building blocks fabricated in a given area increases, limitations on the semiconductor process used to manufacture these building blocks become problematic.

於一實施例中,定向自聚合(DSA)被實施以供硬遮罩區別(例如,形成具有不同蝕刻性質的硬遮罩)。於某些實施例中,有區別的硬遮罩亦可被稱為「有色的」硬遮罩,其中具有相同顏色的硬遮罩具有相同或類似的蝕刻選擇性且其中具有不同顏色的硬遮罩具有不同的蝕刻選擇性。應注意:於實際實行中,術語「顏色」並非指稱硬遮罩材料之實際顏色。硬遮罩區別(或上色)可被用以圖案化或選擇性地移除多數具柵格半導體鰭片之中的半導體鰭片。文中所述之一或更多實施例係有關根據(且得自)已對準的節距減為四分之一(或其他)圖案化方式(針對邊緣布局誤差(EPE)改正)的程序及結構。一或更多實施例可被描述為用於半導體鰭片圖案化之有區別的或「有色的」交替硬遮罩方式。實施例可包括DSA、半導體材料圖案化、節距分割(諸如節距減為四分之一)、有區別的硬遮罩選擇性、用於鰭片圖案化的自對準之一或更多者。一或更多實施例係特別地適於非平面半導體裝置製造。In one embodiment, Directed Self-Aggregation (DSA) is implemented for hardmask differentiation (eg, to form hardmasks with different etch properties). In some embodiments, differentiated hardmasks may also be referred to as "colored" hardmasks, where hardmasks of the same color have the same or similar etch selectivity and hardmasks of different colors therein The masks have different etch selectivities. It should be noted that in practice, the term "color" does not refer to the actual color of the hardmask material. Hardmask differentiation (or coloring) can be used to pattern or selectively remove semiconductor fins from among a plurality of gridded semiconductor fins. One or more of the embodiments described herein relate to a procedure based on (and derived from) an aligned pitch quarter (or other) patterning approach (corrected for edge layout error (EPE)) and structure. One or more embodiments may be described as a differentiated or "colored" alternating hardmask approach for semiconductor fin patterning. Embodiments may include one or more of DSA, semiconductor material patterning, pitch division (such as pitch reduction by quarter), differentiated hardmask selectivity, self-alignment for fin patterning By. One or more embodiments are particularly suitable for non-planar semiconductor device fabrication.

依據本發明之實施例,可容許邊緣布局誤差之加倍及針對切割緊密節距上之小特徵的切割大小之加倍被實施於極細鰭片圖案化。於一實施例中,所有特徵(例如,鰭片線)被轉移入半導體基底,具有關鍵尺寸(CD)變化之單一群體。此方式係相反於目前最先進方式,其仰賴通常具有線寬度之三個離散群體(例如,骨幹或心軸、互補式及間隔物尺寸)的間隔物為基的節距減為四分之一。In accordance with embodiments of the present invention, a doubling of edge layout errors that can be tolerated and a doubling of dicing size for dicing small features on tight pitches are implemented in very fine fin patterning. In one embodiment, all features (eg, fin lines) are transferred into the semiconductor substrate, with a single population of critical dimension (CD) variation. This approach is in contrast to current state-of-the-art approaches, which rely on spacer-based pitch reductions that typically have three discrete groups of line widths (eg, backbone or mandrel, complementary, and spacer dimensions) .

為了提供背景,可能理想的是使用大塊矽於鰭片或三閘極為基的半導體裝置。於一實施例中,定向自聚合(DSA)被實施以完成每隔一特徵之節距分割及「上色」於所欲的圖案。於一此類實施例中,圖案化方式係特別可應用於三閘極變遷圖案化流程中之圖案化矽鰭片。於一實施例中,文中所述之實施方式的優點可包括以下之一或更多者:(1)致能特徵寬度之單一群體,(2)加倍針對特徵切割之邊緣布局誤差需求,(3)加倍其用以切割單一特徵所需的孔或開口之尺寸(例如,放寬對於開口之大小的限制),或(4)減少圖案化製程之成本。得自該製程之結構假影包括(於一實施例中)關鍵尺寸之單一群體且是在從一節距至另一節距及/或從一柵格至另一柵格的變遷時,於圍繞晶片之晶粒的防護環上。實施例可致能緊密節距線之切割而不擴縮邊緣布局誤差需求。To provide context, it may be desirable to use bulk silicon on fin or tri-gate based semiconductor devices. In one embodiment, Directed Self-Aggregation (DSA) is implemented to complete every other feature's pitch segmentation and "paint" to the desired pattern. In one such embodiment, the patterning approach is particularly applicable to patterning silicon fins in a three-gate transition patterning process. In one example, advantages of the implementations described herein may include one or more of: (1) enabling a single population of feature widths, (2) doubling edge placement error requirements for feature cuts, (3) ) double the size of the hole or opening it needs to cut a single feature (eg, relax restrictions on the size of the opening), or (4) reduce the cost of the patterning process. Structural artifacts from the process include (in one embodiment) a single population of critical dimensions and are around the wafer as transitions from pitch to pitch and/or from grid to grid on the protective ring of the grain. Embodiments may enable cutting of tight pitch lines without scaling edge layout error requirements.

於範例處理方案中,圖4A-4N闡明一種製造非平面半導體裝置的方法中之各種操作的橫斷面視圖,依據本發明之實施例。In an exemplary processing scheme, FIGS. 4A-4N illustrate cross-sectional views of various operations in a method of fabricating a non-planar semiconductor device, in accordance with an embodiment of the present invention.

圖4A闡明大塊半導體基底402,具有第一圖案化硬遮罩404形成於其上。於一實施例中,大塊半導體基底402為大塊單晶矽基底,具有鰭片402蝕刻於其中。於一實施例中,大塊半導體基底402在此階段是未摻雜的或少量摻雜的。例如,於特定實施例中,大塊半導體基底402具有少於約1E17 atoms/cm3 的硼摻雜物雜質原子之濃度。FIG. 4A illustrates a bulk semiconductor substrate 402 with a first patterned hard mask 404 formed thereon. In one embodiment, the bulk semiconductor substrate 402 is a bulk monocrystalline silicon substrate having fins 402 etched therein. In one embodiment, the bulk semiconductor substrate 402 is undoped or lightly doped at this stage. For example, in certain embodiments, the bulk semiconductor substrate 402 has a concentration of boron dopant impurity atoms of less than about 1E17 atoms/cm 3 .

於一實施例中,第一圖案化硬遮罩404包括具有節距406之特徵。於一此類實施例中,第一圖案化硬遮罩404代表最終地形成於基底402中之鰭片的可能數目之一半。亦即,節距406被有效地放寬以加倍所形成的鰭片之最後圖案的節距。於一實施例中,第一硬遮罩404係使用微影製程而被直接地圖案化。然而,於其他實施例中,節距分割被應用(例如,節距減半),且被用以提供具有節距406之圖案化硬遮罩404。應理解:於一實施例中,第一導引圖案可使用以下方法來形成:傳統圖案化(微影/蝕刻)、僅微影、間隔物為基的加倍圖案化或其他節距分割方法。於一實施例中,導引圖案係透過二或更多硬遮罩之使用而被分離自DSA圖案,以致其CD被形成自單一群體(例如,一蝕刻)。In one embodiment, the first patterned hardmask 404 includes features having a pitch 406 . In one such embodiment, the first patterned hard mask 404 represents one-half of the possible number of fins ultimately formed in the substrate 402 . That is, the pitch 406 is effectively relaxed to double the pitch of the final pattern of fins formed. In one embodiment, the first hard mask 404 is directly patterned using a lithography process. However, in other embodiments, pitch division is applied (eg, pitch halving) and is used to provide patterned hardmask 404 with pitch 406 . It should be understood that, in one embodiment, the first guide pattern may be formed using conventional patterning (lithography/etching), lithography only, spacer-based double patterning, or other pitch division methods. In one embodiment, the pilot pattern is separated from the DSA pattern through the use of two or more hard masks so that its CD is formed from a single population (eg, an etch).

圖4B闡明圖4A之結構,接續於第一圖案化硬遮罩404之間的第二硬遮罩層408之形成以後。於一實施例中,第二硬遮罩層408係藉由以下方式來形成:在基底402及第一圖案化硬遮罩404之上形成覆蓋硬遮罩層並接著將該覆蓋硬遮罩層平坦化以形成第二硬遮罩層408,例如,藉由化學機械平坦化(CMP)。於另一實施例中,ALD或CVD技術將依循晶圓之表面的輪廓;而因為鰭片切割被使用為範例,所以該晶圓在製程之此時點是實質上平坦的。FIG. 4B illustrates the structure of FIG. 4A following the formation of the second hard mask layer 408 between the first patterned hard masks 404 . In one embodiment, the second hard mask layer 408 is formed by forming an overlying hard mask layer over the substrate 402 and the first patterned hard mask 404 and then forming the overlying hard mask layer It is planarized to form the second hard mask layer 408, eg, by chemical mechanical planarization (CMP). In another embodiment, ALD or CVD techniques will follow the contours of the surface of the wafer; and since fin dicing is used as an example, the wafer is substantially flat at this point in the process.

於一實施例中,第二硬遮罩層408具有與第一圖案化硬遮罩404之蝕刻特性不同的蝕刻特性。於一實施例中,第二硬遮罩層408與第一圖案化硬遮罩404之一者或兩者為矽之氮化物(例如氮化矽)的層或矽之氧化物的層、或兩者、或其組合。其他適當的材料可包括碳基的材料,諸如碳化矽。於另一實施例中,硬遮罩材料包括金屬類。例如,硬遮罩或其他上覆材料可包括鈦或其他金屬之氮化物(例如,氮化鈦)的層。潛在地較少量之其他材料(諸如氧)可被包括於這些層之一或更多者中。硬遮罩層可藉由CVD、PVD、或藉由其他沈積方法而被形成。In one embodiment, the second hard mask layer 408 has a different etch characteristic than that of the first patterned hard mask 404 . In one embodiment, one or both of the second hard mask layer 408 and the first patterned hard mask 404 are layers of nitrides of silicon (eg, silicon nitride) or oxides of silicon, or both, or a combination thereof. Other suitable materials may include carbon-based materials, such as silicon carbide. In another embodiment, the hard mask material includes metals. For example, a hardmask or other overlying material may include a layer of titanium or other metal nitrides (eg, titanium nitride). Potentially smaller amounts of other materials, such as oxygen, may be included in one or more of these layers. The hard mask layer can be formed by CVD, PVD, or by other deposition methods.

圖4C闡明圖4B之結構,接續於選擇性刷材料層410之塗敷後。選擇性刷材料410為一種可藉由刷子來塗敷的選擇性材料(於某些實施例中)。應注意:「刷材料」常被使用為DSA製程中之技術用語且並未暗示其選擇性材料410被使用為刷子。於一實施例中,選擇性刷材料層410僅黏附至第一圖案化硬遮罩404,如圖4C中所示。然而,於另一實施例中,選擇性刷材料被替代地塗敷至第二硬遮罩層408。於又另一實施例中,選擇性刷材料層410僅黏附至第一圖案化硬遮罩404,且第二不同的選擇性刷材料被形成於第二硬遮罩層408上。FIG. 4C illustrates the structure of FIG. 4B, following the application of a layer 410 of selective brush material. The selective brush material 410 is a selective material (in some embodiments) that can be applied by a brush. It should be noted that "brush material" is often used as a technical term in DSA processes and does not imply that the selective material 410 is used as a brush. In one embodiment, the selective brush material layer 410 is only adhered to the first patterned hard mask 404, as shown in FIG. 4C. However, in another embodiment, a selective brush material is applied to the second hard mask layer 408 instead. In yet another embodiment, the selective brush material layer 410 is only adhered to the first patterned hard mask 404 , and a second, different selective brush material is formed on the second hard mask layer 408 .

於一實施例中,選擇性刷材料層410包括一種分子物種,其包括具有選自由–SH、-PO3 H2 、-CO2 H、 -NRH、-NRR’、及-Si(OR)3 所組成之群組的頭群組之聚苯乙烯。於另一實施例中,選擇性刷材料層410包括一種分子物種,其包括具有選自由–SH、-PO3 H2 、-CO2 H、 -NRH、-NRR’、及-Si(OR)3 所組成之群組的頭群組之聚甲基丙烯酸甲酯。於一實施例中,選擇性刷材料層410被吸引至DSA區塊共聚物(例如,聚苯乙烯或聚甲基丙烯酸甲酯)的一組分。選擇性材料層410可包括其他適當材料於其他實施例中。In one embodiment, the selective brush material layer 410 includes a molecular species including a molecular species having a species selected from -SH, -PO3H2 , -CO2H , -NRH , -NRR', and -Si(OR) 3 The polystyrene of the head group of the formed group. In another embodiment, the selective brush material layer 410 includes a molecular species including a molecular species selected from -SH, -PO3H2 , -CO2H , -NRH , -NRR', and -Si(OR) 3 Polymethyl methacrylate of the head group of the group formed. In one embodiment, the selective brush material layer 410 is attracted to a component of a DSA block copolymer (eg, polystyrene or polymethyl methacrylate). Selective material layer 410 may include other suitable materials in other embodiments.

圖4D闡明圖4C之結構,接續於直接自聚合(DSA)區塊共聚物414/416(A/B)之塗敷以及聚合物聚合程序後。於一實施例中,DSA區塊共聚物被塗佈於表面上並被退火以將聚合物分離為第一聚合物區塊414及第二聚合物區塊416(識別為圖4D中之416A及416B)。於一實施例中,聚合物區塊416優先地黏附至選擇性刷材料層410,於退火製程期間。聚合物區塊414黏附至第二硬遮罩層408。然而,於特定實施例中,聚合之節距為第一圖案化硬遮罩404之節距的一半。於此情況下,聚合物區塊416之部分416A係黏附至第一硬遮罩404上之選擇性刷材料層410,而聚合物區塊416之部分416B被形成於聚合物區塊414之間的第二硬遮罩層408上。Figure 4D illustrates the structure of Figure 4C, following the coating of the direct self-polymerization (DSA) block copolymers 414/416 (A/B) and the polymer polymerization procedure. In one embodiment, the DSA block copolymer is coated on the surface and annealed to separate the polymer into a first polymer block 414 and a second polymer block 416 (identified as 416A and 416A in FIG. 4D ). 416B). In one embodiment, the polymer block 416 preferentially adheres to the selective brush material layer 410 during the annealing process. The polymer block 414 is adhered to the second hard mask layer 408 . However, in certain embodiments, the pitch of the aggregates is half the pitch of the first patterned hardmask 404 . In this case, the portion 416A of the polymer block 416 is adhered to the selective brush material layer 410 on the first hard mask 404 , and the portion 416B of the polymer block 416 is formed between the polymer blocks 414 on the second hard mask layer 408.

於一實施例中,區塊共聚物分子414/416 (A/B)是由共價接合單體之鏈所形成的聚合物分子。於雙區塊共聚物中,有兩不同類型的單體,且這些不同類型的單體被主要地包括於單體之兩個不同區塊或相鄰序列內。所示的區塊共聚物分子包括聚合物414之區塊及聚合物416(A/B)之區塊。於一實施例中,聚合物414之區塊顯著地包括共價鏈結的單體A之鏈(例如,A-A-A-A-A…),而聚合物416(A/B)之區塊顯著地包括共價鏈結的單體B之鏈(例如,B-B-B-B-B…)。單體A及B可代表本技術中已知之區塊共聚物中所使用的不同類型單體之任一者。舉例而言,單體A可代表用以形成聚苯乙烯之單體,而單體B可代表用以形成聚甲基丙烯酸甲酯(PMMA)之單體,或反之亦然,雖然本發明之範圍並非如此限制。於其他實施例中,可有多於兩個區塊。此外,於其他實施例中,每一該些區塊可包括不同類型的單體(例如,各區塊本身可為共聚物)。於一實施例中,聚合物414之區塊及聚合物416(A/B)之區塊被共價地接合在一起。聚合物414之區塊及聚合物416(A/B)之區塊可為大約相等的長度,或者一區塊可明顯地較另一區塊更長。In one embodiment, block copolymer molecules 414/416 (A/B) are polymer molecules formed from chains of covalently joined monomers. In two-block copolymers, there are two different types of monomers, and these different types of monomers are primarily included in two different blocks or adjacent sequences of monomers. The block copolymer molecules shown include blocks of polymer 414 and blocks of polymer 416 (A/B). In one embodiment, the block of polymer 414 significantly includes chains of covalently linked monomer A (eg, A-A-A-A-A...), while the block of polymer 416 (A/B) significantly includes covalent chains The chain of monomer B that is knotted (eg, B-B-B-B-B...). Monomers A and B can represent any of the different types of monomers used in block copolymers known in the art. For example, monomer A can represent the monomer used to form polystyrene, and monomer B can represent the monomer used to form polymethyl methacrylate (PMMA), or vice versa, although the present invention The scope is not so limited. In other embodiments, there may be more than two blocks. Furthermore, in other embodiments, each of the blocks may include a different type of monomer (eg, each block may itself be a copolymer). In one embodiment, blocks of polymer 414 and blocks of polymer 416 (A/B) are covalently bonded together. The blocks of polymer 414 and the blocks of polymer 416 (A/B) may be approximately the same length, or one block may be significantly longer than the other.

通常,區塊共聚物之區塊(例如,聚合物414之區塊及聚合物416(A/B)之區塊)可各具有不同的化學性質。舉例而言,該些區塊之一可為相對較疏水的(例如,斥水的)而另一者可為相對較親水的(吸水的)。至少觀念上,該些區塊之一可為相對較類似於油而另一區塊可相對較類似於水。介於不同區塊聚合物之間的化學性質之此等差異(無論是親水-疏水差異或其他)可能造成區塊共聚物分子自聚合。例如,自聚合可根據聚合物區塊之微相分離。觀念上,此可類似於其通常不能混合的油與水之相位分離。類似地,介於聚合物區塊之間的親水性的差異(例如,一區塊是相對疏水的而另一區塊是相對親水的)可能造成類似的微相分離,其中不同的聚合物區塊由於化學上不喜歡對方而嘗試彼此「分離」。Typically, blocks of block copolymers (eg, blocks of polymer 414 and blocks of polymer 416 (A/B)) can each have different chemistries. For example, one of the blocks can be relatively hydrophobic (eg, water repellent) and the other can be relatively relatively hydrophilic (water absorbent). At least conceptually, one of the blocks can be relatively oil-like and the other block can be relatively water-like. Such differences in chemical properties between different block polymers (whether hydrophilic-hydrophobic differences or otherwise) may cause the block copolymer molecules to self-polymerize. For example, self-polymerization can be based on microphase separation of polymer blocks. Conceptually, this can be analogous to the phase separation of oil and water, which are generally immiscible. Similarly, differences in hydrophilicity between polymer blocks (eg, one block is relatively hydrophobic and another block is relatively hydrophilic) may result in similar microphase separation, with different polymer blocks The blocks try to "separate" from each other because they don't like each other chemically.

然而,於一實施例中,因為聚合物區塊被共價地彼此接合,所以其無法於巨觀尺度上完全地分離。反之,既定類型的聚合物區塊傾向於在極小(例如,奈米大小的)區或相位中與相同類型之其他分子的聚合物區塊分離或聚集。區或微相位之特定大小及形狀通常至少部分地取決於聚合物區塊之相對長度。於一實施例中,舉例而言,於兩區塊共聚物中,假如區塊為約略相同的長度,則產生交替的聚合物414線與聚合物416(A/B)線之柵格狀圖案。However, in one embodiment, because the polymer blocks are covalently bonded to each other, they cannot be completely separated on a macroscopic scale. Conversely, polymer blocks of a given type tend to separate or aggregate in extremely small (eg, nano-sized) regions or phases from polymer blocks of other molecules of the same type. The particular size and shape of the domains or microphases generally depends, at least in part, on the relative lengths of the polymer blocks. In one embodiment, for example, in a two-block copolymer, if the blocks are approximately the same length, a grid-like pattern of alternating polymer 414 lines and polymer 416 (A/B) lines results .

於一實施例中,聚合物414/聚合物416(A/B)光柵被首先塗敷為未聚合的區塊共聚物層部分,其包括(例如)藉由刷或其他塗佈製程所塗敷之區塊共聚物材料。未聚合形態指的是其中(在沈積的時刻)區塊共聚物尚未實質上相位分離及/或自聚合以形成奈米的情形。於此未聚合形式中,區塊聚合物分子是相當高度隨機化的,具有相當高度隨機定向並定位的不同聚合物區塊。未聚合區塊共聚物層部分可被塗敷以多種不同方式。舉例而言,區塊共聚物可溶解於溶劑中並接著旋塗於表面之上。替代地,未聚合區塊共聚物可被噴塗、浸塗、浸入塗、或其他方式塗佈或塗敷於表面之上。塗敷區塊共聚物之其他方式、以及用以塗敷類似有機塗層之技術中已知的其他方式可潛在地被使用。接著,未聚合層可形成聚合區塊共聚物層部分,例如,藉由未聚合區塊共聚物層部分之微相分離及/或自聚合。微相分離及/或自聚合係透過區塊共聚物分子之再配置及/或再定位而發生,且特別是區塊共聚物分子的不同聚合物區塊之再配置及/或再定位。In one embodiment, the polymer 414/polymer 416 (A/B) grating is first applied as part of the unpolymerized block copolymer layer, including, for example, by brushing or other coating process The block copolymer material. The unpolymerized form refers to the situation in which (at the time of deposition) the block copolymer has not yet substantially phase-separated and/or self-polymerized to form nanoparticles. In this unpolymerized form, the block polymer molecules are fairly highly randomized, with distinct polymer blocks oriented and positioned fairly highly randomly. The unpolymerized block copolymer layer portion can be applied in a number of different ways. For example, the block copolymer can be dissolved in a solvent and then spin coated onto a surface. Alternatively, the unpolymerized block copolymer may be sprayed, dipped, dipped, or otherwise coated or applied to the surface. Other ways of applying the block copolymer, as well as other ways known in the art for applying similar organic coatings, can potentially be used. The unpolymerized layer can then form portions of the polymerized block copolymer layer, eg, by microphase separation and/or self-polymerization of the unpolymerized block copolymer layer portion. Microphase separation and/or self-polymerization occurs through the reconfiguration and/or repositioning of the block copolymer molecules, and in particular the reconfiguration and/or repositioning of the different polymer blocks of the block copolymer molecules.

於此一實施例中,退火處置可被施加至未聚合區塊共聚物以起始、加速、增加、或者提升微相分離及/或自聚合之品質。於某些實施例中,退火處置可包括可操作以增加區塊共聚物之溫度的處置。此一處置之一範例是烘焙該層、加熱該層於烘箱中或者於熱燈之上,施加紅外線輻射至該層,或者施加熱至該層或增加該層之溫度。所欲的溫度增加通常將足以顯著地加速區塊聚合物之微相分離及/或自聚合而不損害區塊共聚物或積體電路基底之任何其他重要的材料或結構。通常,加熱範圍可介於約50℃至約300℃,或介於75℃至約250℃,但不超過區塊共聚物或積體電路基底之熱退化限制。加熱或退火可協助提供能量給區塊共聚物分子以使其更可動/有彈性以增加微相分離之速率及/或增進微相分離之品質。區塊共聚物分子之此微相分離或再配置/再定位可導致自聚合以形成極小(例如,奈米等級)結構。自聚合可於表面能量、分子親和性、及其他表面相關和化學相關力的影響之下發生。In this embodiment, an annealing treatment can be applied to the unpolymerized block copolymer to initiate, accelerate, increase, or improve the quality of microphase separation and/or self-polymerization. In certain embodiments, the annealing treatment may include a treatment operable to increase the temperature of the block copolymer. An example of such a treatment is baking the layer, heating the layer in an oven or over a heat lamp, applying infrared radiation to the layer, or applying heat to the layer or increasing the temperature of the layer. The desired temperature increase will generally be sufficient to significantly accelerate the microphase separation and/or self-polymerization of the block polymer without damaging the block copolymer or any other important materials or structures of the integrated circuit substrate. Typically, the heating range can be from about 50°C to about 300°C, or from 75°C to about 250°C, without exceeding the thermal degradation limits of the block copolymer or integrated circuit substrate. Heating or annealing can help provide energy to the block copolymer molecules to make them more mobile/elastic to increase the rate and/or quality of microphase separation. This microphase separation or reconfiguration/repositioning of block copolymer molecules can result in self-polymerization to form extremely small (eg, nanoscale) structures. Self-polymerization can occur under the influence of surface energy, molecular affinity, and other surface-related and chemical-related forces.

於任何情況下,於某些實施例中,區塊共聚物之自聚合(無論是否根據疏水-親水差異)可被用以形成極小的週期性結構(例如,精確地間隔的奈米等級結構或線)。於某些實施例中,其可被用以形成可最終地用以形成半導體鰭片線之奈米等級線或其他奈米等級結構。In any event, in certain embodiments, self-polymerization of block copolymers (whether by virtue of hydrophobic-hydrophilic differences or not) can be used to form extremely small periodic structures (eg, precisely spaced nanoscale structures or Wire). In some embodiments, it can be used to form nanoscale wires or other nanoscale structures that can ultimately be used to form semiconductor fin wires.

圖4E闡明圖4D之結構,接續於移除雙區塊共聚物的該些區塊之一後。於一實施例中,聚合物部分414係透過濕式或乾式蝕刻製程而被選擇性地移除以留下部分416(A/B)。餘留部分416(A/B)之節距約為第一圖案化硬遮罩404之節距的一半。Figure 4E illustrates the structure of Figure 4D, following removal of one of the blocks of the two-block copolymer. In one embodiment, polymer portion 414 is selectively removed through a wet or dry etch process to leave portion 416 (A/B). The pitch of the remaining portions 416 (A/B) is about half of the pitch of the first patterned hard mask 404 .

圖4F闡明圖4E之結構,接續於餘留聚合物部分之圖案的轉移入下方完全晶體半導體基底中之後。於一實施例中,餘留聚合物部分416(A/B)之圖案(亦即,當節距減半時第一圖案化硬遮罩404之圖案)被蝕刻入大塊半導體基底402中。該圖案化操作係將第二硬遮罩層408圖案化以形成相應於聚合物部分416B之第二圖案化硬遮罩層424。第一圖案化硬遮罩404係相應於聚合物部分416A。於一實施例中,複數鰭片418被直接地形成於大塊基底402(其變為圖案化基底420)上且(如此一來)被形成為與大塊基底402/420相連的,在約略平坦的表面422上。Figure 4F illustrates the structure of Figure 4E following the transfer of the pattern of remaining polymer portions into the underlying fully crystalline semiconductor substrate. In one embodiment, the pattern of the remaining polymer portions 416 (A/B) (ie, the pattern of the first patterned hard mask 404 when the pitch is halved) is etched into the bulk semiconductor substrate 402 . The patterning operation patterns the second hard mask layer 408 to form a second patterned hard mask layer 424 corresponding to the polymer portion 416B. The first patterned hard mask 404 corresponds to the polymer portion 416A. In one embodiment, the plurality of fins 418 are formed directly on the bulk substrate 402 (which becomes the patterned substrate 420) and (as such) are formed in connection with the bulk substrate 402/420, at approx. on a flat surface 422.

圖4G闡明接續於餘留聚合物層及任何刷層之移除後的圖4F之結構。於一實施例中,餘留聚合物層416(A/B)及刷層410被移除以留下複數交替鰭片418,其具有交替之「有色的」第一圖案化硬遮罩404與第二圖案化硬遮罩424於其上。於一實施例中,餘留聚合物層416(A/B)及刷層410係使用灰化和清潔製程而被移除。鰭片之所得節距426為原始第一圖案化硬遮罩404之節距406的一半。Figure 4G illustrates the structure of Figure 4F following the removal of the remaining polymer layer and any brush layer. In one embodiment, the remaining polymer layer 416 (A/B) and brush layer 410 are removed to leave a plurality of alternating fins 418 with alternating "colored" first patterned hardmask 404 and The second patterned hard mask 424 is thereon. In one embodiment, the remaining polymer layer 416 (A/B) and brush layer 410 are removed using an ashing and cleaning process. The resulting pitch 426 of the fins is half the pitch 406 of the original first patterned hardmask 404 .

圖4H闡明接續於複數鰭片418之間的層間電介質(ILD)層428之形成後的圖4G之結構。於一實施例中,ILD層428係由二氧化矽所組成,諸如被使用於淺溝槽隔離製程中。然而,其他電介質可被替代地使用,諸如碳化物之氮化物。ILD層428可藉由化學氣相沈積(CVD)或其他沈積製程(例如,ALD、PECVD、PVD、HDP、輔助CVD、低溫CVD)而被沈積並可藉由化學機械拋光(CMP)技術而被平坦化,以顯露硬遮罩層404及428之最上表面。FIG. 4H illustrates the structure of FIG. 4G following the formation of an interlayer dielectric (ILD) layer 428 between the plurality of fins 418 . In one embodiment, the ILD layer 428 is composed of silicon dioxide, such as is used in shallow trench isolation processes. However, other dielectrics may be used instead, such as carbides and nitrides. The ILD layer 428 may be deposited by chemical vapor deposition (CVD) or other deposition processes (eg, ALD, PECVD, PVD, HDP, assisted CVD, low temperature CVD) and may be deposited by chemical mechanical polishing (CMP) techniques planarized to reveal the uppermost surfaces of hard mask layers 404 and 428 .

圖4I闡明接續於一種用以形成圖案化遮罩430之光抗蝕劑材料的形成及圖案化後之圖4H的結構。於一實施例中,圖案化遮罩430具有形成於其中之開口432。開口432係暴露具有第一圖案化硬遮罩404於其上之複數鰭片418的目標一者,以供最終鰭片移除。開口432具有切割尺寸436。於一實施例中,對於切割尺寸436之限制被放寬,並可甚至暴露具有第二圖案化硬遮罩424於其上之相鄰鰭片的部分。於一實施例中,圖案化操作係使用「上色」或硬遮罩材料區別來準備切掉不要的特徵,以容許切割大小成為特徵418之節距426的兩倍(亦即,用以導致原始節距406)。於一實施例中,硬遮罩材料容許透過電漿或介於兩硬遮罩材料間之濕式蝕刻選擇性的區別。再者,邊緣布局誤差(EPE)434為半節距。相較之下,於標準圖案化製程(無上色)中,切割尺寸為1X節距而邊緣布局誤差(EPE)為1/4節距。因此,於一實施例中,文中所述之製程係加倍了邊緣布局誤差預算並加倍了用以切割單一特徵所需的孔或開口之大小。4I illustrates the structure of FIG. 4H following the formation and patterning of a photoresist material used to form a patterned mask 430. FIG. In one embodiment, the patterned mask 430 has openings 432 formed therein. The opening 432 exposes the target one of the plurality of fins 418 with the first patterned hard mask 404 thereon for final fin removal. Opening 432 has cut dimension 436 . In one embodiment, the constraints on the cut size 436 are relaxed, and even portions of adjacent fins with the second patterned hardmask 424 thereon may be exposed. In one embodiment, the patterning operation uses "painting" or hardmask material differentiation to prepare for cutting away unwanted features to allow the cut size to be twice the pitch 426 of the features 418 (ie, to cause original pitch 406). In one embodiment, the hard mask material allows for differences in wet etch selectivity through plasma or between the two hard mask materials. Again, edge placement error (EPE) 434 is half pitch. In contrast, in a standard patterning process (no coloring), the dicing size is 1X pitch and the edge layout error (EPE) is 1/4 pitch. Thus, in one embodiment, the process described herein doubles the edge layout error budget and doubles the size of the hole or opening required to cut a single feature.

於一實施例中,圖案化遮罩430係由光抗蝕劑層所組成,如本技術中所已知者,且可藉由傳統微影及顯影製程來圖案化。於特定實施例中,暴露至光源之光阻層的部分在使該光阻層顯影時被移除。因此,圖案化的光阻層係由正光阻材料所組成。於一特定實施例中,光阻層係由正光阻材料所組成,諸如(但不限定於)248nm抗蝕劑、193nm抗蝕劑、157nm抗蝕劑、極紫外線(EUV)抗蝕劑、e光束抗蝕劑、壓印層、或具有重氮萘醌敏化劑之酚樹脂矩陣。於另一特定實施例中,暴露至光源之光阻層的部分在使該光阻層顯影時被留存。因此,光阻層係由負光阻材料所組成。於特定實施例中,光阻層係由負光阻材料所組成,諸如(但不限定於)包括聚-順-異戊二烯(poly-cis-isoprene)或聚-乙烯基-肉桂酸酯(poly-vinyl-cinnamate)。於一實施例中,微影操作係使用193nm浸入式微影(193i)、EUV及/或電子束直接寫入(EBDW)微影等等來履行。正色調或負色調抗蝕劑可被使用。於一實施例中,圖案化遮罩430為三層遮罩,係由地形遮蔽部分、抗反射塗層(ARC)、及光抗蝕劑層所組成。於一特定此類實施例中,地形遮蔽部分為碳硬遮罩(CHM)層而抗反射塗層為含矽ARC層。於一此類實施例中,具有附加發色團之旋塗式玻璃材料被用以協助抑制反射性。化學上其為含(矽氧烷)矽碳聚合物。當被退火時,其係形成二氧化矽與碳聚合物之混合物。In one embodiment, the patterned mask 430 is composed of a photoresist layer, as is known in the art, and can be patterned by conventional lithography and development processes. In certain embodiments, the portion of the photoresist layer exposed to the light source is removed when the photoresist layer is developed. Therefore, the patterned photoresist layer is composed of positive photoresist material. In a specific embodiment, the photoresist layer is composed of positive photoresist materials such as (but not limited to) 248nm resist, 193nm resist, 157nm resist, extreme ultraviolet (EUV) resist, e Beam resist, imprint layer, or phenolic resin matrix with diazonaphthoquinone sensitizer. In another specific embodiment, the portion of the photoresist layer exposed to the light source is retained when the photoresist layer is developed. Therefore, the photoresist layer is composed of negative photoresist material. In certain embodiments, the photoresist layer is composed of a negative photoresist material such as, but not limited to, including poly-cis-isoprene or poly-vinyl-cinnamate (poly-vinyl-cinnamate). In one embodiment, the lithography operation is performed using 193 nm immersion lithography (193i), EUV and/or electron beam direct writing (EBDW) lithography, or the like. Positive or negative tone resists can be used. In one embodiment, the patterned mask 430 is a three-layered mask composed of a topographic mask, an anti-reflection coating (ARC), and a photoresist layer. In a particular such embodiment, the topographic mask is a carbon hard mask (CHM) layer and the anti-reflective coating is a silicon-containing ARC layer. In one such embodiment, a spin-on glass material with additional chromophores is used to help suppress reflectivity. Chemically it is a (siloxane) silicon-carbon polymer. When annealed, it forms a mixture of silica and carbon polymer.

圖4J闡明接續於複數鰭片418之選定一者的蝕刻以及圖案化遮罩430的後續移除後之圖4I的結構。於一實施例中,此製程被稱為製程之「鰭片切割」、或「特徵選擇」操作。於一實施例中,複數鰭片418之一者被移除於位置438上,以形成具有第一中斷圖案之圖案化複數鰭片418’。於一此類實施例中,暴露的第一圖案化硬遮罩404係使用蝕刻製程而被首先移除,該蝕刻製程是對於任何暴露的第二圖案化硬遮罩424有選擇性的及對於ILD層428有選擇性的。於另一實施例中,「鰭片保持」方式被使用,其中該些特徵係使用光抗蝕劑之相反色調而被選擇且於蝕刻製程期間被保護,而同時背景或未受保護鰭片被移除。其為微影製程之相反極性(例如,負相對於正色調成像)。應理解:任一製程可被使用於此操作上。暴露的鰭片係利用一種蝕刻製程而被接著移除於位置438上,該蝕刻製程是對於暴露的第二圖案化硬遮罩424有選擇性的及對於ILD層428有選擇性的。於第一實施例中,鰭片被移除於位置438上而至位準440,留下高於平坦表面422之突出部分446。於第二實施例中,鰭片被移除於位置438上而至位準442,約略與平坦表面422共面。於第三實施例中,鰭片被移除於位置438上而至位準444,留下低於平坦表面422之凹陷448。FIG. 4J illustrates the structure of FIG. 4I following etching of a selected one of the plurality of fins 418 and subsequent removal of the patterned mask 430 . In one embodiment, this process is referred to as a "fin dicing", or "feature selection" operation of the process. In one embodiment, one of the plurality of fins 418 is removed at location 438 to form a patterned plurality of fins 418' having a first pattern of interruptions. In one such embodiment, the exposed first patterned hardmask 404 is first removed using an etch process that is selective to any exposed second patterned hardmask 424 and to The ILD layer 428 is selective. In another embodiment, a "fin hold" approach is used, where the features are selected using opposite shades of photoresist and protected during the etch process, while the background or unprotected fins are remove. It is the opposite polarity of the lithography process (eg, negative versus positive tone imaging). It should be understood that any process can be used for this operation. The exposed fins are then removed at locations 438 using an etch process that is selective to the exposed second patterned hard mask 424 and selective to the ILD layer 428 . In the first embodiment, the fins are removed at location 438 to level 440 , leaving a protrusion 446 above the flat surface 422 . In the second embodiment, the fins are removed at location 438 to level 442 , which is approximately coplanar with flat surface 422 . In the third embodiment, the fins are removed at location 438 to level 444 , leaving recesses 448 below flat surface 422 .

圖4K闡明接續於一種用以形成圖案化遮罩450之光抗蝕劑材料的形成及圖案化後之圖4J的結構。於一實施例中,圖案化遮罩450具有形成於其中之開口452。開口452係暴露具有第二圖案化硬遮罩424於其上之複數鰭片418’的目標第二者,以供最終鰭片移除。於一實施例中,圖案化操作係使用「上色」或硬遮罩材料區別來準備切掉不要的特徵,以容許切割大小成為特徵418’之節距426的兩倍。如相關與圖4I中所述者,文中所述之製程係加倍了邊緣布局誤差預算並加倍了用以切割單一特徵所需的孔或開口之大小。於一實施例中,圖案化遮罩450係由諸如與圖4I關聯所述者之材料所組成。4K illustrates the structure of FIG. 4J following the formation and patterning of a photoresist material used to form a patterned mask 450. FIG. In one embodiment, the patterned mask 450 has openings 452 formed therein. The opening 452 exposes the target second of the plurality of fins 418' with the second patterned hard mask 424 thereon for final fin removal. In one embodiment, the patterning operation uses "painting" or hard mask material differentiation to prepare for cutting away unwanted features to allow the cut size to be twice the pitch 426 of the features 418'. As described in relation to Figure 4I, the process described herein doubles the edge layout error budget and doubles the size of the holes or openings required to cut a single feature. In one embodiment, the patterned mask 450 is composed of materials such as those described in connection with FIG. 4I.

圖4L闡明接續於複數鰭片418’之選定第二者的蝕刻後之圖4K的結構。於一實施例中,複數鰭片418’之第二者被移除於位置454上,以形成具有第二中斷圖案之圖案化複數鰭片418”。於一此類實施例中,暴露的第二圖案化硬遮罩424係使用蝕刻製程而被首先移除,該蝕刻製程是對於任何暴露的第一圖案化硬遮罩104有選擇性的及對於ILD層428有選擇性的。暴露的鰭片係利用一種蝕刻製程而被接著移除於位置454上,該蝕刻製程是對於暴露的第一圖案化硬遮罩404有選擇性的及對於ILD層428有選擇性的。於第一實施例中,鰭片被移除於位置454上而至位準456,留下高於平坦表面422之突出部分在高於突出部分446之表面440的高度上。於第二實施例中,鰭片被移除於位置454上而至位準458,留下高於平坦表面422之突出部分464且在約略如突出部分446之表面440的相同高度上。於第三實施例中,鰭片被移除於位置454上而至位準460,約略與平坦表面422共面。於第四實施例中,鰭片被移除於位置454上而至位準462,留下低於平坦表面422之凹陷466。Figure 4L illustrates the structure of Figure 4K following etching of a selected second of the plurality of fins 418'. In one embodiment, the second of the plurality of fins 418' is removed at location 454 to form a patterned plurality of fins 418" having a second pattern of interruptions. In one such embodiment, the exposed first The second patterned hardmask 424 is first removed using an etch process that is selective to any exposed first patterned hardmask 104 and selective to the ILD layer 428. Exposed fins The sheet is then removed at location 454 using an etch process that is selective to the exposed first patterned hardmask 404 and selective to the ILD layer 428. In the first embodiment , the fins are removed at position 454 to level 456, leaving the protrusions above flat surface 422 at a height above surface 440 of protrusions 446. In the second embodiment, the fins are Removal at location 454 to level 458 leaves protrusion 464 above flat surface 422 and at approximately the same height as surface 440 of protrusion 446. In the third embodiment, the fins are removed At location 454 to level 460, approximately coplanar with flat surface 422. In the fourth embodiment, the fin is removed at location 454 to level 462, leaving recess 466 below flat surface 422 .

圖4M闡明接續於圖案化遮罩450之移除後以及在複數鰭片418”之上且在已移除鰭片之位置438和454中的層間電介質(ILD)層468之形成後的圖4L之結構。於一實施例中,ILD層468係由二氧化矽所組成,諸如被使用於淺溝槽隔離製程中。然而,其他電介質可被替代地使用,諸如氮化物之碳化物。ILD層468可藉由化學氣相沈積(CVD)或其他沈積製程(例如,ALD、PECVD、PVD、HDP輔助CVD、低溫CVD)而被沈積。旋塗式材料為用於這些膜之另一常見選項。許多低k電介質材料可被旋塗於晶圓上並硬化。這些常被使用於產業中。4M illustrates FIG. 4L following the removal of the patterned mask 450 and the formation of an interlayer dielectric (ILD) layer 468 over the plurality of fins 418" and in the locations 438 and 454 of the removed fins In one embodiment, the ILD layer 468 is composed of silicon dioxide, such as is used in shallow trench isolation processes. However, other dielectrics may be used instead, such as nitrides and carbides. The ILD layer 468 can be deposited by chemical vapor deposition (CVD) or other deposition processes such as ALD, PECVD, PVD, HDP assisted CVD, low temperature CVD. Spin-on materials are another common option for these films. Many low-k dielectric materials can be spin-coated on wafers and cured. These are commonly used in the industry.

圖4N闡明接續於ILD層468之平坦化及第一和第二圖案化硬遮罩404和424之移除後的圖4M之結構。於一實施例中,化學機械拋光(CMP)技術被用以移除第一圖案化硬遮罩404及第二硬遮罩424,用以個別地凹陷ILD層428和468至所形成的平坦化ILD層428’和468’,及用以暴露複數鰭片418”之表面。於一實施例中,平坦化ILD層428’係由實質上如平坦化ILD層468’的相同材料所組成。於一實施例中,平坦化ILD層428’係由與平坦化ILD層468’不同的材料所組成。於任一情況下,於一實施例中,接縫被形成於ILD層468’與ILD層428’之間,例如,在位置438或454上。應理解:於一實施例中,複數鰭片418”之暴露表面可被用以形成平坦半導體裝置。FIG. 4N illustrates the structure of FIG. 4M following the planarization of the ILD layer 468 and the removal of the first and second patterned hard masks 404 and 424 . In one embodiment, a chemical mechanical polishing (CMP) technique is used to remove the first patterned hard mask 404 and the second hard mask 424 to individually recess the ILD layers 428 and 468 into the resulting planarization ILD layers 428' and 468', and the surfaces used to expose the plurality of fins 418". In one embodiment, the planarized ILD layer 428' is composed of substantially the same material as the planarized ILD layer 468'. In In one embodiment, the planarized ILD layer 428' is composed of a different material than the planarized ILD layer 468'. In either case, in one embodiment, a seam is formed between the ILD layer 468' and the ILD layer 428', for example, at locations 438 or 454. It should be understood that, in one embodiment, the exposed surfaces of the plurality of fins 418" may be used to form flat semiconductor devices.

依據另一實施例,圖5闡明接續於複數鰭片418”之上部分的暴露後之圖4N的結構。參考圖5,ILD層468’及ILD層428’被凹陷以暴露鰭片418’之突出部分472並提供凹陷的ILD層468”及凹陷的ILD層428”至凹陷高度476。凹陷高度476係定義上鰭片部分472與下鰭片部分474之間的位置。ILD層468’及ILD層428’之凹陷可藉由電漿、蒸汽或濕式蝕刻製程而被履行。於一實施例中,使用一種對於矽鰭片418”有選擇性的乾式蝕刻製程,該乾式蝕刻製程係根據從諸如(但不限定於)NF3 、CHF3 、C4 F8 、HBr及O2 等氣體所產生的電漿,以通常於30-100mTorr之範圍中的壓力及50-1000Watts的電漿偏壓。According to another embodiment, FIG. 5 illustrates the structure of FIG. 4N following exposure of the upper portion of the plurality of fins 418". Referring to FIG. 5, the ILD layer 468' and the ILD layer 428' are recessed to expose the fins 418'. Protrudes portion 472 and provides recessed ILD layer 468" and recessed ILD layer 428" to recess height 476. Recess height 476 defines the location between upper fin portion 472 and lower fin portion 474. ILD layer 468' and ILD Recessing of layer 428' may be performed by plasma, vapor, or wet etching processes. In one embodiment, a dry etching process selective to silicon fins 418" is used that is based on Plasma generated by gases such as (but not limited to) NF 3 , CHF 3 , C 4 F 8 , HBr and O 2 , at pressures typically in the range of 30-100 mTorr and plasma bias of 50-1000 Watts .

於範例實施例中,再次參考圖4J、4L及5,一種半導體結構包括複數半導體鰭片418”,其係突出自半導體基底420之實質上平坦表面422。複數半導體鰭片418”具有由第一位置438所中斷的光柵圖案,該第一位置438具有第一鰭片部分446,該第一鰭片部分446具有第一高度。半導體鰭片之光柵圖案係由第二位置454所進一步中斷,該第二位置454具有第二鰭片部分464,該第二鰭片部分464具有第二高度。於一實施例中,第二鰭片部分454之第二高度係不同於第一鰭片部分446之第一高度。於另一實施例中,第二鰭片部分454之第二高度係相同於第一鰭片部分446之第一高度。於一實施例中,光柵圖案具有恆定節距126,當無該些中斷而觀看時。In an example embodiment, referring again to FIGS. 4J, 4L, and 5, a semiconductor structure includes a plurality of semiconductor fins 418" that protrude from a substantially flat surface 422 of a semiconductor substrate 420. The plurality of semiconductor fins 418" have a first The grating pattern interrupted by location 438 having a first fin portion 446 having a first height. The grating pattern of the semiconductor fins is further interrupted by a second location 454 having a second fin portion 464 having a second height. In one embodiment, the second height of the second fin portion 454 is different from the first height of the first fin portion 446 . In another embodiment, the second height of the second fin portion 454 is the same as the first height of the first fin portion 446 . In one embodiment, the grating pattern has a constant pitch 126 when viewed without these interruptions.

於範例實施例中,再次參考圖4J、4L及5,一種半導體結構包括複數半導體鰭片418”,其係突出自半導體基底420之實質上平坦表面422。複數半導體鰭片418”具有由第一位置438所中斷的光柵圖案,該第一位置438具有第一凹陷。於一實施例中,半導體鰭片之光柵圖案係由第二位置454所進一步中斷,該第二位置454具有第二凹陷、或鰭片部分之一。於一實施例中,光柵圖案具有恆定節距426,當無該些中斷而觀看時。於一實施例中,溝槽隔離層468”被配置於凹陷之中及之上。In an example embodiment, referring again to FIGS. 4J, 4L, and 5, a semiconductor structure includes a plurality of semiconductor fins 418" that protrude from a substantially flat surface 422 of a semiconductor substrate 420. The plurality of semiconductor fins 418" have a first The grating pattern interrupted by location 438, which has a first recess. In one embodiment, the grating pattern of the semiconductor fins is further interrupted by a second location 454 having a second recess, or one of the fin portions. In one embodiment, the grating pattern has a constant pitch 426 when viewed without these interruptions. In one embodiment, trench isolation layer 468" is disposed in and over the recess.

應理解:上述方式可被應用於製造半導體鰭片之外的其他半導體幾何。例如,於一實施例中,上述方式被實施以製造半導體奈米線或半導體奈米帶。於一實施例中,術語「半導體本體」或「多數半導體本體」一般係指稱諸如鰭片、奈米線及奈米帶等幾何。It should be understood that the above approach can be applied to fabricate other semiconductor geometries than semiconductor fins. For example, in one embodiment, the above-described approach is implemented to fabricate semiconductor nanowires or semiconductor nanoribbons. In one embodiment, the terms "semiconductor body" or "majority semiconductor body" generally refer to geometries such as fins, nanowires, and nanoribbons.

應理解:從上述範例處理方案所得之結構(例如,來自圖4N及5之結構)可被用於後續處理操作之相同或類似形式,以完成裝置製造(諸如PMOS及NMOS裝置製造)。當作已完成裝置之範例,圖6A及6B個別地闡明非平面半導體裝置的之橫斷面視圖及平面視圖(沿著橫斷面視圖之a-a’軸所取),依據本發明之實施例。It should be understood that the resulting structures from the example processing schemes described above (eg, from Figures 4N and 5) can be used in the same or similar forms of subsequent processing operations to complete device fabrication, such as PMOS and NMOS device fabrication. As an example of a completed device, FIGS. 6A and 6B individually illustrate a cross-sectional view and a plan view (taken along the a-a' axis of the cross-sectional view) of a non-planar semiconductor device, in accordance with implementations of the present invention example.

參考圖6A,半導體結構或裝置600包括從基底602所形成(且於隔離區606內)之非平面主動區(例如,包括突出鰭片部分604及子鰭片區605之鰭片結構)。閘極線608被配置於非平面主動區之突出部分604上方以及於隔離區606之一部分上方。如圖所示,閘極線608包括閘極電極650及閘極電介質層652。於一實施例中,閘極線608亦可包括電介質層蓋層654。閘極接點614、及上方閘極接點通孔616亦從此透視圖看出,連同上方金屬互連660,其均被配置於層間電介質堆疊或層670中。亦從圖6A之透視圖看出,閘極接點614(於一實施例中)被配置於隔離區606之上,但不是於非平面主動區之上。6A, a semiconductor structure or device 600 includes a non-planar active region (eg, a fin structure including protruding fin portion 604 and sub-fin region 605) formed from substrate 602 (and within isolation region 606). The gate line 608 is disposed over the protruding portion 604 of the non-planar active region and over a portion of the isolation region 606 . As shown, gate line 608 includes gate electrode 650 and gate dielectric layer 652 . In one embodiment, the gate line 608 may also include a dielectric capping layer 654 . Gate contact 614 , and upper gate contact via 616 are also seen from this perspective view, along with upper metal interconnect 660 , which are configured in an interlevel dielectric stack or layer 670 . Also seen from the perspective view of FIG. 6A, gate contact 614 (in one embodiment) is disposed over isolation region 606, but not over the non-planar active region.

如亦於圖6A中所示,於一實施例中,鰭片選擇凹陷之假影係餘留於最後結構中。例如,於所示之實施例中,殘餘突出部分699餘留。於其他實施例中,凹陷可餘留,如上所述。As also shown in FIG. 6A, in one embodiment, artifacts of the fin selection recesses remain in the final structure. For example, in the embodiment shown, residual protrusions 699 remain. In other embodiments, the recesses may remain, as described above.

如亦於圖6A中所示,於一實施例中,介面680存在於突出鰭片部分604與子鰭片區605之間。介面680可為介於已摻雜子鰭片區605與稍微或未摻雜上鰭片部分604之間的變遷區。於一此類實施例中,各鰭片約為10奈米寬或更少,而子鰭片摻雜物被供應自相鄰的固態摻雜層,在子鰭片位置上。於特定的此類實施例中,各鰭片係少於10奈米寬。As also shown in FIG. 6A , in one embodiment, an interface 680 exists between the protruding fin portion 604 and the sub-fin region 605 . Interface 680 may be a transition region between doped sub-fin region 605 and lightly or undoped upper fin portion 604 . In one such embodiment, each fin is about 10 nanometers wide or less, and the sub-fin dopant is supplied from an adjacent solid-state doped layer at the sub-fin location. In certain such embodiments, each fin is less than 10 nanometers wide.

參考圖6B,閘極線608被顯示為配置於突出鰭片部分604之上。突出鰭片部分604之源極和汲極區604A和604B可從此透視圖看出。於一實施例中,源極和汲極區604A和604B為突出鰭片部分604之原始材料的摻雜部分。於另一實施例中,突出鰭片部分604之材料被移除並取代以另一半導體材料,例如藉由外延沈積。於任一情況下,源極和汲極區604A和604B可延伸於電介質層606之高度底下,亦即,進入子鰭片區605。依據本發明之實施例,更厚重摻雜的子鰭片區(亦即,介面680底下之鰭片的已摻雜部分)阻止透過大塊半導體鰭片之此部分的源極至汲極洩漏。Referring to FIG. 6B , gate line 608 is shown disposed over protruding fin portion 604 . The source and drain regions 604A and 604B of the protruding fin portion 604 can be seen from this perspective view. In one embodiment, the source and drain regions 604A and 604B are doped portions of the original material of the protruding fin portion 604 . In another embodiment, the material of the protruding fin portion 604 is removed and replaced with another semiconductor material, such as by epitaxial deposition. In either case, source and drain regions 604A and 604B may extend below the height of dielectric layer 606 , ie, into sub-fin region 605 . In accordance with embodiments of the invention, the more heavily doped sub-fin region (ie, the doped portion of the fin under interface 680) prevents source-to-drain leakage through this portion of the bulk semiconductor fin.

於一實施例中,半導體結構或裝置600為非平面裝置,諸如(但不限定於)fin-FET或三閘極裝置。於此一實施例中,相應的半導體通道區係由三維主體所組成或者被形成為三維主體。於一此類實施例中,閘極線608之閘極電極堆疊係圍繞三維主體之至少頂部表面及一對側壁。In one embodiment, the semiconductor structure or device 600 is a non-planar device, such as, but not limited to, a fin-FET or a tri-gate device. In this embodiment, the corresponding semiconductor channel regions consist of or are formed as three-dimensional bodies. In one such embodiment, the gate electrode stack of gate line 608 surrounds at least the top surface and a pair of sidewalls of the three-dimensional body.

基底602可由一種可承受製造程序且其中電荷可能遷移之半導體材料所組成。於一實施例中,基底602為大塊基底,其係由摻雜有電荷載子(諸如,但不限定於,磷、砷、硼或其組合)之結晶矽、矽/鍺或鍺層所組成,以形成主動區604。於一實施例中,大塊基底602中之矽的濃度大於97%。於另一實施例中,大塊基底602係由生長在分離結晶基底頂部上的外延層所組成,例如,生長在硼摻雜的大塊矽單晶基底頂部上的矽外延層。大塊基底602可替代地由群組III-V材料所組成。於一實施例中,大塊基底602係由III-V族材料所組成,諸如(但不限定於)氮化鎵、磷化鎵、砷化鎵、磷化銦、銻化銦、砷化銦鎵、砷化鋁鎵、磷化銦鎵、或其組合。於一實施例中,大塊基底602係由III-V族材料所組成,而電荷載子摻雜物雜質原子為諸如(但不限定於)碳、矽、鍺、氧、硫、硒或碲等各者。Substrate 602 may be composed of a semiconductor material that can withstand fabrication processes and in which charges may migrate. In one embodiment, the substrate 602 is a bulk substrate formed by a layer of crystalline silicon, silicon/germanium, or germanium doped with charge carriers such as, but not limited to, phosphorus, arsenic, boron, or combinations thereof. composition to form the active region 604 . In one embodiment, the concentration of silicon in the bulk substrate 602 is greater than 97%. In another embodiment, the bulk substrate 602 consists of an epitaxial layer grown on top of a separate crystalline substrate, eg, a silicon epitaxial layer grown on top of a boron-doped bulk silicon single crystal substrate. The bulk substrate 602 may alternatively be composed of Group III-V materials. In one embodiment, bulk substrate 602 is composed of III-V materials such as, but not limited to, gallium nitride, gallium phosphide, gallium arsenide, indium phosphide, indium antimonide, indium arsenide Gallium, aluminum gallium arsenide, indium gallium phosphide, or combinations thereof. In one embodiment, bulk substrate 602 is composed of III-V materials, and charge carrier dopant impurity atoms such as, but not limited to, carbon, silicon, germanium, oxygen, sulfur, selenium, or tellurium and so on.

隔離區606可由一種材料所組成,該種材料適於最終地將永久閘極結構的部分電隔離(或有助於隔離)自下方大塊基底或者隔離其形成於下方大塊基底內之主動區,諸如隔離鰭片主動區。例如,於一實施例中,隔離區606係由一種電介質材料所組成,諸如(但不限定於)二氧化矽、氧氮化矽、氮化矽、或碳摻雜的氮化矽。Isolation region 606 may be composed of a material suitable for ultimately electrically isolating (or helping to isolate) portions of the permanent gate structure from the underlying bulk substrate or active regions formed within the underlying bulk substrate , such as isolating the fin active area. For example, in one embodiment, isolation region 606 is composed of a dielectric material such as, but not limited to, silicon dioxide, silicon oxynitride, silicon nitride, or carbon-doped silicon nitride.

閘極線608可由一種包括閘極電介質層652及閘極電極層650之閘極電極堆疊所組成。於一實施例中,閘極電極堆疊之閘極電極係由金屬閘極所組成,而閘極電介質層係由高K材料所組成。例如,於一實施例中,閘極電介質層係由一種材料所組成,諸如(但不限定於)氧化鉿、氧氮化鉿、矽酸鉿、氧化鑭、氧化鋯、矽酸鋯、氧化鉭、鈦酸鋇鍶、鈦酸鋇、鈦酸鍶、氧化釔、氧化鋁、氧化鉛鈧鉭、鈮酸鉛鋅、或其組合。再者,閘極電介質層之一部分可包括從基底602之頂部數層所形成的天然氧化物之層。於一實施例中,閘極電介質層係由頂部高k部分及下部分(由半導體材料之氧化物所組成)所組成。於一實施例中,閘極電介質層係由氧化鉿之頂部部分及二氧化矽或氧氮化矽之底部部分所組成。於某些實施方式中,閘極電介質之部分為「U」狀結構,其包括實質上平行於基底之表面的底部部分及實質上垂直於基底之頂部表面的兩側壁部分。Gate line 608 may be composed of a gate electrode stack including gate dielectric layer 652 and gate electrode layer 650 . In one embodiment, the gate electrodes of the gate electrode stack are composed of metal gates, and the gate dielectric layer is composed of high-K materials. For example, in one embodiment, the gate dielectric layer is composed of a material such as, but not limited to, hafnium oxide, hafnium oxynitride, hafnium silicate, lanthanum oxide, zirconium oxide, zirconium silicate, tantalum oxide , barium strontium titanate, barium titanate, strontium titanate, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, lead zinc niobate, or a combination thereof. Also, a portion of the gate dielectric layer may include a layer of native oxide formed from the top layers of substrate 602 . In one embodiment, the gate dielectric layer consists of a top high-k portion and a lower portion (composed of an oxide of semiconductor material). In one embodiment, the gate dielectric layer consists of a top portion of hafnium oxide and a bottom portion of silicon dioxide or silicon oxynitride. In certain embodiments, the portion of the gate dielectric is a "U"-shaped structure comprising a bottom portion substantially parallel to the surface of the substrate and two sidewall portions substantially perpendicular to the top surface of the substrate.

於一實施例中,閘極電極係由一種金屬層所組成,諸如(但不限定於)金屬氮化物、金屬碳化物、金屬矽化物、金屬鋁化物、鉿、鋯、鈦、鉭、鋁、釕、鈀、鉑、鈷、鎳或導電金屬氧化物。於一特定實施例中,閘極電極係由一種形成在金屬工作函數設定層之上的非工作函數設定填充材料所組成。閘極電極層可由P型工作函數金屬或N型工作函數金屬所組成,根據電晶體將是PMOS或NMOS電晶體。於某些實施方式中,閘極電極層可包括二或更多金屬層之堆疊,其中一或更多金屬層為工作函數金屬層且至少一金屬層為導電填充層。針對PMOS電晶體,其可用於閘極電極之金屬包括(但不限定於)釕、鈀、鉑、鈷、鎳、及導電金屬氧化物,例如,氧化釕。P型金屬層將致能一種具有介於約4.9eV與約5.2eV間之工作函數的PMOS閘極電極之形成。針對NMOS電晶體,可用於閘極電極之金屬包括(但不限定於)鉿、鋯、鈦、鉭、鋁、這些金屬之合金、及這些金屬之碳化物,諸如碳化鉿、碳化鋯、碳化鈦、碳化鉭、及碳化鋁。N型金屬層將致能一種具有介於約3.9eV與約4.2eV間之工作函數的NMOS閘極電極之形成。於某些實施方式中,閘極電極可包括「U」狀結構,其包括實質上平行於基底之表面的底部部分及實質上垂直於基底之頂部表面的兩側壁部分。於另一實施方式中,形成閘極電極之金屬層的至少一者可僅為平面層,其係實質上平行於基底之頂部表面而不包括實質上垂直於基底之頂部表面的側壁部分。於本發明之進一步實施方式中,閘極電極可包括U狀結構及平面、非U狀結構之組合。例如,閘極電極可包括一或更多U狀金屬層,其係形成於一或更多平面、非U狀層之頂部上。In one embodiment, the gate electrode is composed of a metal layer such as, but not limited to, metal nitride, metal carbide, metal silicide, metal aluminide, hafnium, zirconium, titanium, tantalum, aluminum, Ruthenium, palladium, platinum, cobalt, nickel or conductive metal oxides. In a particular embodiment, the gate electrode is composed of a non-work function setting fill material formed over the metal work function setting layer. The gate electrode layer may be composed of a P-type work function metal or an N-type work function metal, depending on the transistor, it will be a PMOS or NMOS transistor. In certain embodiments, the gate electrode layer may comprise a stack of two or more metal layers, wherein one or more metal layers are work function metal layers and at least one metal layer is a conductive fill layer. For PMOS transistors, metals that can be used for the gate electrode include, but are not limited to, ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides such as ruthenium oxide. The P-type metal layer will enable the formation of a PMOS gate electrode having a work function between about 4.9 eV and about 5.2 eV. For NMOS transistors, metals that can be used for the gate electrode include, but are not limited to, hafnium, zirconium, titanium, tantalum, aluminum, alloys of these metals, and carbides of these metals, such as hafnium carbide, zirconium carbide, titanium carbide , tantalum carbide, and aluminum carbide. The N-type metal layer will enable the formation of an NMOS gate electrode having a work function between about 3.9 eV and about 4.2 eV. In some embodiments, the gate electrode may include a "U"-shaped structure including a bottom portion substantially parallel to the surface of the substrate and two sidewall portions substantially perpendicular to the top surface of the substrate. In another embodiment, at least one of the metal layers forming the gate electrode may only be a planar layer that is substantially parallel to the top surface of the substrate and does not include sidewall portions that are substantially perpendicular to the top surface of the substrate. In further embodiments of the present invention, the gate electrode may include a U-shaped structure and a combination of planar and non-U-shaped structures. For example, the gate electrode may include one or more U-shaped metal layers formed on top of one or more planar, non-U-shaped layers.

與閘極電極堆疊關聯之間隔物可由一種材料所組成,該種材料適於最終地將永久閘極結構電隔離(或有助於隔離)自相鄰的導電接點,諸如自對準接點。例如,於一實施例中,間隔物係由一種電介質材料所組成,諸如(但不限定於)二氧化矽、氧氮化矽、氮化矽、或碳摻雜的氮化矽。The spacer associated with the gate electrode stack may be composed of a material suitable for ultimately electrically isolating (or helping to isolate) the permanent gate structure from adjacent conductive contacts, such as self-aligned contacts . For example, in one embodiment, the spacer is composed of a dielectric material such as, but not limited to, silicon dioxide, silicon oxynitride, silicon nitride, or carbon-doped silicon nitride.

閘極接點614及上方閘極接點通孔616可由一種導電材料所組成。於一實施例中,一或更多接點或通孔係由金屬物種所組成。金屬物種可為純金屬,諸如鎢、鎳、或鈷;或者可為合金,諸如金屬金屬合金或金屬半導體合金(例如,諸如矽化物材料)。The gate contact 614 and the upper gate contact via 616 may be composed of a conductive material. In one embodiment, one or more contacts or vias are composed of metal species. The metal species may be pure metals, such as tungsten, nickel, or cobalt; or may be alloys, such as metal metal alloys or metal semiconductor alloys (eg, such as silicide materials).

於一實施例中(雖然未顯示),提供結構600係涉及形成一接點圖案,其係極佳地對準一現存的閘極圖案而同時免除使用一種具有極度嚴厲的登錄預算之微影操作。於一此類實施例中,此方式致能了本質上高度選擇性的濕式蝕刻(例如,相對於傳統上實施的乾式或電漿蝕刻)之使用,以產生接點開口。於一實施例中,接點圖案係藉由利用現存的閘極圖案結合接點插塞微影操作來形成。於一此類實施例中,該方式致能免除了用以產生接點圖案之其他關鍵微影操作(如傳統上方式中所使用者)的需求。於一實施例中,溝槽接點柵格未被分離地圖案化,而是被形成於多晶(閘極)線之間。例如,於一此類實施例中,溝槽接點柵格被形成在接續於閘極光柵圖案化後但在閘極光柵切割前。In one embodiment (although not shown), providing structure 600 involves forming a contact pattern that is perfectly aligned with an existing gate pattern while avoiding the use of a lithography operation with an extremely severe registration budget. . In one such embodiment, this approach enables the use of an inherently highly selective wet etch (eg, as opposed to conventionally performed dry or plasma etch) to create contact openings. In one embodiment, the contact pattern is formed by utilizing an existing gate pattern in combination with a contact plug lithography operation. In one such embodiment, this approach enables the elimination of the need for other critical lithography operations (as used in conventional approaches) to create the contact pattern. In one embodiment, the trench contact grid is not patterned separately, but is formed between poly (gate) lines. For example, in one such embodiment, the trench contact grid is formed subsequent to gate grating patterning but before gate grating dicing.

再者,閘極堆疊結構608可藉由一種替換閘極程序來製造。於此一技術中,諸如多晶矽或氮化矽柱材料等虛擬閘極材料可被移除並取代以永久閘極電極材料。於一此類實施例中,永久閘極電介質層亦被形成於此製程中,不同於被完成自較早的處理。於一實施例中,虛擬閘極係藉由乾式蝕刻或濕式蝕刻製程而被移除。於一實施例中,虛擬閘極係由多晶矽或非晶矽所組成並以包括SF6 之使用的乾式蝕刻製程來移除。於另一實施例中,虛擬閘極係由多晶矽或非晶矽所組成並以包括水性NH4 OH或氫氧化四甲銨之使用的濕式蝕刻製程來移除。於一實施例中,虛擬閘極係由氮化矽所組成並以包括水性磷酸之濕式蝕刻來移除。Furthermore, the gate stack structure 608 can be fabricated by a replacement gate process. In this technique, dummy gate material such as polysilicon or silicon nitride pillar material can be removed and replaced with permanent gate electrode material. In one such embodiment, the permanent gate dielectric layer is also formed in this process, rather than being completed from an earlier process. In one embodiment, the dummy gate is removed by a dry etch or wet etch process. In one embodiment, the dummy gates are composed of polysilicon or amorphous silicon and are removed with a dry etch process including the use of SF6 . In another embodiment, the dummy gates are composed of polysilicon or amorphous silicon and are removed with a wet etch process including the use of aqueous NH4OH or tetramethylammonium hydroxide. In one embodiment, the dummy gates are composed of silicon nitride and removed by a wet etch including aqueous phosphoric acid.

於一實施例中,文中所述之一或更多方式係基本上考量一種虛擬及替換閘極程序,結合虛擬及替換接點製程,以獲得結構600。於一此類實施例中,替換接點程序被執行在替換閘極程序之後,以容許永久閘極堆疊之至少一部分的高溫退火。例如,於特定此類實施例中,永久閘極結構(例如,在閘極電介質層被形成之後)之至少一部分的退火被執行在大於約攝氏600度之溫度。退火被履行在永久接點之形成以前。In one embodiment, one or more of the approaches described herein essentially consider a dummy and replacement gate process, combined with a dummy and replacement contact process, to obtain structure 600 . In one such embodiment, the replacement contact procedure is performed after the replacement gate procedure to allow high temperature annealing of at least a portion of the permanent gate stack. For example, in certain such embodiments, annealing of at least a portion of the permanent gate structure (eg, after the gate dielectric layer is formed) is performed at a temperature greater than about 600 degrees Celsius. Annealing is performed prior to the formation of permanent contacts.

再次參考圖6A,半導體結構或裝置600之配置係將閘極接點置於隔離區之上。此一配置可被視為佈局空間之無效率使用。然而,於另一實施例中,半導體裝置具有接點結構,其係接觸一主動區之上所形成的閘極電極之部分。通常,在形成閘極接點結構(諸如通孔)於閘極的主動部分之上以及於如溝槽接點通孔的相同層之中以前(例如,除此之外),本發明之一或更多實施例包括首先使用閘極對準的溝槽接點製程。此一製程可被實施以形成溝槽接點結構以供半導體結構製造,例如,針對積體電路製造。於一實施例中,溝槽接點圖案被形成為對準現存的閘極圖案。反之,傳統方式通常涉及一額外的微影製程,具有一微影接點圖案緊密對齊至現存的閘極圖案,結合選擇性接點蝕刻。例如,傳統製程可包括具有接點特徵之分離圖案化的多晶(閘極)柵格之圖案化。Referring again to FIG. 6A, the configuration of the semiconductor structure or device 600 places the gate contact over the isolation region. This configuration can be considered an inefficient use of layout space. However, in another embodiment, the semiconductor device has a contact structure that contacts a portion of a gate electrode formed over an active region. Typically, prior to (eg, in addition to) forming gate contact structures (such as vias) over the active portion of the gate and in the same layer as trench contact vias, one of the present inventions Or more embodiments include first using a gate-aligned trench contact process. Such a process can be implemented to form trench contact structures for semiconductor structure fabrication, eg, for integrated circuit fabrication. In one embodiment, the trench contact pattern is formed to align with the existing gate pattern. In contrast, conventional approaches typically involve an additional lithography process, with a lithography contact pattern closely aligned to the existing gate pattern, combined with selective contact etching. For example, conventional processes may include the patterning of a separately patterned poly (gate) grid with contact features.

應理解:並非上述製程之所有形態均需被實行以落入本發明之實施例的精神及範圍內。例如,於一實施例中,虛擬閘極無須曾被形成在製造閘極接點於閘極堆疊的主動部分之上以前。上述閘極堆疊可實際上為永久閘極堆疊,如一開始所形成者。同時,文中所述之程序可被用以製造一或複數半導體裝置。半導體裝置可為電晶體等類裝置。例如,於一實施例中,半導體裝置為用於邏輯或記憶體之金氧半導體(MOS)電晶體,或者為雙極電晶體。同時,於一實施例中,半導體裝置具有三維架構,諸如三閘極裝置、獨立存取的雙閘極裝置、或FIN-FET。一或更多實施例可特別有用於製造半導體裝置,在次10奈米(10nm)科技節點上。It should be understood that not all forms of the above-described processes need to be implemented to fall within the spirit and scope of the embodiments of the present invention. For example, in one embodiment, the dummy gate need not have been formed prior to fabricating the gate contact over the active portion of the gate stack. The gate stacks described above may actually be permanent gate stacks, as originally formed. Also, the procedures described herein can be used to fabricate one or more semiconductor devices. The semiconductor device may be a transistor or the like. For example, in one embodiment, the semiconductor device is a metal-oxide-semiconductor (MOS) transistor for logic or memory, or a bipolar transistor. Meanwhile, in one embodiment, the semiconductor device has a three-dimensional architecture, such as a triple-gate device, a dual-gate device with independent access, or a FIN-FET. One or more embodiments may be particularly useful for fabricating semiconductor devices at sub-ten nanometer (10 nm) technology nodes.

應理解:於上述範例FEOL實施例中,於一實施例中,次10奈米處理被實施以直接地於製造方案以及所得結構中。於其他實施例中,FEOL考量可由BEOL次10奈米處理需求所驅動。例如,針對FEOL層及裝置之材料選擇性和佈局可能需要適應BEOL次10奈米處理。於一此類實施例中,材料選擇性及閘極堆疊架構被選擇以適應BEOL層之高密度金屬化,例如,用以減少電晶體結構中之邊緣電容,其係形成於FEOL層中但藉由BEOL層之高密度金屬化而被耦合在一起。如此一來,FEOL結構及處理可藉由次10奈米處理而被直接地影響或者可由於BEOL層之次10奈米處理而被間接地影響。It should be understood that in the above-described example FEOL embodiments, in one embodiment, sub-10 nm processing is implemented directly in the fabrication scheme and resulting structure. In other embodiments, FEOL considerations may be driven by BEOL sub-10 nm processing requirements. For example, material selectivity and layout for FEOL layers and devices may need to accommodate BEOL sub-10 nm processing. In one such embodiment, the material selectivity and gate stack architecture are chosen to accommodate high density metallization of the BEOL layer, eg, to reduce fringing capacitance in the transistor structure formed in the FEOL layer but by are coupled together by high density metallization of the BEOL layers. As such, the FEOL structure and processing may be directly affected by the sub-10 nm processing or may be indirectly affected by the sub-10 nm processing of the BEOL layer.

積體電路之後段製程(BEOL)層通常包括導電微電子結構(其於本技術中已知為通孔),用以將通孔上方之金屬線或其他互連電連接至通孔下方之金屬線或其他互連。通孔通常係由微影程序所形成。代表性地,光抗蝕劑層可被旋塗於電介質層之上,光抗蝕劑層可通過圖案化遮罩而被暴露至圖案化的光化輻射,且接著暴露層可被顯影以形成開口於光抗蝕劑層中。接下來,用於通孔之開口可藉由使用光抗蝕劑層中之開口為蝕刻遮罩而被蝕刻於電介質層中。此開口被稱為通孔開口。最後,通孔開口可被填充以一或更多金屬或其他導電材料來形成通孔。Integrated circuit back end of line (BEOL) layers typically include conductive microelectronic structures (known in the art as vias) for electrically connecting metal lines or other interconnects above the vias to metal below the vias line or other interconnection. Vias are usually formed by a lithography process. Typically, a photoresist layer can be spin-coated over the dielectric layer, the photoresist layer can be exposed to patterned actinic radiation through a patterned mask, and then the exposed layer can be developed to form The opening is in the photoresist layer. Next, the openings for the vias can be etched into the dielectric layer by using the openings in the photoresist layer as an etch mask. This opening is called a via opening. Finally, the via openings may be filled with one or more metals or other conductive materials to form vias.

過去,通孔之尺寸及間隔已顯著地減少,且預期未來通孔之尺寸及間隔將持續顯著地減少,針對至少某些類型的積體電路(例如,先進微處理器、晶片組組件、圖形晶片,等等)。當藉由此等微影程序以圖案化具有極小節距之極小通孔時,其本身便存在數項挑戰。此等挑戰之一在於:通孔與上方互連之間的重疊、以及通孔與下方定位互連之間的重疊通常需被控制達通孔節距的四分之一等級的高容許度。隨著通孔節距尺度越來越小,重疊容許度傾向於以較其微影設備所能夠跟得上的更大速度而隨之縮小。The size and spacing of vias has decreased significantly in the past, and it is expected that the size and spacing of vias will continue to decrease significantly in the future for at least some types of integrated circuits (eg, advanced microprocessors, chipset components, graphics wafer, etc.). When patterning very small vias with very small pitches by such lithographic procedures, there are several challenges in themselves. One of these challenges is that the overlap between vias and upper interconnects, and between vias and lower positioned interconnects, typically needs to be controlled to a high tolerance on the order of a quarter of the via pitch. As via pitch dimensions get smaller, the overlap tolerance tends to shrink at a greater rate than their lithography equipment can keep up.

此等挑戰之另一在於:通孔開口之關鍵尺寸通常傾向於較微影掃描器之解析能力更快地縮小。存在有縮小科技以縮小通孔開口之關鍵尺寸。然而,縮小量傾向受限於最小通孔節距、以及受限於縮小程序為足夠地光學近似校正(OPC)中性的能力,且無法顯著地折衷線寬粗糙度(LWR)及/或關鍵尺寸均勻度(CDU)。此等挑戰之又另一在於:光抗蝕劑之LWR及/或CDU特性通常需要隨著通孔開口之關鍵尺寸減少而改良以維持關鍵尺寸預算之相同的整體片段。然而,目前大部分光抗蝕劑之LWR及/或CDU特性並未如通孔開口之關鍵尺寸減少般快速地改良。Another of these challenges is that the critical dimensions of via openings generally tend to shrink faster than the resolution capabilities of lithographic scanners. There are shrink technologies to reduce the critical dimensions of via openings. However, the amount of shrinkage tends to be limited by the minimum via pitch, and by the ability of the shrinkage process to be sufficiently optically approximated-corrected (OPC) neutral and unable to significantly compromise line width roughness (LWR) and/or criticality Dimensional Uniformity (CDU). Yet another of these challenges is that the LWR and/or CDU characteristics of the photoresist typically need to be improved as the critical dimension of the via opening decreases to maintain the same overall segment of the critical dimension budget. However, the LWR and/or CDU properties of most current photoresists have not improved as rapidly as the critical dimension reduction of via openings.

進一步此類挑戰在於:極小通孔節距通常傾向為低於甚至極紫外線(EUV)微影掃描器之解析能力。結果,通常數個不同的微影遮罩可被使用,其傾向於增加成本。於某點,假如節距持續減小,則有可能無法(甚至以多重遮罩)使用EUV掃描器來列印這些極小節距之通孔開口。A further such challenge is that very small via pitches typically tend to be lower than even the resolution capabilities of extreme ultraviolet (EUV) lithography scanners. As a result, often several different lithography masks can be used, which tends to increase cost. At some point, if the pitch continues to decrease, it may be impossible (even with multiple masks) to print these very fine pitch via openings using an EUV scanner.

上述因素亦相關於考量介於金屬線之間的非導電空間或中斷(稱為「插塞」、「電介質插塞」或「金屬線端」)之布局及擴縮,於後段製程(BEOL)金屬互連結構的金屬線之間。上述因素亦相關於導電片,其(依定義)為介於兩條導電金屬線之間(諸如介於兩條平行導電線之間)的導電鏈結器。該些導電片通常位於如金屬線之相同層中。因此,需要改良其用以製造金屬線、金屬通孔、導電片、及電介質插塞之後段金屬化製造技術的領域。The above factors are also relevant to consider the layout and scaling of non-conductive spaces or interruptions between metal lines (called "plugs", "dielectric plugs", or "metal line ends") in back-end-of-line (BEOL) between the metal lines of the metal interconnect structure. The above factors also relate to conductive sheets, which (by definition) are conductive links between two conductive metal lines, such as between two parallel conductive lines. The conductive sheets are usually located in the same layer as the metal lines. Therefore, there is a need to improve the field of metallization manufacturing techniques used to manufacture metal lines, metal vias, conductive sheets, and dielectric plugs.

於以下所述的某些實施例中,通孔特徵(或其他BEOL特徵)之圖案化及對準係使用數個標線片及關鍵對準策略來達成。於其他實施例中,相對地,文中所述之方式致能自對準插塞及/或通孔之製造。於後者實施例中,其可為僅有一關鍵重疊步驟(Mx+1光柵)需被實施的情況。In certain embodiments described below, patterning and alignment of via features (or other BEOL features) is accomplished using several reticle and critical alignment strategies. In other embodiments, in contrast, the methods described herein enable the fabrication of self-aligned plugs and/or vias. In the latter embodiment, it may be the case that only one critical overlap step (Mx+1 grating) needs to be performed.

應理解:與後段製程(BEOL)結構及處理關聯而描述於下的層及材料通常被形成於下方半導體基底或結構(諸如積體電路之下方裝置層)之上或上方。於一實施例中,下方半導體基底代表用以製造積體電路之一般工件物體。半導體基底常包括矽或另一半導體材料之晶圓或其他件。適當的半導體基底包括(但不限定於)單晶矽、多晶矽及矽絕緣體(SOI)、以及由其他半導體材料所形成之類似基底(諸如包括鍺、碳、或III-V族材料之基底)。半導體基底(根據製造之階段)常包括電晶體、積體電路,等等。基底亦可包括半導體材料、金屬、電介質、摻雜物、及半導體基底中常發現的其他材料。再者,所描繪之結構可被製造於下方較低階互連層上。It should be understood that the underlying layers and materials described in connection with back end of line (BEOL) structures and processing are typically formed on or over underlying semiconductor substrates or structures, such as underlying device layers of integrated circuits. In one embodiment, the underlying semiconductor substrate represents a typical workpiece object used to fabricate integrated circuits. Semiconductor substrates often include wafers or other pieces of silicon or another semiconductor material. Suitable semiconductor substrates include, but are not limited to, monocrystalline silicon, polycrystalline silicon, and silicon-on-insulator (SOI), and similar substrates formed from other semiconductor materials (such as substrates including germanium, carbon, or III-V materials). Semiconductor substrates (depending on the stage of manufacture) often include transistors, integrated circuits, and the like. The substrate may also include semiconductor materials, metals, dielectrics, dopants, and other materials commonly found in semiconductor substrates. Furthermore, the depicted structures can be fabricated on lower level interconnect layers below.

雖然製造BEOL金屬化層之金屬化層(或金屬化層的部分)的方法係針對選擇操作而被詳細地描述,但應理解其製造之額外或中間操作可包括標準微電子製造程序,諸如微影、蝕刻、薄膜沈積、平坦化(諸如化學機械拋光(CMP))、擴散、度量衡、犧牲層之使用、蝕刻停止層之使用、平坦化停止層之使用、及/或與微電子組件製造相關之任何其他動作。同時,應理解其針對以下製程流程所述之製程操作可被施行以替代的順序,不是每一操作均需被執行及/或額外的製程操作可被執行。While the method of fabricating a metallization layer (or portion of a metallization layer) of a BEOL metallization layer is described in detail for select operations, it should be understood that additional or intermediate operations in its fabrication may include standard microelectronics fabrication procedures, such as microelectronics. shadowing, etching, thin film deposition, planarization (such as chemical mechanical polishing (CMP)), diffusion, metrology, use of sacrificial layers, use of etch stop layers, use of planarization stop layers, and/or related to microelectronic device fabrication any other action. Also, it should be understood that the process operations described with respect to the following process flow may be performed in alternate orders, not every operation needs to be performed and/or additional process operations may be performed.

於某些情況下,所得結構致能其被直接地集中於下方金屬線上之通孔的製造。通孔可具有較下方金屬線更寬、更窄、或相同的厚度,例如,由於非完美選擇性蝕刻處理。然而,於一實施例中,通孔之中心係與金屬線之中心對準(匹配)。如此一來,於一實施例中,由於傳統微影/雙金屬鑲嵌圖案化(其需另被容許)之偏差不會是以下製程方案之一或更多者之所得結構的因素。In some cases, the resulting structure enables fabrication of vias that are directly focused on the underlying metal lines. The vias may be wider, narrower, or the same thickness as the underlying metal lines, eg, due to a non-perfect selective etch process. However, in one embodiment, the centers of the vias are aligned (matched) with the centers of the metal lines. As such, in one embodiment, variations due to conventional lithography/damascene patterning (which would otherwise be tolerated) do not factor into the resulting structure of one or more of the following process schemes.

應理解:以下所述之某些互連製造方案可被實施以節省許多對準/曝光、可被實施以改良電接觸(例如藉由減少通孔電阻)、或可被實施以減少總製程操作及處理時間,相較於使用傳統方式以圖案化此等特徵所需要者。亦應理解:於超過那些所示者之後續或額外製造操作中,於某些例子中,電介質層可被移除自金屬線之層以提供介於該些金屬線之間的空氣間隙。It should be understood that certain interconnect fabrication schemes described below can be implemented to save many alignment/exposures, can be implemented to improve electrical contact (eg by reducing via resistance), or can be implemented to reduce overall process operations and processing time, compared to what is required to pattern these features using traditional methods. It should also be understood that in subsequent or additional fabrication operations beyond those shown, in some instances, a dielectric layer may be removed from the layer of metal lines to provide air gaps between the metal lines.

依據本發明之實施例,描述一種骨幹方式。該骨幹方式可涉及原子層沈積(ALD)之多數階段。於一實施例中,緊密節距形成係藉由疊代間隔物形成來達成,例如,使用ALD處理。According to an embodiment of the present invention, a backbone approach is described. The backbone approach can involve most stages of atomic layer deposition (ALD). In one embodiment, tight pitch formation is achieved by iterative spacer formation, eg, using ALD processing.

為了提供背景,用於半導體製造之特徵的微影圖案化被限制於成像工具之解析度,無論其為光學(例如,193nm)、電子束或EUV。諸如多通圖案化、圖案縮小法及間隔物為基的節距分割等製程方法可被用以延伸解析度達2至4之因數或甚至可能8之因數。然而,此等方法可被限制在於:原始微影步驟中之製程變化餘留以類似的數值於最後圖案中。例如,微影操作可具有+/-3nm之變化。假如此被利用以節距分割製程方法以產生8nm之最後節距(4nm特徵大小),所得之最後圖案改變以4nm+/-3nm。To provide context, lithographic patterning of features for semiconductor fabrication is limited to the resolution of imaging tools, whether optical (eg, 193 nm), electron beam, or EUV. Process methods such as multi-pass patterning, pattern reduction, and spacer-based pitch segmentation can be used to extend the resolution by a factor of 2 to 4, or even possibly a factor of 8. However, these methods may be limited in that process variations in the original lithography steps remain at similar values in the final pattern. For example, a lithography operation can have a variation of +/- 3 nm. If the split-to-pitch process method is utilized to produce a final pitch of 8 nm (4 nm feature size), the resulting final pattern changes by 4 nm +/- 3 nm.

文中所述之一或更多實施例涉及使用疊代間隔物或薄膜沈積以界定針對一層(諸如BEOL層)之所有或實質上所有最後關鍵小特徵。此等特徵之變化可優於+/-1nm,其係符合ALD技術。多數材料可被利用以致能圖案之「上色」來致能定址其具有針對邊緣布局誤差之放大容限的替代特徵(例如,通孔、切割、插塞等等)。One or more embodiments described herein relate to the use of iterative spacer or thin film deposition to define all or substantially all of the last critical small features for a layer, such as a BEOL layer. The variation of these features can be better than +/- 1 nm, which is consistent with ALD technology. Most materials can be utilized to enable "coloring" of the pattern to enable addressing of alternate features (eg, vias, cuts, plugs, etc.) that have magnification tolerance for edge layout errors.

圖7A及7B闡明用以致能半導體層之極緊密節距最後圖案的目標基礎結構之橫斷面視圖,依據本發明之實施例。7A and 7B illustrate cross-sectional views of a target infrastructure for enabling a very tight pitch final pattern of semiconductor layers, in accordance with an embodiment of the present invention.

參考圖7A,目標基礎層700包括圖案化層702,於硬遮罩層704之上,於轉移層706之上,於基底708之上。圖案化層702包括骨幹特徵710。骨幹特徵710是相對較寬特徵(例如,6-12奈米),具有相對較小特徵之中間群組712(例如,介於相鄰骨幹特徵710之間的6-100s之較小特徵,其中較小特徵為,例如,4-6奈米寬)。Referring to FIG. 7A , a target base layer 700 includes a patterned layer 702 over a hard mask layer 704 , over a transfer layer 706 , and over a substrate 708 . Patterned layer 702 includes backbone features 710 . Backbone features 710 are relatively wide features (eg, 6-12 nm) with an intermediate group 712 of relatively smaller features (eg, 6-100s of smaller features between adjacent backbone features 710 , where Smaller features are, for example, 4-6 nm wide).

於一實施例中,相對較小特徵之中間群組712的各者包括第一材料類型的小特徵716、不同於該第一材料類型之第二材料類型的小特徵714、及不同於該第一材料類型和該第二材料類型之第三材料類型的小特徵718。材料類型之差異可提供不同的蝕刻特性或選擇性於該些材料類型之間。於一實施例中,骨幹特徵710之材料係相同於小特徵718之第三材料類型的材料,如圖7A中所描繪者。於另一實施例中,骨幹特徵710之材料係不同於小特徵718之第三材料類型的材料,但具有如小特徵718之第三材料類型的類似蝕刻特性或選擇性。In one embodiment, each of the middle groups 712 of relatively small features includes small features 716 of a first material type, small features 714 of a second material type different from the first material type, and small features 714 of a second material type different from the first material type. Small features 718 of a material type and a third material type of the second material type. Differences in material types may provide different etch characteristics or selectivity between the material types. In one embodiment, the material of the backbone features 710 is the same material as the third material type of the small features 718, as depicted in Figure 7A. In another embodiment, the material of the backbone features 710 is a different material than the third material type of the small features 718 , but has similar etch characteristics or selectivity as the third material type of the small features 718 .

參考圖7B,目標基礎層750包括圖案化層752,於硬遮罩層754之上,於轉移層756之上,於基底758之上。圖案化層752包括骨幹特徵760。骨幹特徵760是相對較寬特徵(例如,6-12奈米),具有相對較小特徵之中間群組762(例如,介於相鄰骨幹特徵760之間的6-100s之較小特徵,其中較小特徵為,例如,4-6奈米寬)。Referring to FIG. 7B , target base layer 750 includes patterned layer 752 over hard mask layer 754 , over transfer layer 756 , and over substrate 758 . Patterned layer 752 includes backbone features 760 . Backbone features 760 are relatively wide features (eg, 6-12 nm) with an intermediate group 762 of relatively smaller features (eg, 6-100s of smaller features between adjacent backbone features 760 , where Smaller features are, for example, 4-6 nm wide).

於一實施例中,相對較小特徵之中間群組762的各者包括第一材料類型的小特徵764、不同於該第一材料類型之第二材料類型的小特徵766、及不同於該第一材料類型和該第二材料類型之第三材料類型的小特徵768。材料類型之差異可提供不同的蝕刻特性或選擇性於該些材料類型之間。於一實施例中,骨幹特徵760之材料係相同於小特徵766之第二材料類型的材料,如圖7B中所描繪者。於另一實施例中,骨幹特徵760之材料係不同於小特徵766之第二材料類型的材料,但具有如小特徵766之第三材料類型的類似蝕刻特性或選擇性。In one embodiment, each of the middle groups 762 of relatively smaller features includes small features 764 of a first material type, small features 766 of a second material type different from the first material type, and small features 766 of a second material type different from the first material type. Small features 768 of a material type and a third material type of the second material type. Differences in material types may provide different etch characteristics or selectivity between the material types. In one embodiment, the material of the backbone features 760 is the same material as the second material type of the small features 766, as depicted in Figure 7B. In another embodiment, the material of the backbone features 760 is a different material than the second material type of the small features 766 , but has similar etch characteristics or selectivity as the third material type of the small features 766 .

參考圖7A及7B兩者,於一實施例中,結構700或750包括交替材料之數個疊代垂直層,其將最終地界定一半導體圖案(例如,金屬、電晶體,等等)中之特徵的最後位置。偶爾較大特徵會出現,因為其代表微影地界定的結構,其(於一實施例中)為較大的(較寬的),由於其具有較大的大小變化。於一實施例中,六至數百個窄特徵係介於寬特徵之間。Referring to both Figures 7A and 7B, in one embodiment, a structure 700 or 750 includes several iterative vertical layers of alternating materials that will ultimately define a semiconductor pattern (eg, metal, transistor, etc.) The last position of the feature. Occasionally larger features appear because they represent lithographically defined structures, which (in one embodiment) are larger (wider) due to their larger size variation. In one embodiment, six to hundreds of narrow features are between the wide features.

圖8A-8H闡明橫斷面視圖,其表示一種製造用以致能半導體層之極緊密節距最後圖案的目標基礎結構之方法中的各個操作,依據本發明之實施例。整體地,於一實施例中,疊代薄膜產生操作被利用。例如,履行共形薄膜沈積,接續以各向異性蝕刻(例如,間隔物形成)、選擇性生長、或定向自聚合(DSA)。諸如以下所述之圖案化製程可被實施以提供一種適於產生半導體層之極緊密節距最後圖案的圖案化製程。於一實施例中,實施此一製程流之優點包括緊密節距特徵之增進的尺寸控制,利用一種用以將交替特徵上色來容許自對準通孔、插塞及切割形成的內建方法。8A-8H illustrate cross-sectional views representing various operations in a method of fabricating a target base structure for enabling a very tight pitch final pattern of semiconductor layers, in accordance with an embodiment of the present invention. Overall, in one embodiment, an iterative thin film generation operation is utilized. For example, conformal thin film deposition is performed, followed by anisotropic etching (eg, spacer formation), selective growth, or directed self-polymerization (DSA). A patterning process such as the one described below can be implemented to provide a patterning process suitable for producing very fine pitch final patterns of semiconductor layers. In one embodiment, advantages of implementing such a process flow include enhanced dimensional control of close-pitch features, utilizing a built-in method for coloring alternating features to allow for self-aligned vias, plugs, and dicing formation .

圖8A闡明一種涉及高骨幹形成之製程操作。複數骨幹特徵808被形成於硬遮罩層806之上,其被形成於轉移層804之上,其被形成於基底802之上。於一實施例中,複數骨幹特徵808之形成涉及使用標準微影操作(例如,193nm或EUV),接續以蝕刻轉移入硬遮罩(例如,SiN,SiO2 ,SiC)並接著移除任何餘留的抗蝕劑及/或抗反射層(例如,透過灰化或濕式清潔)。Figure 8A illustrates a process operation involving high backbone formation. Backbone features 808 are formed over hardmask layer 806 , which is formed over transfer layer 804 , which is formed over substrate 802 . In one embodiment, the formation of the plurality of backbone features 808 involves the use of standard lithography operations (eg, 193 nm or EUV), followed by etch transfer into a hard mask (eg, SiN, SiO 2 , SiC) and then removal of any remaining The remaining resist and/or anti-reflection layer (eg, by ashing or wet cleaning).

圖8B闡明一種涉及第一間隔物(間隔物1)形成之製程操作。第一材料組成之第一組小特徵810被形成沿著複數骨幹特徵808之各者的側壁。於一實施例中,第一組小特徵810係使用沈積(例如,ALD)及蝕刻方式來形成。於另一實施例中,第一組小特徵810係使用選擇性生長方式來形成。Figure 8B illustrates a process operation involving the formation of a first spacer (Spacer 1). A first set of small features 810 of a first material are formed along the sidewalls of each of the plurality of backbone features 808 . In one embodiment, the first set of small features 810 is formed using deposition (eg, ALD) and etching. In another embodiment, the first set of small features 810 are formed using selective growth.

圖8C闡明一種涉及第二間隔物(間隔物2)形成、第三間隔物(間隔物3)形成、及第四間隔物(間隔物4)形成之製程操作,利用如顯示為一可能範例實施例之特定層。第二材料組成之第二組小特徵812被形成沿著第一組小特徵810之各者的暴露側壁。第三材料組成之第三組小特徵814被形成沿著二組小特徵812之各者的暴露側壁。第二材料組成之第四組小特徵816被形成沿著第三組小特徵814之各者的暴露側壁。於一實施例中,第二組小特徵812係使用沈積(例如,ALD)及蝕刻方式或選擇性生長方式來首先形成。第三組小特徵814係使用另一沈積(例如,ALD)及蝕刻方式或選擇性生長方式來接著形成。第四組小特徵816係使用另一沈積(例如,ALD)及蝕刻方式或選擇性生長方式來接著形成。Figure 8C illustrates a process operation involving the formation of a second spacer (spacer 2), a third spacer (spacer 3), and a fourth spacer (spacer 4), implemented using as shown as a possible example specific layer of the example. A second set of small features 812 of a second material composition is formed along the exposed sidewalls of each of the first set of small features 810 . A third set of small features 814 composed of a third material is formed along the exposed sidewalls of each of the two sets of small features 812 . A fourth set of small features 816 of the second material composition is formed along the exposed sidewalls of each of the third set of small features 814 . In one embodiment, the second set of small features 812 are first formed using deposition (eg, ALD) and etching or selective growth. A third set of small features 814 is then formed using another deposition (eg, ALD) and etching or selective growth. A fourth set of small features 816 is then formed using another deposition (eg, ALD) and etching or selective growth.

圖8D闡明一種涉及連續層產生之製程操作。額外間隔物層818被依序地形成,利用材料類型之選擇排序。額外間隔物層818可使用沈積及蝕刻方式、選擇性生長方式、或其組合來製造。應理解:比所示者更多的層可被加入。例如,於一實施例中,額外的20-200組間隔物被形成於此階段。間隔物之沈積可被完成在相鄰側壁生長之合併以前,例如,間隔物形成被停止在當開口820餘留時。應理解:雖然沈積及蝕刻方式或選擇性生長方式被描述為針對圖8A-8D之選項,但定向自聚合(DSA)可被使用以取代或成為文中所述之間隔物形成的選項之一。於一此類範例中,三區塊為基的DSA被使用。三區塊為基的DSA之範例係關聯與圖12A-12K而被描述於下。Figure 8D illustrates a process operation involving successive layer generation. Additional spacer layers 818 are formed sequentially, using a selection order of material types. The additional spacer layer 818 can be fabricated using deposition and etching methods, selective growth methods, or a combination thereof. It should be understood that more layers than shown may be added. For example, in one embodiment, an additional 20-200 sets of spacers are formed at this stage. The deposition of the spacers can be done before the merging of adjacent sidewall growths, eg, the spacer formation is stopped when the openings 820 remain. It should be understood that although deposition and etching approaches or selective growth approaches are described as options for Figures 8A-8D, directed self-aggregation (DSA) may be used in place of or as one of the options for spacer formation described herein. In one such example, a three-block based DSA is used. An example of a three-block-based DSA is described below in connection with Figures 12A-12K.

於一實施例中,集體地參考圖8A-8D,於原始微影界定的模板特徵之側上的交替材料之薄層的疊代產生被履行。用以達成此一結構之一潛在方法係透過薄膜沈積,接續以各向異性蝕刻。於一實施例中,單一製程工具被用以履行沈積和蝕刻兩者來顯著地增進此方式之效率。產生良好受控制厚度之薄層的其他方法包括選擇性生長或DSA。In one embodiment, referring collectively to Figures 8A-8D, iterative generation of thin layers of alternating material on the sides of the template features defined by the original lithography is performed. One potential method to achieve such a structure is through thin film deposition followed by anisotropic etching. In one embodiment, a single process tool is used to perform both deposition and etching to significantly improve the efficiency of this approach. Other methods of producing thin layers of well-controlled thickness include selective growth or DSA.

圖8E闡明一種涉及骨幹移除之製程操作。骨幹特徵808被移除以留下開口822。於一實施例中,開口822具有大約相同於開口820之寬度的寬度,如圖8E中所描繪者。於一實施例中,開口820及822之各者具有間隔物824為側壁,第一材料組成之間隔物824。如圖所示,某些間隔物824被再指定自先前標示的間隔物810。於一實施例中,骨幹特徵808被移除以提供更多空間以供進一步小特徵產生。Figure 8E illustrates a process operation involving backbone removal. Backbone feature 808 is removed to leave opening 822 . In one embodiment, opening 822 has a width that is approximately the same as the width of opening 820, as depicted in Figure 8E. In one embodiment, each of the openings 820 and 822 has a spacer 824 as the sidewall, the spacer 824 of the first material composition. As shown, some of the spacers 824 are reassigned from the previously labeled spacers 810 . In one embodiment, the backbone features 808 are removed to provide more space for further small feature generation.

圖8F闡明一種涉及連續層產生之製程操作。開口820及822係使用連續間隔物形成而被最終完全地填充。於範例實施例中,間隔物826被形成沿著間隔物824之暴露側壁。於一此類實施例中,間隔物826屬於第二材料組成。於一實施例中,最後寬特徵828被最終地形成於開口820及822之各者的中心上,在當進一步間隔物形成是不想要的或可達成的時之階段。於一實施例中,最後寬特徵828之形成涉及其沿著間隔物826之相鄰側壁所形成的材料生長之合併。於一此類實施例中,材料生長之合併係提供最後寬特徵828,其各具有約略以最後寬特徵828內為中心的接縫。於一實施例中,最後寬特徵828屬於第三材料組成。Figure 8F illustrates a process operation involving successive layer generation. Openings 820 and 822 are formed using continuous spacers to be eventually completely filled. In an example embodiment, spacers 826 are formed along the exposed sidewalls of spacers 824 . In one such embodiment, the spacer 826 is composed of a second material. In one embodiment, the last wide feature 828 is finally formed on the center of each of the openings 820 and 822, at a stage when further spacer formation is not desired or achievable. In one embodiment, the formation of the last wide feature 828 involves the merging of its growth of material formed along adjacent sidewalls of the spacer 826 . In one such embodiment, the merging of material growth provides last wide features 828 each having a seam approximately centered within the last wide features 828 . In one embodiment, the last wide feature 828 is of a third material composition.

圖8G闡明一種涉及圖8F之結構的平坦化之製程操作。於一實施例中,平坦化係使用化學機械拋光(CMP)操作來履行。於一實施例中,平坦化製程提供平坦結構,在插塞/切割及通孔製程操作之前。直接地集中在原始微影特徵底下(其導致開口822)且半途地隔離於其間(其導致開口820)之位置828可被瞄準成為較大,以容納與微影操作相關的較大大小變化,相較於單一薄膜(加蝕刻)操作。於一實施例中,如圖所示,圖8G之結構係類似於或相同於與圖7A關聯所述者。Figure 8G illustrates a process operation involving planarization of the structure of Figure 8F. In one embodiment, planarization is performed using a chemical mechanical polishing (CMP) operation. In one embodiment, the planarization process provides a flat structure prior to plug/cut and via process operations. Locations 828 centered directly under the original lithography feature (which results in opening 822) and halfway isolated therebetween (which results in opening 820) can be targeted to be larger to accommodate larger size variations associated with lithography operations, Compared to a single thin film (plus etch) operation. In one embodiment, as shown, the structure of FIG. 8G is similar or identical to that described in connection with FIG. 7A.

圖8H闡明一種涉及第一材料組成之所有特徵的選擇性移除之製程操作,例如,間隔物810/824(相應於來自圖7A之結構的第一材料類型之小特徵716,如圖8G中所示者)。於一實施例中,第一材料類型之小特徵716係使用一種選擇性蝕刻製程而被移除,該選擇性蝕刻製程並未移除(或僅少量地移除)餘留的間隔物材料。於圖8H所示之範例實施例中,在移除第一材料類型之小特徵716後,金屬線圖案化特徵830被形成於開口中,該些開口是在移除所有第一材料類型之小特徵716時所產生的。金屬線圖案化特徵830之部分係與下方通孔圖案化特徵832相關。雖然未描繪,第一材料類型之小特徵716的選定者可被留存(例如,透過光微影阻擋製程,其係阻擋第一材料類型之小特徵716的該些選定者被移除)以形成插塞圖案化特徵。於一實施例中,金屬線圖案化特徵830、通孔圖案化特徵832、及任何插塞圖案化特徵被最終地圖案化為硬遮罩層806和轉移層804,以供下方層之最終圖案化。於另一實施例中,如圖所示,金屬線圖案化特徵830、通孔圖案化特徵832、及任何插塞圖案化特徵實際上代表層834中所形成的金屬線、通孔及插塞,如圖所示。無論是金屬線圖案化特徵830或實際金屬線,各可具有上覆硬遮罩蓋層836以保護該些特徵於層834之後續處理期間,如圖8H中所示者。再次參考圖8H,於一實施例中,藉由僅移一間隔物類型,則額外的容限被提供給插塞、通孔及/或切割圖案化操作中的製程變化。Figure 8H illustrates a process operation involving the selective removal of all features of the first material composition, eg, spacers 810/824 (corresponding to small features 716 of the first material type from the structure of Figure 7A, as in Figure 8G shown). In one embodiment, the small features 716 of the first material type are removed using a selective etch process that does not remove (or only slightly removes) the remaining spacer material. In the example embodiment shown in FIG. 8H, after removal of the small features 716 of the first material type, metal line patterned features 830 are formed in openings after removal of all the small features of the first material type. Generated when feature 716. Portions of metal line patterned features 830 are associated with underlying via patterned features 832 . Although not depicted, selected ones of the small features 716 of the first material type may be retained (eg, through a light lithography blocking process that blocked those selected ones of the small features 716 of the first material type removed) to form Plug patterning features. In one embodiment, metal line patterned features 830, via patterned features 832, and any plug patterned features are finally patterned into hardmask layer 806 and transfer layer 804 for final patterning of underlying layers change. In another embodiment, as shown, metal line patterned features 830 , via patterned features 832 , and any plug patterned features actually represent metal lines, vias, and plugs formed in layer 834 ,as the picture shows. Either metal line patterned features 830 or actual metal lines, each may have an overlying hard mask cap layer 836 to protect those features during subsequent processing of layer 834, as shown in Figure 8H. Referring again to FIG. 8H, in one embodiment, by shifting only one spacer type, additional tolerance is provided for process variations in plug, via, and/or dicing patterning operations.

圖8H’及8H”闡明接續於通孔及插塞圖案化後之範例結構的橫斷面視圖,依據本發明之實施例。8H' and 8H" illustrate cross-sectional views of exemplary structures following patterning of vias and plugs, in accordance with embodiments of the present invention.

圖8H’闡明一種涉及來自圖8H之骨幹特徵710的所有材料及第三材料類型的所有小特徵718之選擇性移除的製程操作。於一實施例中,骨幹特徵710及第三材料類型之小特徵718係使用一種選擇性蝕刻製程而被移除,該選擇性蝕刻製程並未移除(或僅少量地移除)餘留的間隔物材料或者已替換的間隔物材料。於圖8H’所示之範例實施例中,在移除骨幹特徵710及第三材料類型之小特徵718後,第二金屬線圖案化特徵838被形成於大部分或所有開口中,該些開口是在移除骨幹特徵710及第三材料類型之小特徵718時所產生的。於一實施例中,在移除骨幹特徵710及第三材料類型之小特徵718時所產生的開口之任何餘留者被填充以插塞材料850(例如,用以提供由諸如SiN或SiO2 等非導電材料所組成的線端特徵),或者被保留為插塞區。第二金屬線圖案化特徵838之部分係與下方第二通孔圖案化特徵840相關。於一實施例中,第二金屬線圖案化特徵838、第二通孔圖案化特徵840、及任何插塞圖案化特徵850被最終地圖案化為硬遮罩層806和轉移層804,以供下方層之最終圖案化。於另一實施例中,如圖所示,第二金屬線圖案化特徵838、第二通孔圖案化特徵840、及任何插塞圖案化特徵850實際上個別地代表金屬線、通孔及插塞。Figure 8H' illustrates a process operation involving selective removal of all materials from backbone feature 710 of Figure 8H and all small features 718 of the third material type. In one embodiment, the backbone features 710 and the small features 718 of the third material type are removed using a selective etch process that does not remove (or only removes only a small amount) the remaining Spacer material or replaced spacer material. In the example embodiment shown in FIG. 8H', after removal of backbone features 710 and small features 718 of the third material type, second metal line patterned features 838 are formed in most or all of the openings is produced when the backbone feature 710 and the small features 718 of the third material type are removed. In one embodiment, any remains of the openings created when the backbone features 710 and the small features 718 of the third material type are removed are filled with plug material 850 (eg, to provide material such as SiN or SiO 2 ) . non-conductive material such as wire termination features), or are reserved as plug areas. Portions of the second metal line patterned features 838 are associated with the underlying second via patterned features 840 . In one embodiment, the second metal line patterned features 838, the second via patterned features 840, and any plug patterned features 850 are finally patterned into the hardmask layer 806 and transfer layer 804 for Final patterning of the underlying layers. In another embodiment, as shown, the second metal line patterned features 838, the second via patterned features 840, and any plug patterned features 850 actually represent metal lines, vias, and plugs individually. plug.

無論是金屬線第二圖案化特徵838或實際金屬線,或者無論是圖案化插塞特徵850或實際插塞特徵850,各可具有上覆硬遮罩蓋層842以保護該些特徵於後續處理操作期間,如圖8H’中所示者。於一實施例中,上覆硬遮罩蓋層842具有不同的組成,相較於上覆硬遮罩蓋層836。因此,於一實施例中,交替特徵具有不同的硬遮罩材料。此一配置可較佳地促成通孔之後續連接,從上方的後續形成層,具有增加的邊緣布局容限以防止通孔至錯誤金屬特徵。Either the metal line second patterned feature 838 or the actual metal line, or either the patterned plug feature 850 or the actual plug feature 850, each may have an overlying hard mask cap layer 842 to protect those features from subsequent processing During operation, as shown in Figure 8H'. In one embodiment, the overlying hardmask cap layer 842 has a different composition than the overlying hardmask capping layer 836 . Thus, in one embodiment, alternating features have different hardmask materials. This configuration preferably facilitates subsequent connection of vias, from subsequent layers formed above, with increased edge layout tolerance to prevent vias to wrong metal features.

應理解:因為金屬線830(或圖案化特徵)及第二金屬線838(或圖案化特徵)被形成於不同的處理操作中,所以金屬線830與第二金屬線838之組成可能不同。於範例實施例中,圖8H”闡明一範例,其中金屬線830’具有與金屬線838不同的組成。因此,交替特徵可由不同的導電材料所組成。It should be understood that because the metal lines 830 (or patterned features) and the second metal lines 838 (or patterned features) are formed in different processing operations, the compositions of the metal lines 830 and the second metal lines 838 may be different. In an example embodiment, Figure 8H" illustrates an example in which metal line 830' has a different composition than metal line 838. Thus, alternating features may be composed of different conductive materials.

應理解:間隔物為基的節距分割技術之某些較舊形式可被使用於大量製造。圍繞骨幹方式之上述實施例可被實施以延伸一或二通之間隔物為基的節距分割達極高數目的疊代間隔物形成操作。一或更多實施例提供一種方式,係用於以高製造產量之半導體晶片密度擴縮的方式。一或更多實施例提供一種用以製造具有恆定地良好形成的特徵大小之緊密互連,或甚至電晶體(假如應用至FEOL處理的話)。應理解:使用骨幹方式所製造的產品之反向工程可顯露具有偶爾寬一維度(1D)特徵之顯著緊密節距特徵(例如,次10nm節距特徵)。橫斷面掃描電子顯微鏡(XSEM)可顯露「上色的」(例如,針對諸如蝕刻選擇性等性質係彼此不同)硬遮罩於交替的特徵上。It should be understood that some older forms of spacer-based pitch segmentation techniques can be used for high volume manufacturing. The above-described embodiments around the backbone approach can be implemented with spacer-based pitch divisions extending one or two passes up to a very high number of iterative spacer forming operations. One or more embodiments provide a means for density scaling of semiconductor wafers with high manufacturing throughput. One or more embodiments provide a method for fabricating tight interconnects, or even transistors (if applied to FEOL processing), with consistently well-formed feature sizes. It should be understood that reverse engineering of products fabricated using the backbone approach can reveal significantly tight pitch features (eg, sub-10 nm pitch features) with occasional wide one-dimensional (1D) features. Cross-sectional scanning electron microscopy (XSEM) can reveal "colored" (eg, differing from each other for properties such as etch selectivity) hardmasks on alternating features.

依據本發明之實施例,節距分割被應用以提供一種用以製造交替金屬線於BEOL製造方案中之方式。文中所述之一或更多實施例係有關節距分割圖案化製程流,其係增加針對通孔、切割及插塞之重疊容限。實施例可致能金屬層之節距的連續擴縮超越最先進微影設備之解析度能力。於一實施例中,介於金屬線之間的間隔為恆定的且可使用ALD而被控制至埃位準精確度。於一實施例中,製程流被設計以致其「替換ILD」流是可能的。亦即,ILD可被沈積在圖案化及金屬化完成之後。圖案化流程通常係透過蝕刻/清潔步驟而損害ILD;但於此流程中,ILD可被最後沈積而因此避免圖案化期間之損害。In accordance with embodiments of the present invention, pitch division is applied to provide a way to fabricate alternating metal lines in a BEOL fabrication scheme. One or more of the embodiments described herein relate to a pitch segmentation patterning process flow that increases overlap tolerance for vias, cuts, and plugs. Embodiments may enable continuous scaling of the pitch of metal layers beyond the resolution capabilities of state-of-the-art lithography equipment. In one embodiment, the spacing between the metal lines is constant and can be controlled to Angstrom level accuracy using ALD. In one embodiment, the process flow is designed such that its "replacement ILD" flow is possible. That is, the ILD can be deposited after the patterning and metallization are completed. The patterning process typically damages the ILD through an etch/clean step; however, in this process, the ILD can be deposited last and thus avoid damage during patterning.

為了提供背景,通孔、切割及插塞圖案化之邊緣布局誤差是有問題的,當特徵大小及節距被擴縮時。用以解決此等問題之最先進解決方案涉及嘗試藉由增進掃描器重疊並增進關鍵尺寸(CD)控制以緊縮邊緣布局誤差或者嘗試使用超自對準集成方式。反之,文中所述之實施例涉及一種製程之實施方式,該製程可達成邊緣布局誤差範圍之類似增進而無須微影工具或超自對準之增進。To provide context, edge placement errors for via, dicing, and plug patterning are problematic when feature size and pitch are scaled. State-of-the-art solutions to these problems involve trying to tighten edge layout errors by increasing scanner overlap and improving critical dimension (CD) control or trying to use super self-aligned integration approaches. In contrast, the embodiments described herein relate to the implementation of a process that can achieve similar improvements in edge layout error margins without the need for lithography tools or super self-alignment improvements.

依據本發明之實施例,金屬線被製造於兩個分離的操作序列中,以加倍針對切割/插塞及通孔圖案化之重疊容限的量。於範例製程流程之第一部分中,節距分割方法被使用以將金屬線、插塞及接著通孔圖案化入層間電介質材料中。於範例製程流程之第二部分中,溝槽/通孔開口被填充以金屬(例如,雙金屬鑲嵌金屬化)並接著拋光。犧牲硬遮罩層被接著移除於金屬線之間。金屬線被接著塗佈以犧牲電介質材料,其係使用(例如)原子層沈積(ALD)。於範例製程流程之第三部分中,等向間隔物蝕刻被履行以暴露溝槽之底部。使用插塞圖案化流程,電介質材料被加至其中金屬線端所應發生的位置,而通孔蝕刻被完成於互補式金屬線上。來自第一金屬線之金屬係作用為蝕刻停止,用以防止這些位置中之蝕刻。於範例製程流程之第四部分中,溝槽被填充以金屬並被拋光以暴露該金屬。在拋光之後,犧牲硬遮罩材料被移除,且選擇性地,替換以電介質材料並接著被再次拋光以完成該金屬化製程。藉由調諧電介質材料之沈積,空氣間隙亦可被插入。此外,實施例可涉及犧牲硬遮罩材料(取代金屬)之使用。犧牲硬遮罩可被移除並替換以金屬,於「第二」金屬化操作時。According to embodiments of the present invention, metal lines are fabricated in two separate sequences of operations to double the amount of overlap tolerance for dicing/plugging and via patterning. In the first part of the example process flow, a pitch division method is used to pattern metal lines, plugs, and then vias into the interlayer dielectric material. In the second part of the example process flow, the trench/via openings are filled with metal (eg, dual damascene metallization) and then polished. The sacrificial hard mask layer is then removed between the metal lines. The metal lines are then coated with a sacrificial dielectric material using, for example, atomic layer deposition (ALD). In the third part of the example process flow, an isotropic spacer etch is performed to expose the bottom of the trench. Using a plug patterning process, dielectric material is added where metal line ends should occur, and via etch is done on complementary metal lines. The metal system from the first metal line acts as an etch stop to prevent etching in these locations. In the fourth part of the example process flow, the trenches are filled with metal and polished to expose the metal. After polishing, the sacrificial hard mask material is removed and, optionally, replaced with a dielectric material and then polished again to complete the metallization process. Air gaps can also be inserted through the deposition of tuning dielectric materials. Furthermore, embodiments may involve the use of sacrificial hard mask materials (instead of metals). The sacrificial hardmask can be removed and replaced with metal during a "second" metallization operation.

於範例處理方案中,圖9A-9L闡明積體電路層之部分的斜角橫斷面視圖,其表示一種涉及用於後段製程(BEOL)互連製造之增加重疊容限的節距分割圖案化之方法中的各個操作,依據本發明之實施例。In an example processing scheme, FIGS. 9A-9L illustrate oblique cross-sectional views of a portion of an integrated circuit layer representing a pitch division patterning involving increased overlap tolerance for back end of line (BEOL) interconnect fabrication Each operation in the method is according to an embodiment of the present invention.

參考圖9A,開始點結構900被提供為用以製造新金屬化層之開始點。開始點結構900包括硬遮罩層902,其係配置於犧牲層904上,其係配置於層間電介質(ILD)層906上。ILD層可被配置於基底上方,而(於一實施例中)被配置於下方金屬化層之上。於一實施例中,硬遮罩層902為氮化矽(SiN)或氮化鈦硬遮罩層。於一實施例中,犧牲層為矽層,諸如多晶矽層或非晶矽層。Referring to Figure 9A, a starting point structure 900 is provided as a starting point for fabricating a new metallization layer. The starting point structure 900 includes a hard mask layer 902 disposed on a sacrificial layer 904 disposed on an interlayer dielectric (ILD) layer 906 . The ILD layer may be disposed over the substrate and (in one embodiment) over the underlying metallization layer. In one embodiment, the hard mask layer 902 is a silicon nitride (SiN) or titanium nitride hard mask layer. In one embodiment, the sacrificial layer is a silicon layer, such as a polysilicon layer or an amorphous silicon layer.

參考圖9B,圖9B之結構的硬遮罩層902及犧牲層904被圖案化。硬遮罩層902及犧牲層904被圖案化以個別地形成圖案化硬遮罩層908及圖案化犧牲層910。圖案化硬遮罩層908及圖案化犧牲層910包括第一線開口912及線端區914之圖案。於一實施例中,矽犧牲層適於使用各向異性電漿蝕刻製程以圖案化至精細特徵。於一實施例中,微影抗蝕劑遮罩曝光及蝕刻製程被使用以形成圖案化硬遮罩層908及圖案化犧牲層910,具有抗蝕劑層或堆疊之後續移除。於一實施例中,第一線開口912具有光柵類型圖案,如圖9B中所描繪者。於一實施例中,節距分割圖案化方案被使用以形成第一線開口912之圖案。適當節距分割方案之範例被更詳細地描述於下。後續的線「切割」或插塞保留微影製程可接著被使用以界定線端區914。Referring to FIG. 9B, the hard mask layer 902 and the sacrificial layer 904 of the structure of FIG. 9B are patterned. Hard mask layer 902 and sacrificial layer 904 are patterned to form patterned hard mask layer 908 and patterned sacrificial layer 910, respectively. The patterned hard mask layer 908 and the patterned sacrificial layer 910 include a pattern of first line openings 912 and line end regions 914 . In one embodiment, the sacrificial silicon layer is suitable for patterning into fine features using an anisotropic plasma etch process. In one embodiment, a lithographic resist mask exposure and etch process is used to form patterned hard mask layer 908 and patterned sacrificial layer 910, with subsequent removal of the resist layer or stack. In one embodiment, the first line openings 912 have a grating type pattern, as depicted in Figure 9B. In one embodiment, a pitch division patterning scheme is used to form the pattern of the first line openings 912 . Examples of suitable pitch partitioning schemes are described in more detail below. Subsequent line "dicing" or plug-preserving lithography processes may then be used to define line termination regions 914 .

圖9C闡明接續於下方通孔位置圖案化後之圖9B的結構。通孔開口916可被形成於ILD層906之選定位置上,以形成圖案化ILD層918。於一實施例中,通孔係使用自對準通孔製程而被圖案化。選定位置被形成於由第一線開口912所暴露之ILD層906的區內。於一實施例中,分離的微影及蝕刻製程被使用以形成通孔開口916,在用以形成第一線開口912之微影圖案化製程後。Figure 9C illustrates the structure of Figure 9B following patterning of the underlying via locations. Via openings 916 may be formed in selected locations of the ILD layer 906 to form a patterned ILD layer 918 . In one embodiment, the vias are patterned using a self-aligned via process. Selected locations are formed in regions of the ILD layer 906 exposed by the first line openings 912 . In one embodiment, separate lithography and etching processes are used to form via openings 916 after the lithography patterning process used to form first line openings 912 .

圖9D闡明接續於第一金屬化製程後之圖9C的結構。於一實施例中,雙金屬鑲嵌金屬化製程被使用,其中通孔及金屬線被同時地填充。互連線920及導電通孔920被形成於第一線開口及通孔開口916中。於一實施例中,金屬填充製程被履行以提供互連線920及導電通孔920。於一實施例中,金屬填充製程係使用金屬沈積及後續平坦化處理方案(諸如化學機械平坦化(CMP)製程)而被履行。於其圖案化犧牲硬遮罩層910實質上由矽所組成的情況下,襯裡材料可被沈積在形成導電填充層之前,以阻止圖案化犧牲硬遮罩層910之矽化。Figure 9D illustrates the structure of Figure 9C following the first metallization process. In one embodiment, a dual damascene metallization process is used in which vias and metal lines are filled simultaneously. Interconnect lines 920 and conductive vias 920 are formed in the first line openings and via openings 916 . In one embodiment, a metal fill process is performed to provide interconnect lines 920 and conductive vias 920 . In one embodiment, the metal filling process is performed using metal deposition and subsequent planarization processing schemes, such as a chemical mechanical planarization (CMP) process. In the case where the patterned sacrificial hardmask layer 910 consists essentially of silicon, a liner material can be deposited prior to forming the conductive fill layer to prevent silicidation of the patterned sacrificial hardmask layer 910 .

圖9E闡明接續於互連線920之暴露後的圖9D之結構。圖案化硬遮罩層908及圖案化犧牲層910被移除以留下互連線920為暴露的,具有下方導電通孔於圖案化ILD層918中。線端開口924被顯露。線端開口924提供互連線920之光柵圖案中的斷裂。於一實施例中,圖案化硬遮罩層908及圖案化犧牲層910係使用選擇性濕式蝕刻製程而被移除。FIG. 9E illustrates the structure of FIG. 9D following the exposure of interconnect 920. FIG. Patterned hard mask layer 908 and patterned sacrificial layer 910 are removed to leave interconnect lines 920 exposed, with underlying conductive vias in patterned ILD layer 918 . Wire end openings 924 are exposed. Line end openings 924 provide breaks in the grating pattern of interconnect lines 920 . In one embodiment, the patterned hard mask layer 908 and the patterned sacrificial layer 910 are removed using a selective wet etch process.

圖9F闡明接續於共形圖案化層之形成後的圖9E之結構。間隔物材料層926被形成於互連線920的光柵圖案之上並與其共形。於一實施例中,原子層沈積(ALD)被使用,由於其為高度共形的且極度準確(例如,控制達埃位準)的事實。應理解:線端開口924為(於一實施例中)太短而無法有效地破壞互連線920之一般光柵圖案,針對共形間隔物材料層926之形成。於一此類實施例中,線端開口924被填充以間隔物材料層926而不破壞互連線920之一般光柵圖案。於一實施例中,間隔物材料層926係使用化學氣相沈積(CVD)或原子層沈積(ALD)製程而被沈積。於一實施例中,間隔物材料層926為矽層,諸如多晶矽層或非晶矽層。於特定此類實施例中,襯裡材料被沈積於互連線920上,在形成矽間隔物材料層之前,以阻止間隔物材料層926之矽化。於一實施例中,線端切割(插塞)係小於或等於間隔物厚度的2倍,以致其被完全地填充以共形電介質材料。假如其係大於厚度的2倍,則接縫可能形成且金屬可能使該些線短路在一起,於後續處理期間。Figure 9F illustrates the structure of Figure 9E following the formation of a conformally patterned layer. A layer of spacer material 926 is formed over and conformal to the grating pattern of interconnect lines 920 . In one embodiment, Atomic Layer Deposition (ALD) is used due to the fact that it is highly conformal and extremely accurate (eg, controlling the da Angstrom level). It should be understood that the line end openings 924 are (in one embodiment) too short to effectively disrupt the general grating pattern of the interconnect lines 920 for the formation of the layer 926 of conformal spacer material. In one such embodiment, the line end openings 924 are filled with a layer of spacer material 926 without disrupting the general grating pattern of the interconnect lines 920 . In one embodiment, the spacer material layer 926 is deposited using a chemical vapor deposition (CVD) or atomic layer deposition (ALD) process. In one embodiment, the spacer material layer 926 is a silicon layer, such as a polysilicon layer or an amorphous silicon layer. In certain such embodiments, a liner material is deposited on the interconnect lines 920 prior to forming the silicon spacer material layer to prevent silicidation of the spacer material layer 926. In one embodiment, the wire end cut (plug) is less than or equal to 2 times the spacer thickness so that it is completely filled with the conformal dielectric material. If it is greater than 2 times the thickness, seams may form and the metal may short the wires together during subsequent processing.

圖9G闡明接續於來自間隔物材料層之間隔物線的形成後的圖9F之結構。於一實施例中,間隔物928係使用各向異性電漿蝕刻製程而被形成沿著互連線920之側壁。於一實施例中,間隔物材料層926餘留在線端開口924中以形成用於互連線920之線端佔位部分930。9G illustrates the structure of FIG. 9F following the formation of spacer lines from the layer of spacer material. In one embodiment, the spacers 928 are formed along the sidewalls of the interconnect lines 920 using an anisotropic plasma etching process. In one embodiment, the layer of spacer material 926 remains in the line end openings 924 to form line end placeholders 930 for interconnect lines 920 .

圖9H闡明接續於插塞佔位層之形成後的圖9G之結構。插塞佔位層932被形成於相鄰互連線920的間隔物928之間。插塞佔位層932被初始地形成於其中第二組互連線所將被最終地形成之位置中。於一實施例中,插塞佔位層932係使用沈積及平坦化製程而被形成,其係將插塞佔位層932侷限於間隔物928之間。Figure 9H illustrates the structure of Figure 9G following the formation of a plug placeholder layer. Plug placeholder layers 932 are formed between spacers 928 of adjacent interconnect lines 920 . The plug placeholder layer 932 is initially formed in the locations where the second set of interconnect lines will be finally formed. In one embodiment, plug placeholder layer 932 is formed using a deposition and planarization process that confines plug placeholder layer 932 between spacers 928 .

圖9I闡明接續於插塞佔位層之圖案化後的圖9H之結構。插塞佔位層932被圖案化以將插塞佔位934留存於其中線端所被最終地形成之選定位置中。於一實施例中,微影抗蝕劑遮罩曝光及蝕刻製程被使用以形成插塞佔位934,具有抗蝕劑層或堆疊之後續移除。Figure 9I illustrates the structure of Figure 9H following patterning of the plug placeholder layer. The plug placeholder layer 932 is patterned to retain the plug placeholders 934 in selected locations where the wire ends are finally formed. In one embodiment, a lithographic resist mask exposure and etch process is used to form plug placeholders 934, with subsequent removal of the resist layer or stack.

圖9J闡明接續於第二金屬化製程後之圖9I的結構。互連線936被形成於開口(第二線開口)中,該些開口被形成於其用以形成插塞佔位934之插塞佔位層932的圖案化時。此外,雖然圖形省略了分離的處理操作,但通孔開口(及最終地導電通孔938)可被形成於導電線936底下之選定位置中。此一製程導致雙圖案化(兩個不同的通孔圖案化操作)ILD層940,如圖9J中所描繪者。Figure 9J illustrates the structure of Figure 9I following a second metallization process. Interconnect lines 936 are formed in openings (second line openings) formed during the patterning of the plug placeholder layer 932 used to form the plug placeholders 934 . Furthermore, although the patterning omits separate processing operations, via openings (and ultimately conductive vias 938 ) may be formed in selected locations under conductive lines 936 . This process results in double patterning (two different via patterning operations) of the ILD layer 940, as depicted in Figure 9J.

於一實施例中,金屬填充製程被履行以提供互連線936及導電通孔938。於一實施例中,金屬填充製程係使用金屬沈積及後續平坦化處理方案(諸如化學機械平坦化(CMP)製程)而被履行。於其間隔物928實質上由矽所組成的情況下,襯裡材料可被沈積在形成導電填充層之前,以阻止間隔物928之矽化。In one embodiment, a metal fill process is performed to provide interconnect lines 936 and conductive vias 938 . In one embodiment, the metal filling process is performed using metal deposition and subsequent planarization processing schemes, such as a chemical mechanical planarization (CMP) process. In the case where the spacers 928 consist essentially of silicon, a liner material may be deposited prior to forming the conductive fill layer to prevent silicidation of the spacers 928.

應理解:於一實施例中,因為互連線936(及相應的導電通孔938)被形成於一比用以製造互連線920(及相應的導電通孔922)之製程更後面的製程中,所以互連線936可使用一種與用以製造導電線920不同的材料來製造。於一此類實施例中,金屬化層最終地包括交替的、不同的第一和第二組成之導電互連。It should be understood that in one embodiment, because interconnect 936 (and corresponding conductive via 938 ) is formed in a later process than the process used to fabricate interconnect 920 (and corresponding conductive via 922 ) , so the interconnect 936 may be fabricated using a different material than that used to fabricate the conductive lines 920 . In one such embodiment, the metallization layer ultimately includes alternating conductive interconnects of different first and second compositions.

圖9K闡明接續於兩組互連線920和936之暴露後的圖9J之結構。間隔物928、線端佔位部分930、及插塞佔位934被移除以留下暴露的互連線920和936,個別地具有下方導電通孔922和938於圖案化ILD層940中。線端開口942被顯露。線端開口942提供斷裂於互連線920之光柵圖案中以及互連線936之光柵圖案中。於一實施例中,間隔物928、線端佔位部分930、及插塞佔位934係使用選擇性濕式蝕刻製程而被移除。FIG. 9K illustrates the structure of FIG. 9J following the exposure of the two sets of interconnect lines 920 and 936 . Spacers 928 , line end placeholders 930 , and plug placeholders 934 are removed to leave exposed interconnect lines 920 and 936 , respectively, with underlying conductive vias 922 and 938 in patterned ILD layer 940 . Wire end openings 942 are exposed. Line end openings 942 provide breaks in the grating pattern of interconnect lines 920 and in the grating pattern of interconnect lines 936 . In one embodiment, the spacers 928, the line end placeholders 930, and the plug placeholders 934 are removed using a selective wet etch process.

於一實施例中,圖9K之結構係表示具有空氣間隙架構之最後金屬化結構。亦即,因為互連線920和936被最終地暴露於文中所述之製程中,所以空氣間隙架構被致能。於另一實施例中,因為互連線920和936被暴露於該製程中的此階段,所以有機會移除互連線之擴散障壁層的側壁部分。例如,於一實施例中,此一擴散障壁層之移除實體地減薄了互連線920和936之導電特徵。於另一實施例中,互連線920和936之電阻值在此一擴散障壁層之側壁部分的移除時被減少。如圖9K中所標示,互連線920和936之特徵側壁部分960被暴露,而該些線下方之部分962則否。如此一來,於一實施例中,互連線920和936之擴散障壁層被移除自互連線920和936之側壁960但未被移除自互連線920和936之區962。於特定實施例中,此一擴散障壁層之側壁部分的移除係涉及Ta及/或TaN層的移除。In one embodiment, the structure of FIG. 9K represents the final metallization structure with an air gap structure. That is, the air gap structure is enabled because interconnect lines 920 and 936 are ultimately exposed to the processes described herein. In another embodiment, because the interconnects 920 and 936 are exposed at this stage in the process, there is an opportunity to remove the sidewall portions of the diffusion barrier layers of the interconnects. For example, in one embodiment, removal of such a diffusion barrier layer physically thins the conductive features of interconnect lines 920 and 936 . In another embodiment, the resistance values of interconnect lines 920 and 936 are reduced upon removal of the sidewall portion of this diffusion barrier layer. As indicated in Figure 9K, feature sidewall portions 960 of interconnect lines 920 and 936 are exposed, while portions 962 below the lines are not. As such, in one embodiment, the diffusion barrier layers of interconnects 920 and 936 are removed from sidewalls 960 of interconnects 920 and 936 but not from region 962 of interconnects 920 and 936 . In certain embodiments, the removal of the sidewall portion of such a diffusion barrier layer involves removal of Ta and/or TaN layers.

因此,參考操作9A-9K,於一實施例中,一種製造後段製程(BEOL)金屬化層之方法包括形成複數導電線920/936於其形成在基底上方之犧牲材料928中。複數導電線920/936之各者包括障壁層,其係沿著導電填充層之底部及側壁而形成。犧牲材料928被接著移除。障壁層被移除自導電填充層之側壁(例如,於位置960上)。於一實施例中,從導電填充層之側壁移除障壁層包括從包括選自由Cu、Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、Cu、W、Ag、Au及其合金所組成的群組之材料的導電填充層之側壁移除氮化鉭或鉭層。Thus, with reference to operations 9A-9K, in one embodiment, a method of fabricating a back end of line (BEOL) metallization layer includes forming a plurality of conductive lines 920/936 in a sacrificial material 928 formed over a substrate. Each of the plurality of conductive lines 920/936 includes a barrier layer formed along the bottom and sidewalls of the conductive fill layer. The sacrificial material 928 is then removed. The barrier layer is removed from the sidewall of the conductive fill layer (eg, at location 960). In one embodiment, removing the barrier layer from the sidewalls of the conductive fill layer includes removing the barrier layer from a material selected from the group consisting of Cu, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au The tantalum nitride or tantalum layer is removed from the sidewall of the conductive fill layer of the group of materials consisting of its alloys.

圖9L闡明接續於永久ILD層之形成後的圖9K之結構。層間電介質(ILD)層946/948被形成於互連線920和936之間。ILD層946/948包括介於互連線920和936之間的部分946。ILD層946/948亦包括介於互連線920和936之線斷裂的位置上之間的線端(或電介質插塞)部分948。Figure 9L illustrates the structure of Figure 9K following the formation of the permanent ILD layer. Interlayer dielectric (ILD) layers 946 / 948 are formed between interconnect lines 920 and 936 . ILD layers 946/948 include portion 946 between interconnect lines 920 and 936. The ILD layers 946/948 also include a wire end (or dielectric plug) portion 948 between the locations where the wires of the interconnect wires 920 and 936 break.

再次參考圖9L,於一實施例中,半導體結構999包括基底(其下方ILD層940被顯示)。複數交替第一920和第二936導電線類型被配置沿著其配置於該基底之上的後段製程(BEOL)金屬化層之相同方向。於一實施例中,如關聯圖9K所述,第一導電線類型920之總組成係不同於第二導電線類型936之總組成。於特定此類實施例中,第一導電線類型920之總組成係實質上由銅所組成,而第二導電線類型936之總組成係實質上由選自包括Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、Cu、W、Ag、Au及其合金之群組的材料所組成,反之亦然。然而,於另一實施例中,第一導電線類型920之總組成係相同於第二導電線類型936之總組成。Referring again to FIG. 9L, in one embodiment, semiconductor structure 999 includes a substrate (under which ILD layer 940 is shown). A plurality of alternating first 920 and second 936 conductive line types are arranged along the same direction of their back end of line (BEOL) metallization layers arranged over the substrate. In one embodiment, the overall composition of the first conductive line type 920 is different from the overall composition of the second conductive line type 936, as described in connection with FIG. 9K. In certain such embodiments, the overall composition of the first conductive line type 920 is substantially composed of copper, and the overall composition of the second conductive line type 936 is substantially composed of a group consisting of Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au and alloys of the group of materials, and vice versa. However, in another embodiment, the overall composition of the first conductive line type 920 is the same as the overall composition of the second conductive line type 936 .

於一實施例中,第一導電線類型920之線被隔離以一節距,而第二導電線類型936之線被隔離以該相同節距。於一實施例中,複數交替的第一和第二導電線類型被配置於層間電介質(ILD)層946/948中。然而,於另一實施例中,複數交替的第一和第二導電線類型920/936之線被分離以一空氣間隙,如與圖9K關聯所述者。In one embodiment, the lines of the first conductive line type 920 are separated by a pitch, and the lines of the second conductive line type 936 are separated by the same pitch. In one embodiment, a plurality of alternating first and second conductive line types are configured in interlayer dielectric (ILD) layers 946/948. However, in another embodiment, the lines of the plurality of alternating first and second conductive line types 920/936 are separated by an air gap, as described in connection with FIG. 9K.

於一實施例中,複數交替的第一和第二導電線類型920/936之線各包括沿著該線之底部及側壁所配置的障壁層。然而,於另一實施例中,複數交替的第一和第二導電線類型920/936之線各包括沿著該線之底部962而並未沿著該線之側壁960所配置的障壁層,如圖9K的實施例所述。於一實施例中,複數交替的第一和第二導電線類型920/936之線的一或更多者被連接至下方通孔922/938,其被連接至半導體結構之下方金屬化層。於一實施例中,複數交替的第一和第二導電線類型920/936之線的一或更多者被中斷以電介質插塞948。In one embodiment, the plurality of alternating lines of first and second conductive line types 920/936 each include a barrier layer disposed along the bottom and sidewalls of the line. However, in another embodiment, the lines of the plurality of alternating first and second conductive line types 920/936 each include a barrier layer disposed along the bottom 962 of the line and not along the sidewalls 960 of the line, As described in the embodiment of Figure 9K. In one embodiment, one or more of the plurality of alternating lines of the first and second conductive line types 920/936 are connected to underlying vias 922/938, which are connected to the underlying metallization layer of the semiconductor structure. In one embodiment, one or more of the lines of the plurality of alternating first and second conductive line types 920 / 936 are interrupted by dielectric plugs 948 .

諸如與圖9L關聯所述之所得結構999(或圖9K之空氣間隙結構)可隨後被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖9L之結構999(或圖9K之結構)可代表積體電路中之最後金屬互連層。應理解其上述製程操作可被施行以替代的順序,不是每一操作均需被執行及/或額外的製程操作可被執行。亦應理解:上述範例已集中在金屬線及插塞或線端形成。然而,於其他實施例中,類似的方式可被用以形成通孔開口於ILD層中。The resulting structure 999 such as described in connection with Figure 9L (or the air gap structure of Figure 9K) can then be used as the basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the structure 999 of FIG. 9L (or the structure of FIG. 9K ) may represent the last metal interconnect layer in the integrated circuit. It should be understood that the above-described process operations may be performed in alternate orders, not every operation needs to be performed and/or additional process operations may be performed. It should also be understood that the above examples have focused on metal wire and plug or wire end formation. However, in other embodiments, a similar approach may be used to form via openings in the ILD layer.

依據本發明之一或更多實施例,自對準DSA雙區塊或選擇性生長由下而上方式被描述。文中所述之一或更多實施例係有關自對準通孔及插塞圖案化。文中所述之程序的自對準形態可基於一種定向自聚合(DSA)機制,如底下更詳細地描述者。然而,應理解其選擇性生長機制可被利用以取代(或結合與)DSA為基的方式。於一實施例中,文中所述之程序係致能後段製程特徵製造之自對準金屬化的實現。更明確地,一或更多實施例係有關一種方式,其係利用下方金屬為模板以建立導電通孔及介於金屬之間的非導電間隔或中斷(稱為「插塞」)。In accordance with one or more embodiments of the present invention, the self-aligned DSA double block or selective growth is described in a bottom-up manner. One or more embodiments described herein relate to self-aligned via and plug patterning. The self-aligned aspect of the procedures described herein may be based on a directed self-aggregation (DSA) mechanism, as described in more detail below. However, it should be understood that its selective growth mechanism can be exploited in a DSA-based manner. In one embodiment, the procedures described herein enable the realization of self-aligned metallization for back-end-of-process feature fabrication. More specifically, one or more embodiments relate to an approach that utilizes the underlying metal as a template to create conductive vias and non-conductive spaces or interruptions (referred to as "plugs") between the metals.

圖10A-10M闡明其表示於一種自對準通孔及金屬圖案化的方法中之各個操作的積體電路層之部分,依據本發明之實施例。於各所述操作之各闡明中,平面視圖被顯示於左手邊,而相應的橫斷面視圖被顯示於右手邊。這些視圖將於文中被稱為相應的橫斷面視圖及平面視圖。10A-10M illustrate portions of integrated circuit layers that represent various operations in a method of self-aligned vias and metal patterning, in accordance with embodiments of the present invention. In each illustration of the described operations, the plan view is shown on the left hand side and the corresponding cross-sectional view is shown on the right hand side. These views will be referred to herein as the corresponding cross-sectional and plan views.

圖10A闡明針對前層金屬化結構之選擇的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖選擇(a),開始結構1000包括金屬線1002及層間電介質線(ILD)1004的圖案。開始結構1000可被圖案化為光柵狀圖案,以金屬線間隔於恆定節距並具有恆定寬度(例如,用於DSA實施例,但不一定需要於定向選擇性生長實施例),如圖10A中所描繪者。圖案(例如)可藉由節距減半或節距減為四分之一方式來製造。某些線可關聯與下方通孔,諸如橫斷面視圖中之一範例所示的線1002’。10A illustrates a plan view and corresponding cross-sectional view for a selection of front-level metallization structures, in accordance with an embodiment of the present invention. Referring to the plan view and corresponding cross-sectional view option (a), the starting structure 1000 includes a pattern of metal lines 1002 and interlayer dielectric lines (ILD) 1004 . The starting structure 1000 can be patterned as a grating-like pattern with metal lines spaced at a constant pitch and of constant width (eg, for DSA embodiments, but not necessarily required for directional selective growth embodiments), as in Figure 10A depicted. Patterns, for example, can be fabricated by halving the pitch or reducing the pitch by a quarter. Certain lines may be associated with underlying vias, such as line 1002' shown in one example of the cross-sectional view.

再次參考圖10A,替代的選擇(b)-(f)係討論其中於金屬線1002及層間電介質線1004之一者(或兩者)的表面上形成一額外膜(例如,沈積、生長、或留下如從先前圖案化製程所餘留的假影)的情況。於範例(b)中,額外膜1006被配置於層間電介質線1004上。於範例(c)中,額外膜1008被配置於金屬線1002上。於範例(d)中,額外膜1006被配置於層間電介質線1004上,而額外膜1008被配置於金屬線1002上。再者,雖然金屬線1002及層間電介質線1004被描述為共面的於(a)中,但是於其他實施例中,其可為非共面的。例如,於(e)中,金屬線1002突出於層間電介質線1004之上。於範例(f)中,金屬線1002凹陷於層間電介質線1004之下。Referring again to FIG. 10A, alternative options (b)-(f) are discussed in which an additional film (eg, deposited, grown, or leaving artifacts as left over from the previous patterning process). In example (b), an additional film 1006 is disposed on the interlayer dielectric line 1004 . In example (c), the additional film 1008 is disposed on the metal line 1002 . In example (d), the additional film 1006 is disposed on the interlayer dielectric line 1004 , and the additional film 1008 is disposed on the metal line 1002 . Furthermore, although the metal lines 1002 and the interlayer dielectric lines 1004 are described as coplanar in (a), in other embodiments, they may be non-coplanar. For example, in (e), the metal line 1002 protrudes above the interlayer dielectric line 1004. In example (f), the metal line 1002 is recessed under the interlayer dielectric line 1004 .

再次參考範例(b)-(d),額外層(例如,層1006或1008)可被使用為硬遮罩(HM)或保護層或者被用以致能以下關聯後續處理操作所描述的選擇性生長及/或自聚合。此等額外層亦可被用以保護ILD不被進一步處理。此外,選擇性地沈積另一材料於金屬線之上可能由於類似理由而為有利的。再次參考範例(e)及(f),亦得以藉由任一或兩表面上之保護/HM材料的任何組合來凹陷ILD線或金屬線。總之,於此階段存在有數個用以準備針對選擇性或定向自聚合製程之最終下方表面的選擇。Referring again to examples (b)-(d), additional layers (eg, layers 1006 or 1008) may be used as hard masks (HM) or protective layers or to enable selective growth as described below in connection with subsequent processing operations and/or self-aggregation. These additional layers can also be used to protect the ILD from further processing. Furthermore, selectively depositing another material over the metal lines may be advantageous for similar reasons. Referring again to examples (e) and (f), ILD lines or metal lines can also be recessed by any combination of protective/HM materials on either or both surfaces. In summary, there are several options at this stage to prepare the final underlying surface for selective or directional self-polymerization processes.

圖10B闡明接續於圖10A之結構上方的層間電介質(ILD)線1010之形成後的圖10A之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及c-c’所取之平面視圖及相應的橫斷面視圖(a)及(c),ILD線1010被形成在垂直於下方線1004之方向的光柵結構中。於一實施例中,線1010之材料的覆蓋膜係藉由化學氣相沈積或類似技術而被沈積。於一實施例中,覆蓋膜接著係使用微影及蝕刻處理(其可涉及,例如,間隔物為基的四倍圖案化(SBQP)或節距減為四分之一)而被圖案化。應理解:線1010之光柵圖案可藉由數種方法來製造,包括EUV及/或EBDW微影、定向自聚合,等等。如以下將被更詳細地描述,後續的金屬層將因此被圖案化在相對於先前金屬層之正交方向,因為線1010之光柵係正交於下方結構之方向。於一實施例中,單一193nm微影遮罩被使用以對準/對齊至先前金屬層1002(例如,線1010之光柵係於X對準至先前層「插塞」圖案且於Y對準至先前金屬光柵)。參考橫斷面結構(b)及(d),硬遮罩1012可被形成於電介質線1010上、或者被留存接續於電介質線1010之圖案化後。硬遮罩1012可被用以保護線1010於後續圖案化步驟期間。如以下更詳細地描述,以光柵圖案之線1010的形成係暴露了先前金屬線1002及先前ILD線1004之區(或1002/1004上之相應硬遮罩層)。該些暴露區係相應於其中金屬所被暴露之所有可能的未來通孔位置。於一實施例中,先前層金屬層(例如,線1002)被保護、標記、刷,等等,在製程流中之此時點。10B illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 10A following formation of interlayer dielectric (ILD) lines 1010 over the structure of FIG. 10A, in accordance with an embodiment of the present invention. With reference to plan views and corresponding cross-sectional views (a) and (c), respectively, taken along axes a-a' and c-c', ILD lines 1010 are formed as grating structures in a direction perpendicular to underlying lines 1004 middle. In one embodiment, a cover film of the material of line 1010 is deposited by chemical vapor deposition or similar techniques. In one embodiment, the capping film is then patterned using lithography and etching processes, which may involve, for example, spacer-based quadruple patterning (SBQP) or quarter pitch. It should be understood that the grating pattern of lines 1010 can be fabricated by several methods, including EUV and/or EBDW lithography, directional self-polymerization, and the like. As will be described in more detail below, subsequent metal layers will therefore be patterned in an orthogonal direction relative to the previous metal layers, since the grating of lines 1010 is orthogonal to the direction of the underlying structure. In one embodiment, a single 193nm lithography mask is used to align/align to the previous metal layer 1002 (eg, the grating of lines 1010 is aligned in X to the previous layer "plug" pattern and in Y to previous metal grating). Referring to the cross-sectional structures (b) and (d), the hard mask 1012 may be formed on the dielectric line 1010 , or may be left after patterning of the dielectric line 1010 . The hard mask 1012 can be used to protect the lines 1010 during subsequent patterning steps. As described in more detail below, the formation of lines 1010 in a grating pattern exposes regions of previous metal lines 1002 and previous ILD lines 1004 (or corresponding hard mask layers on 1002/1004). The exposed regions correspond to all possible future via locations where metal is exposed. In one embodiment, previous metal layers (eg, lines 1002) are protected, marked, brushed, etc., at this point in the process flow.

圖10C闡明接續於來自所有插塞位置之所有潛在通孔位置的選擇性區別後的圖10B之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)-(d),個別地沿著軸a-a’、b-b’、c-c’及d-d’而取,接續於ILD線1010之形成後,表面修飾層1014被形成於下方ILD線1004之暴露區上。於一實施例中,表面修飾層1014為電介質層。於一實施例中,表面修飾層1014係藉由選擇性由下而上生長方式來形成。於一此類實施例中,由下而上生長方式涉及定向自聚合(DSA)刷塗層,其具有一優先地集合於下方ILD線1004或(替代地)於金屬線1002上(或者於犧牲層上,該犧牲層係配置於或生長於下方金屬或ILD材料上)之聚合物成分。10C illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 10B following selective differentiation of all potential via locations from all plug locations, in accordance with an embodiment of the present invention. Reference plan view and corresponding cross-sectional views (a)-(d), taken along axes a-a', bb', c-c' and d-d', respectively, continue on ILD line 1010 After formation, a surface modification layer 1014 is formed on the exposed regions of the underlying ILD lines 1004 . In one embodiment, the surface modification layer 1014 is a dielectric layer. In one embodiment, the surface modification layer 1014 is formed by selective bottom-up growth. In one such embodiment, the bottom-up growth approach involves a directional self-polymerization (DSA) brush coating with a coating that preferentially collects on the underlying ILD line 1004 or (alternatively) on the metal line 1002 (or on the sacrificial line 1002). layer, the sacrificial layer is disposed on or grown on the underlying metal or ILD material) of the polymer composition.

圖10D闡明接續於其附加至圖10C之下方金屬和ILD線的暴露部分之差別聚合物後的圖10C之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)-(d),個別地沿著軸a-a’、b-b’、c-c’及d-d’而取,在下方金屬/ILD 1002/1004光柵之暴露部分上的定向自聚合(DSA)或選擇性生長被用以形成中間線1016,其具有交替的聚合物或交替的聚合物成分於ILD線1010之間。例如,如圖所示,聚合物1016A(或聚合物成分1016A)被形成於圖10C之層間電介質(ILD)線1004的暴露部分上或上方,而聚合物1016B(或聚合物成分1016B)被形成於圖10C之金屬線1002的暴露部分上或上方。雖然聚合物1016A被形成於關聯圖10C所述之表面修飾層1014上或上方(參見圖10D之橫斷面視圖(b)及(d)),但應理解:於其他實施例中,表面修飾層1014可被省略或者交替的聚合物或交替的聚合物成分可被替代地直接形成於關聯圖10B所述的結構中。10D illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 10C following its addition to the differential polymer of the exposed portions of the underlying metal and ILD lines of FIG. 10C, in accordance with an embodiment of the present invention. Reference plan view and corresponding cross-sectional views (a)-(d), taken along axes a-a', b-b', c-c' and d-d', respectively, under metal/ILD Directed Self-Aggregation (DSA) or selective growth on exposed portions of the 1002/1004 grating is used to form intermediate lines 1016 with alternating polymer or alternating polymer compositions between ILD lines 1010. For example, as shown, polymer 1016A (or polymer composition 1016A) is formed on or over exposed portions of interlayer dielectric (ILD) lines 1004 of Figure 10C, while polymer 1016B (or polymer composition 1016B) is formed on or over the exposed portion of the metal line 1002 of Figure 10C. Although polymer 1016A is formed on or over surface modification layer 1014 as described in connection with FIG. 10C (see cross-sectional views (b) and (d) of FIG. 10D ), it should be understood that in other embodiments, the surface modification Layer 1014 may be omitted or alternate polymers or alternate polymer compositions may instead be formed directly in the structure described in connection with FIG. 10B.

再次參考圖10D,於一實施例中,一旦下方結構(例如,圖10A之結構1000)之表面已被準備(例如,諸如圖10B之結構或圖10C之結構)或被直接地使用,則一種50-50雙區塊共聚物(diblock copolymer),諸如聚苯乙烯-聚甲基丙烯酸甲酯(PS-PMMA),被塗佈於基底上並退火以驅動自聚合,導致圖10D之聚合物1016A/聚合物1016B層1016。於此一實施例中,利用適當的表面能量條件,區塊共聚物係根據暴露於ILD線1010之間的下方材料而分離。例如,於一特定實施例中,聚苯乙烯選擇性地對準至下方金屬線1002之暴露部分(或相應的金屬線封蓋或硬遮罩材料)。同時,聚甲基丙烯酸甲酯選擇性地對準至ILD線1004之暴露部分(或相應的金屬線封蓋或硬遮罩材料)。Referring again to FIG. 10D , in one embodiment, once the surface of the underlying structure (eg, structure 1000 of FIG. 10A ) has been prepared (eg, such as the structure of FIG. 10B or the structure of FIG. 10C ) or used directly, a A 50-50 diblock copolymer, such as polystyrene-polymethylmethacrylate (PS-PMMA), is coated on a substrate and annealed to drive self-polymerization, resulting in polymer 1016A of Figure 10D /Polymer 1016B Layer 1016. In this embodiment, with appropriate surface energy conditions, the block copolymers are separated according to the underlying material exposed between the ILD lines 1010. For example, in one particular embodiment, polystyrene is selectively aligned to exposed portions of the underlying wire 1002 (or corresponding wire capping or hardmask material). At the same time, the polymethyl methacrylate is selectively aligned to the exposed portions of the ILD lines 1004 (or corresponding metal line capping or hard mask material).

因此,於一實施例中,下方金屬及ILD柵格(如暴露於ILD線1010之間者)被再生於區塊共聚物(BCP,亦即,聚合物1016A/聚合物1016B)。假如BCP節距與下方光柵節距相當則可能特別是如此。聚合物柵格(聚合物1016A/聚合物1016B),於一實施例中,針對與適當對準柵格之某少量偏差是強韌的。例如,假如小插塞有效地設置氧化物等材料(其中適當對準柵格將具有金屬),則仍可達成適當對準的聚合物1016A/聚合物1016B柵格。然而,因為ILD線光柵(於一實施例中)為理想化的光柵結構,無ILD骨幹之金屬破裂,所以可能需要使ILD表面中性,因為兩類型的聚合物(1016A與1016B)將(於此一例子中)被暴露至ILD類材料而僅有一類型被暴露至金屬。Thus, in one embodiment, the underlying metal and ILD grids (eg, those exposed between ILD lines 1010) are regenerated in block copolymer (BCP, ie, polymer 1016A/polymer 1016B). This may especially be the case if the BCP pitch is comparable to the underlying grating pitch. The polymer grid (Polymer 1016A/Polymer 1016B), in one embodiment, is robust to some small deviation from a properly aligned grid. For example, a properly aligned polymer 1016A/polymer 1016B grid can still be achieved if the small plug is effectively provided with a material such as oxide (where a properly aligned grid would have metal). However, since the ILD line grating (in one embodiment) is an idealized grating structure with no metal breakage of the ILD backbone, it may be desirable to neutralize the ILD surface since the two types of polymers (1016A and 1016B) will In this example) is exposed to the ILD type material and only one type is exposed to the metal.

於一實施例中,塗佈的聚合物(聚合物1016A/1016B)之厚度約略相同於(或稍微厚於)最終形成於其位置中之ILD的最終厚度。於一實施例中,如底下更詳細地描述,聚合物柵格不被形成為蝕刻抗蝕劑,而為用以最終地生長永久ILD層於其周圍的支架。如此一來,聚合物1016(聚合物1016A/聚合物1016B)之厚度可能是重要的,因為其可被用以界定後續形成之永久ILD層的最終厚度。亦即,於一實施例中,圖10D中所示之聚合物光柵最終被取代以約略相同厚度的ILD光柵。In one embodiment, the thickness of the coated polymer (polymer 1016A/1016B) is approximately the same (or slightly thicker) than the final thickness of the ILD that is ultimately formed in its place. In one embodiment, as described in more detail below, the polymer grid is not formed as an etch resist, but as a scaffold around which to eventually grow a permanent ILD layer. As such, the thickness of polymer 1016 (polymer 1016A/polymer 1016B) may be important as it can be used to define the final thickness of the subsequently formed permanent ILD layer. That is, in one embodiment, the polymer grating shown in FIG. 10D is eventually replaced with an ILD grating of approximately the same thickness.

於一實施例中,如上所述,圖10D之聚合物1016A/聚合物1016B的柵格為區塊共聚物。於此一實施例中,區塊共聚物分子是由共價接合單體之鏈所形成的聚合物分子。於區塊共聚物中,有至少兩不同類型的單體,且這些不同類型的單體被主要地包括於單體之不同區塊或相鄰序列內。所示的區塊共聚物分子包括聚合物1016A之區塊及聚合物1016B之區塊。於一實施例中,聚合物1016A之區塊主要地包括共價鏈結的單體A之鏈(例如,A-A-A-A-A…),而聚合物1016B之區塊主要地包括共價鏈結的單體B之鏈(例如,B-B-B-B-B…)。單體A及B可代表本技術中已知之區塊共聚物中所使用的不同類型單體之任一者。舉例而言,單體A可代表用以形成聚苯乙烯之單體,而單體B可代表用以形成聚甲基丙烯酸甲酯(PMMA)之單體,雖然本發明之範圍並非如此限制。於其他實施例中,可有多於兩個區塊。此外,於其他實施例中,每一該些區塊可包括不同類型的單體(例如,各區塊本身可為共聚物)。於一實施例中,聚合物1016A之區塊及聚合物1016B之區塊被共價地接合在一起。聚合物1016A之區塊及聚合物1016B之區塊可為大約相同的長度,或者一區塊可顯著地較另一區塊更長。In one embodiment, as described above, the polymer 1016A/polymer 1016B grid of FIG. 10D is a block copolymer. In this embodiment, the block copolymer molecules are polymer molecules formed from chains of covalently joined monomers. In block copolymers, there are at least two different types of monomers, and these different types of monomers are primarily included in different blocks or adjacent sequences of monomers. The block copolymer molecules shown include blocks of polymer 1016A and blocks of polymer 1016B. In one embodiment, the blocks of polymer 1016A primarily include chains of covalently linked monomer A (eg, A-A-A-A-A...), while the blocks of polymer 1016B primarily include covalently linked chains of monomer B chain (eg, B-B-B-B-B...). Monomers A and B can represent any of the different types of monomers used in block copolymers known in the art. For example, monomer A may represent the monomer used to form polystyrene, and monomer B may represent the monomer used to form polymethyl methacrylate (PMMA), although the scope of the invention is not so limited. In other embodiments, there may be more than two blocks. Furthermore, in other embodiments, each of the blocks may include a different type of monomer (eg, each block may itself be a copolymer). In one embodiment, blocks of polymer 1016A and blocks of polymer 1016B are covalently bonded together. The blocks of polymer 1016A and the blocks of polymer 1016B can be about the same length, or one block can be significantly longer than the other.

通常,區塊共聚物之區塊(例如,聚合物1016A之區塊及聚合物1016B之區塊)可各具有不同的化學性質。舉例而言,該些區塊之一可為相對較疏水的(例如,斥水的)而另一者可為相對較親水的(吸水的)。至少觀念上,該些區塊之一可為相對較類似於油而另一區塊可相對較類似於水。介於不同區塊聚合物之間的化學性質之此等差異(無論是親水-疏水差異或其他)可能造成區塊共聚物分子自聚合。例如,自聚合可根據聚合物區塊之微相分離。觀念上,此可類似於其通常不能混合的油與水之相位分離。類似地,介於聚合物區塊之間的親水性的差異(例如,一區塊是相對疏水的而另一區塊是相對親水的)可能造成大致類似的微相分離,其中不同的聚合物區塊由於化學上不喜歡對方而嘗試彼此「分離」。Typically, blocks of block copolymers (eg, blocks of polymer 1016A and blocks of polymer 1016B) can each have different chemistries. For example, one of the blocks can be relatively hydrophobic (eg, water repellent) and the other can be relatively relatively hydrophilic (water absorbent). At least conceptually, one of the blocks can be relatively oil-like and the other block can be relatively water-like. Such differences in chemical properties between different block polymers (whether hydrophilic-hydrophobic differences or otherwise) may cause the block copolymer molecules to self-polymerize. For example, self-polymerization can be based on microphase separation of polymer blocks. Conceptually, this can be analogous to the phase separation of oil and water, which are generally immiscible. Similarly, differences in hydrophilicity between polymer blocks (eg, one block is relatively hydrophobic and another block is relatively hydrophilic) may result in roughly similar microphase separation, where different polymers Blocks try to "separate" from each other because they don't like each other chemically.

然而,於一實施例中,因為聚合物區塊被共價地彼此接合,所以其無法於巨觀尺度上完全地分離。反之,既定類型的聚合物區塊傾向於在極小(例如,奈米尺寸)區或相位中與相同類型之其他分子的聚合物區塊分離或聚集。區或微相位之特定尺寸及形狀通常至少部分地取決於聚合物區塊之相對長度。於一實施例中,經由一範例(如圖10D中所示),於兩區塊共聚物中,假如區塊為約略相同的長度,則產生交替的聚合物1016A線與聚合物1016B線之柵格狀圖案。於另一實施例(未顯示)中,於兩區塊共聚物中,假如該些區塊之一較另一更長,但不會較另一長太多,則可形成柱狀結構。於柱狀結構中,區塊共聚物分子可與微相分離成柱的內部之其較短聚合物區塊以及延伸遠離柱並圍繞柱之其較長聚合物區塊對準。例如,假如聚合物1016A之區塊較聚合物1016B之區塊長(但不是長太多),則可形成柱狀結構,其中許多區塊共聚物分子與聚合物1016B之其較短區塊對準,形成由具有聚合物1016A之較長區塊的相位所圍繞之柱狀結構。當此發生於足夠大小的區域中時,則可形成二維陣列的一般六角封裝的柱狀結構。However, in one embodiment, because the polymer blocks are covalently bonded to each other, they cannot be completely separated on a macroscopic scale. Conversely, polymer blocks of a given type tend to separate or aggregate in extremely small (eg, nanoscale) regions or phases from polymer blocks of other molecules of the same type. The particular size and shape of the domains or microphases generally depends, at least in part, on the relative lengths of the polymer blocks. In one embodiment, by way of example (as shown in Figure 10D ), in two block copolymers, alternating grids of polymer 1016A lines and polymer 1016B lines are produced if the blocks are approximately the same length. Grid pattern. In another embodiment (not shown), in a two-block copolymer, a columnar structure can be formed if one of the blocks is longer than the other, but not by much longer than the other. In a columnar structure, the block copolymer molecules can be microphase separated into their shorter polymer blocks inside the columns and their longer polymer blocks extending away from and around the columns. For example, if the blocks of polymer 1016A are longer (but not too much longer) than the blocks of polymer 1016B, a columnar structure can be formed with many blocks of copolymer molecules paired with their shorter blocks of polymer 1016B standard, forming a columnar structure surrounded by phases with longer blocks of polymer 1016A. When this occurs in an area of sufficient size, then a two-dimensional array of generally hexagonally packaged columnar structures can be formed.

於一實施例中,聚合物1016A/聚合物1016B光柵被首先塗敷為未聚合的區塊共聚物層部分,其包括(例如)藉由刷或其他塗佈製程所塗敷之區塊共聚物材料。未聚合形態指的是其中(在沈積的時刻)區塊共聚物尚未實質上相位分離及/或自聚合以形成奈米的情況。於此未聚合形式中,區塊聚合物分子是相當高度隨機化的,具有相對高度隨機地定向且設置之不同聚合物區塊,其係相反於配合圖10D之所得結構所討論的聚合區塊共聚物層部分。未聚合區塊共聚物層部分可被塗敷以多種不同方式。舉例而言,區塊共聚物可溶解於溶劑中並接著旋塗於表面之上。替代地,未聚合區塊共聚物可被噴塗、浸塗、浸入塗、或其他方式塗佈或塗敷於表面之上。塗敷區塊共聚物之其他方式、以及用以塗敷類似有機塗層之技術中已知的其他方式可潛在地被使用。接著,未聚合層可形成聚合區塊共聚物層部分,例如,藉由未聚合區塊共聚物層部分之微相分離及/或自聚合。微相分離及/或自聚合係透過區塊共聚物分子之再配置及/或再定位而發生,且特別是區塊共聚物分子的不同聚合物區塊之再配置及/或再定位。In one embodiment, the Polymer 1016A/Polymer 1016B grating is first applied as part of an unpolymerized block copolymer layer including block copolymer applied, for example, by brushing or other coating process Material. The unpolymerized form refers to a situation in which (at the time of deposition) the block copolymer has not yet substantially phase separated and/or self-polymerized to form nanometers. In this unpolymerized form, the block polymer molecules are fairly highly randomized, with distinct polymer blocks oriented and positioned relatively highly randomly, as opposed to the aggregate blocks discussed in conjunction with the resulting structure of Figure 10D Part of the copolymer layer. The unpolymerized block copolymer layer portion can be applied in a number of different ways. For example, the block copolymer can be dissolved in a solvent and then spin coated onto a surface. Alternatively, the unpolymerized block copolymer may be sprayed, dipped, dipped, or otherwise coated or applied to the surface. Other ways of applying the block copolymer, as well as other ways known in the art for applying similar organic coatings, can potentially be used. The unpolymerized layer can then form portions of the polymerized block copolymer layer, eg, by microphase separation and/or self-polymerization of the unpolymerized block copolymer layer portion. Microphase separation and/or self-polymerization occurs through the reconfiguration and/or repositioning of the block copolymer molecules, and in particular the reconfiguration and/or repositioning of the different polymer blocks of the block copolymer molecules.

於此一實施例中,退火處置可被施加至未聚合區塊共聚物以起始、加速、增加、或者提升微相分離及/或自聚合之品質。於某些實施例中,退火處置可包括可操作以增加區塊共聚物之溫度的處置。此一處置之一範例是烘焙該層、加熱該層於烘箱中或者於熱燈之上,施加紅外線輻射至該層,或者施加熱至該層或增加該層之溫度。所欲的溫度增加通常將足以顯著地加速區塊聚合物之微相分離及/或自聚合而不損害區塊共聚物或積體電路基底之任何其他重要的材料或結構。通常,加熱範圍可介於約50℃至約300℃,或介於75℃至約250℃,但不超過區塊共聚物或積體電路基底之熱退化限制。加熱或退火可協助提供能量給區塊共聚物分子以使其更可動/有彈性以增加微相分離之速率及/或增進微相分離之品質。區塊共聚物分子之此微相分離或再配置/再定位可導致自聚合以形成極小(例如,奈米等級)結構。自聚合可於表面能量、分子親和性、及其他表面相關和化學相關力的影響之下發生。In this embodiment, an annealing treatment can be applied to the unpolymerized block copolymer to initiate, accelerate, increase, or improve the quality of microphase separation and/or self-polymerization. In certain embodiments, the annealing treatment may include a treatment operable to increase the temperature of the block copolymer. An example of such a treatment is baking the layer, heating the layer in an oven or over a heat lamp, applying infrared radiation to the layer, or applying heat to the layer or increasing the temperature of the layer. The desired temperature increase will generally be sufficient to significantly accelerate the microphase separation and/or self-polymerization of the block polymer without damaging the block copolymer or any other important materials or structures of the integrated circuit substrate. Typically, the heating range can be from about 50°C to about 300°C, or from 75°C to about 250°C, without exceeding the thermal degradation limits of the block copolymer or integrated circuit substrate. Heating or annealing can help provide energy to the block copolymer molecules to make them more mobile/elastic to increase the rate and/or quality of microphase separation. This microphase separation or reconfiguration/repositioning of block copolymer molecules can result in self-polymerization to form extremely small (eg, nanoscale) structures. Self-polymerization can occur under the influence of surface energy, molecular affinity, and other surface-related and chemical-related forces.

於任何情況下,於某些實施例中,區塊共聚物之自聚合(無論是否根據疏水-親水差異)可被用以形成極小的週期性結構(例如,精確地間隔的奈米等級結構或線)。於某些實施例中,其可被用以形成可最終地用以形成通孔及開口之奈米等級線或其他奈米等級結構。於某些實施例中,區塊共聚物之定向自聚合可被用以形成與互連自對準之通孔,如底下更詳細地描述者。In any event, in certain embodiments, self-polymerization of block copolymers (whether by virtue of hydrophobic-hydrophilic differences or not) can be used to form extremely small periodic structures (eg, precisely spaced nanoscale structures or Wire). In some embodiments, it can be used to form nanoscale lines or other nanoscale structures that can ultimately be used to form vias and openings. In certain embodiments, directional self-polymerization of block copolymers can be used to form vias that are self-aligned with interconnects, as described in more detail below.

再次參考圖10D,於一實施例中,針對DSA製程,除了從下方ILD/金屬1004/1002表面之方向外,生長製程可受到ILD線1010之材料的側壁所影響。如此一來,於一實施例中,DSA係透過圖外延(自線1010之側壁)及化學外延(自下方暴露表面特性)而被控制。物理地及化學地侷限DSA製程可顯著地協助該製程,從缺陷性觀點。所得聚合物1016A/1016B具有較少的自由度且被完全地局陷於所有方向,透過化學(例如,藉由(例如)刷方式所對其做出的下方ILD或金屬線、或表面修飾)及物理(例如,自ILD線1010之間所形成的溝槽)。Referring again to FIG. 10D, in one embodiment, for the DSA process, the growth process may be affected by the sidewalls of the material of the ILD line 1010, except from the direction from the underlying ILD/metal 1004/1002 surface. As such, in one embodiment, DSA is controlled by graphic epitaxy (from the sidewalls of lines 1010) and chemical epitaxy (from below to expose surface features). Physically and chemically confining the DSA process can significantly assist the process, from a defectivity standpoint. The resulting polymer 1016A/1016B has fewer degrees of freedom and is completely localized in all directions by chemical (eg, underlying ILD or metal lines, or surface modifications made to it by, for example, brushing) and Physical (eg, from trenches formed between ILD lines 1010).

於替代實施例中,選擇性生長製程被使用以取代DSA方式。圖10E闡明接續於選擇下方金屬和ILD線之暴露部分後的圖10B之結構的橫斷面視圖,依據本發明之另一實施例。參考圖10E,第一材料類型1090被生長於下方ILD線1004之暴露部分上方。第二(不同的)材料類型1092被生長於下方金屬線1002之暴露部分上方。於一實施例中,選擇性生長係藉由一種針對第一和第二材料之各者的dep-etch-dep-etch(沈積-蝕刻-沈積-蝕刻)方式來達成,導致該些材料之各者的複數層,如圖10E中所描繪者。此一方式可能是理想的,相對於其可形成「蘑菇頂部」狀的膜之傳統選擇性生長技術。蘑菇頂膜生長傾向可透過一種交替的沈積/蝕刻/沈積(dep-etch-dep-etch)方式而被減少。於另一實施例中,膜被選擇性沈積於金屬之上,接續以不同膜被選擇性地沈積於ILD之上(或反之亦然),且重複數次以產生三明治狀堆疊。於另一實施例中,兩材料被同時地生長於一反應室中(例如,藉由CVD式樣製程),其係選擇性生長於下方基底之各暴露區上。In an alternative embodiment, a selective growth process is used instead of DSA. 10E illustrates a cross-sectional view of the structure of FIG. 10B following selection of exposed portions of the underlying metal and ILD lines, in accordance with another embodiment of the present invention. Referring to FIG. 10E , a first material type 1090 is grown over exposed portions of the underlying ILD lines 1004 . A second (different) material type 1092 is grown over exposed portions of the underlying metal lines 1002. In one embodiment, selective growth is achieved by a dep-etch-dep-etch approach to each of the first and second materials, resulting in each of the materials Plural layers of the original, as depicted in Figure 10E. This approach may be ideal relative to conventional selective growth techniques which can form "mushroom top"-like membranes. Mushroom top film growth propensity can be reduced by an alternating deposition/etch/deposition (dep-etch-dep-etch) approach. In another embodiment, a film is selectively deposited over the metal, followed by a different film selectively deposited over the ILD (or vice versa), and repeated several times to create a sandwich-like stack. In another embodiment, the two materials are grown simultaneously in a reaction chamber (eg, by a CVD-style process) selectively grown on exposed regions of the underlying substrate.

圖10F闡明接續於一種聚合物之移除後的圖10D之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)-(d),個別地沿著軸a-a’、b-b’、c-c’及d-d’而取,聚合物或聚合物部分1016A被移除以再暴露ILD線1004(或者ILD線1004上所形成的硬遮罩或蓋層),而聚合物或聚合物部分1016B被留存於金屬線1002之上。於一實施例中,接續於濕式蝕刻或選擇性乾式蝕刻後之深紫外線(DUV)大量曝光被用以選擇性地移除聚合物1016A。應理解:取代從ILD線1004之聚合物的第一移除(如圖所示),可替代地首先履行從金屬線1002之移除。替代地,電介質膜被選擇性生長於該區之上,且混合支架未被使用。10F illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 10D following removal of a polymer, in accordance with an embodiment of the present invention. Reference plan view and corresponding cross-sectional views (a)-(d), taken along axes a-a', bb', c-c' and d-d', respectively, polymer or polymer Portion 1016A is removed to re-expose ILD line 1004 (or a hard mask or cap layer formed on ILD line 1004 ), while polymer or polymer portion 1016B is left over metal line 1002 . In one embodiment, a deep ultraviolet (DUV) bulk exposure followed by wet etching or selective dry etching is used to selectively remove polymer 1016A. It should be understood that instead of the first removal of polymer from the ILD line 1004 (as shown), the removal from the metal line 1002 may instead be performed first. Alternatively, a dielectric film is selectively grown over this region, and the hybrid scaffold is not used.

圖10G闡明接續於一種聚合物的移除時所打開之位置中的ILD材料之形成後的圖10F之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)-(d),個別地沿著軸a-a’、b-b’、c-c’及d-d’而取,下方ILD線1004之暴露區被填充以永久層間電介質(ILD)層1018。如此一來,介於所有可能通孔位置之間的開放空間均被填充以ILD層1018,其包括配置於其上之硬遮罩層1020,如10G之平面視圖及橫斷面視圖(b)和(d)中所描繪者。應理解:ILD層1018之材料無須為如ILD線1010之相同材料。於一實施例中,ILD層1018係藉由沈積及拋光製程來形成。於其中ILD層1018被形成以伴隨的硬遮罩層1020之情況下,特殊ILD填充材料可被使用(例如,其填充孔/溝槽之ILD的聚合物囊封奈米粒子)。於此一情況下,拋光操作可能不需要。10G illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 10F following formation of the ILD material in a position opened upon removal of a polymer, in accordance with an embodiment of the present invention. Reference plan view and corresponding cross-sectional views (a)-(d), taken along axes a-a', bb', c-c', and d-d', respectively, below ILD line 1004 The exposed regions are filled with a permanent interlayer dielectric (ILD) layer 1018 . As such, the open space between all possible via locations is filled with the ILD layer 1018, which includes the hardmask layer 1020 disposed thereon, such as the plan view and cross-sectional view of 1OG (b) and those depicted in (d). It should be understood that the material of the ILD layer 1018 need not be the same material as the ILD line 1010 . In one embodiment, the ILD layer 1018 is formed by deposition and polishing processes. In cases where the ILD layer 1018 is formed with an accompanying hard mask layer 1020, special ILD fill materials may be used (eg, polymer-encapsulated nanoparticles of the ILD that fill the holes/trenches). In this case, polishing operations may not be required.

再次參考圖10G,於一實施例中,所得結構包括均勻ILD結構(ILD線1010+ILD層1018),而所有可能插塞之位置被覆蓋以硬遮罩1020且所有可能通孔位於聚合物1016B之區域中。於此一實施例中,ILD線1010及ILD層1018係由相同材料所組成。於另一此實施例中,ILD線1010及ILD層1018係由不同的ILD材料所組成。於任一情況下,於一特定實施例中,可在最後結構中觀察到諸如介於ILD線1010與ILD層1018的材料之間的接縫等區別。範例接縫1099係顯示於圖10G中以利說明。Referring again to FIG. 10G , in one embodiment, the resulting structure includes a uniform ILD structure (ILD line 1010 + ILD layer 1018 ), while all possible plug locations are covered by hardmask 1020 and all possible vias are located in polymer 1016B in the area. In this embodiment, the ILD line 1010 and the ILD layer 1018 are composed of the same material. In another such embodiment, the ILD line 1010 and the ILD layer 1018 are composed of different ILD materials. In either case, in a particular embodiment, differences such as seams between the materials of the ILD wire 1010 and the ILD layer 1018 may be observed in the final structure. An example seam 1099 is shown in FIG. 10G for illustrative purposes.

圖10H闡明接續於通孔圖案化後的圖10G之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)-(d),個別地沿著軸a-a’、b-b’、c-c’及d-d’而取,通孔位置1022A、1022B及1022C係藉由選定位置中之聚合物1016B的移除而被打開。於一實施例中,選擇性通孔位置形成係藉由使用微影技術來完成。於一此類實施例中,聚合物1016B被整體地移除以灰且被再填充以光抗蝕劑。光抗蝕劑可為高度敏感的且具有大的酸擴散及積極的去保護或交聯(根據抗蝕劑色調),因為潛時影像係由ILD(例如,由ILD線1010及ILD層1018)所侷限於兩方向。抗蝕劑作用為數位開關,用以「開」或「關」,根據是否需要通孔於特定位置中。理想地,光抗蝕劑可被用以僅填充孔,而不會溢出。於一實施例中,通孔位置1022A、1022B及1022C被完全地侷限以該製程,以致其線邊緣或寬度粗糙度(LWR)以及線崩潰及/或反射被減輕(假如未被消除的話)。於一實施例中,低劑量被使用以EUV/EBDW並顯著地增加運行速率。於一實施例中,利用EBDW之一額外優點在於:藉由顯著地減少所需的孔徑數以及降低其需被遞送之劑量而僅有一可增加運行速率之單次類型/大小。於其使用193nm浸入式微影之情況下,於一實施例中,製程流係將通孔位置侷限於兩方向上以致其實際上被圖案化的通孔之大小為晶圓上的實際通孔之大小的兩倍(例如,假設1:1線/空間圖案)。替代地,通孔位置可被選擇於反色調,其中需要被留存之通孔被保護以光抗蝕劑而餘留的地點則被移除且稍後被填充以ILD。此一方式可容許單一金屬填充/拋光製程於圖案化流程之末端而非兩個分離的金屬沈積步驟。10H illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 10G subsequent to via patterning, in accordance with an embodiment of the present invention. With reference to plan views and corresponding cross-sectional views (a)-(d), taken along axes a-a', bb', c-c', and d-d', respectively, via locations 1022A, 1022B and 1022C are opened by removal of polymer 1016B in selected locations. In one embodiment, selective via location formation is accomplished using lithography techniques. In one such embodiment, polymer 1016B is removed in its entirety with ash and refilled with photoresist. Photoresists can be highly sensitive and have large acid diffusion and aggressive deprotection or crosslinking (depending on resist hue) because the latent image is formed by the ILD (eg, by the ILD line 1010 and the ILD layer 1018 ) limited to two directions. The resist acts as a digital switch that can be turned "on" or "off" depending on whether vias are required in specific locations. Ideally, photoresist can be used to fill only the holes without spillage. In one embodiment, via locations 1022A, 1022B, and 1022C are completely confined to the process so that their line edge or width roughness (LWR) and line collapse and/or reflections are mitigated (if not eliminated). In one embodiment, low doses are used with EUV/EBDW and significantly increase the operating rate. In one embodiment, an additional advantage of utilizing EBDW is that there is only one shot type/size that increases the run rate by significantly reducing the number of apertures required and reducing the dose it needs to be delivered. In the case where it uses 193nm immersion lithography, in one embodiment, the process flow restricts the via locations to two directions so that the size of the vias that are actually patterned is the size of the actual vias on the wafer. twice the size (eg assuming a 1:1 line/space pattern). Alternatively, via locations can be chosen to be inverse tones, where vias that need to be preserved are protected with photoresist and the remaining locations are removed and later filled with ILD. This approach allows a single metal fill/polish process at the end of the patterning process rather than two separate metal deposition steps.

圖10I闡明接續於通孔形成後的圖10H之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)-(d),個別地沿著軸a-a’、b-b’、c-c’及d-d’而取,通孔位置1022A、1022B及1022C被個別地填充以金屬來形成通孔1024A、1024B及1024C。於一實施例中,通孔位置1022A、1022B及1022C被填充以過量金屬,且後續拋光操作被履行。然而,於另一實施例中,通孔位置1022A、1022B及1022C被填充而無金屬過填充且拋光操作被省略。應理解:圖10I中所示之通孔填充可被跳過於反色調通孔選擇方式中。10I illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 10H subsequent to via formation, in accordance with an embodiment of the present invention. With reference to plan views and corresponding cross-sectional views (a)-(d), taken along axes a-a', bb', c-c', and d-d', respectively, via locations 1022A, 1022B and 1022C are individually filled with metal to form vias 1024A, 1024B and 1024C. In one embodiment, via locations 1022A, 1022B, and 1022C are filled with excess metal, and subsequent polishing operations are performed. However, in another embodiment, via locations 1022A, 1022B, and 1022C are filled without metal overfill and the polishing operation is omitted. It should be understood that the via filling shown in FIG. 10I can be skipped in the reverse tone via selection mode.

圖10J闡明接續於第二種聚合物之移除並以ILD材料之替換後的圖10I之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)-(d),個別地沿著軸a-a’、b-b’、c-c’及d-d’而取,餘留的聚合物或聚合物部分1016B(例如,其中通孔位置尚未被選擇)被移除以再暴露金屬線1002。之後,ILD層1026被形成於其中餘留的聚合物或聚合物部分1016B被移除之位置中,如圖10J中所描繪者。10J illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 10I following removal of the second polymer and replacement with ILD material, in accordance with an embodiment of the present invention. Reference plan view and corresponding cross-sectional views (a)-(d), taken along axes a-a', bb', c-c' and d-d', respectively, the remaining polymer Or the polymer portion 1016B (eg, where the via location has not been selected) is removed to re-expose the metal line 1002 . Thereafter, an ILD layer 1026 is formed in the location where the remaining polymer or polymer portion 1016B was removed, as depicted in Figure 10J.

再次參考圖10J,於一實施例中,所得結構包括均勻ILD結構(ILD線1010+ILD層1018+ILD層1026),而所有可能插塞之位置被覆蓋以硬遮罩1020。於此一實施例中,ILD線1010、ILD層1018及ILD層1026係由相同材料所組成。於另此一實施例中,ILD線1010、ILD層1018及ILD層1026之兩者係由相同材料所組成且第三者係由不同的ILD材料所組成。於又另此一實施例中,ILD線1010、ILD層1018及ILD層1026均由彼此不同的ILD材料所組成。於任一情況下,於一特定實施例中,可在最後結構中觀察到諸如介於ILD線1010與ILD層1026的材料之間的接縫等區別。範例接縫1097係顯示於圖10J中以利說明。類似地,可在最後結構中觀察到諸如介於ILD層1018與ILD層1026的材料之間的接縫等區別。範例接縫1098係顯示於圖10J中以利說明。Referring again to FIG. 10J , in one embodiment, the resulting structure includes a uniform ILD structure (ILD line 1010 + ILD layer 1018 + ILD layer 1026 ), and all possible plug locations are covered with a hard mask 1020 . In this embodiment, ILD line 1010, ILD layer 1018, and ILD layer 1026 are composed of the same material. In another such embodiment, two of the ILD line 1010, the ILD layer 1018, and the ILD layer 1026 are composed of the same material and the third is composed of a different ILD material. In yet another embodiment, the ILD line 1010, the ILD layer 1018, and the ILD layer 1026 are all composed of different ILD materials from each other. In either case, in a particular embodiment, differences such as seams between the materials of the ILD wire 1010 and the ILD layer 1026 may be observed in the final structure. An example seam 1097 is shown in Figure 10J for illustrative purposes. Similarly, differences such as a seam between the materials of ILD layer 1018 and ILD layer 1026 may be observed in the final structure. An example seam 1098 is shown in FIG. 10J for illustrative purposes.

圖10K闡明接續於選定插塞位置中的抗蝕劑或遮罩之圖案化後的圖10J之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),插塞位置1028A、1028B及1028C係藉由形成遮罩或抗蝕劑層於那些位置之上而被保留。此保留圖案化可被稱為金屬端至端微影圖案化,其中插塞位置被判定為後續形成之金屬線中的斷裂所需要之處。應理解:因為插塞位置僅可在其中ILD層1018/硬遮罩1020所被放置的那些位置中,所以插塞可發生於先前層ILD線1004之上。於一實施例中,圖案化係藉由使用微影操作(例如,EUV、EBDW或浸入式193nm)來達成。於一實施例中,圖10K中所示之製程係展示一種正色調圖案化製程之使用,其中係保留了介於金屬之間的空間所需發生的區。應理解:於另一實施例中,亦可能替代地打開孔並反轉該製程之色調。10K illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 10J following patterning of the resist or mask in selected plug locations, in accordance with an embodiment of the present invention. Referring to the respective plan views and corresponding cross-sectional views (a) and (b) taken along axes a-a' and bb', plug locations 1028A, 1028B, and 1028C are created by forming a mask or resist A layer of etchant remains over those locations. This retention patterning may be referred to as metal end-to-end lithography patterning, where the plug locations are determined as required for breaks in subsequently formed metal lines. It should be understood that plugging can occur over previous layer ILD lines 1004 because plug locations can only be in those locations where the ILD layer 1018/hardmask 1020 is placed. In one embodiment, patterning is achieved by using a lithographic operation (eg, EUV, EBDW, or immersion 193 nm). In one embodiment, the process shown in FIG. 10K shows the use of a positive tone patterning process in which the spaces between the metals are preserved where the spaces need to occur. It should be understood that in another embodiment, it is also possible to open the holes and reverse the color tone of the process instead.

圖10L闡明接續於硬遮罩移除及ILD層凹陷後的圖10K之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)-(d),個別地沿著軸a-a’及b-b’而取,硬遮罩1020被移除且ILD層1018及ILD層1026被凹陷以個別地形成凹陷的ILD層1018’及凹陷的ILD層1026’,藉由蝕刻這些層低於其原始的最上表面。應理解:ILD層1018及ILD層1026之凹陷被履行而不蝕刻或凹陷ILD線1010。選擇性可藉由使用硬遮罩層1012於ILD線上來達成(如橫斷面視圖(a)及(b)中所描繪者)。替代地,於其ILD線1010係由不同於ILD層1018和ILD層1026之材料的ILD材料所組成的情況下,即使缺乏硬遮罩1012仍可使用選擇性蝕刻。ILD層1018及ILD層1026的凹陷係用以提供位置給第二階金屬線,如由ILD線1010所隔離,如以下所描述。凹陷之程度或深度(於一實施例中)係根據形成於其上之金屬線的所欲最終厚度來選擇。應理解:插塞位置1028A、1028B及1028C中之ILD層1018未被凹陷。10L illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 10K following hardmask removal and recessing of the ILD layer, in accordance with an embodiment of the present invention. Referring to the plan view and corresponding cross-sectional views (a)-(d), taken along axes a-a' and bb', respectively, with hard mask 1020 removed and ILD layer 1018 and ILD layer 1026 Recessed to individually form recessed ILD layer 1018' and recessed ILD layer 1026', by etching these layers below their original uppermost surfaces. It should be understood that the recessing of the ILD layer 1018 and the ILD layer 1026 is performed without etching or recessing the ILD line 1010 . Selectivity can be achieved by using a hard mask layer 1012 on the ILD line (as depicted in cross-sectional views (a) and (b)). Alternatively, where the ILD line 1010 is composed of an ILD material different from that of the ILD layer 1018 and the ILD layer 1026, a selective etch can be used even in the absence of the hard mask 1012. The recesses in ILD layer 1018 and ILD layer 1026 are used to provide locations for second level metal lines, as isolated by ILD lines 1010, as described below. The degree or depth of the recess (in one embodiment) is selected according to the desired final thickness of the metal lines formed thereon. It should be understood that the ILD layer 1018 in the plug locations 1028A, 1028B and 1028C is not recessed.

圖10M闡明接續於金屬線形成後的圖10L之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)、(b)及(c),個別地沿著軸a-a’、b-b’及b-b’而取,用以形成金屬互連線之金屬被共形地形成於圖10L之結構上方。金屬被接著平坦化(例如,藉由CMP)以提供金屬線1030,其被侷限於凹陷的ILD層1018’及凹陷的ILD層1026’上方之位置。金屬線1030係透過預定的通孔位置1024A、1024B及1024C而被耦合與下方金屬線1002(1024B被顯示於橫斷面視圖(c)中;注意:為了說明性目的,於橫斷面視圖(b)中另一通孔1032被描繪為直接地鄰接插塞1028B,即使此與先前的圖形不一致)。金屬線1030藉由ILD線1010而被彼此隔離,且藉由保留的插塞1028A、1028B及1028C而被中斷或分離。餘留在插塞位置上及/或ILD線1010上之任何硬遮罩可被移除在製程流之此部分,如圖10M中所描繪者。用以形成金屬線1030之金屬(例如,銅及相關的障壁和種子層)沈積及平坦化製程可為典型地用於標準後段製程(BEOL)單或雙金屬鑲嵌處理者。於一實施例中,於後續製造操作中,ILD線1010可被移除以提供介於所得金屬線1030之間的空氣間隙。10M illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 10L following metal line formation, in accordance with an embodiment of the present invention. Reference plan view and corresponding cross-sectional views (a), (b) and (c), taken along axes a-a', bb' and bb', respectively, for forming metal interconnects The metal of the lines is conformally formed over the structure of Figure 10L. The metal is then planarized (eg, by CMP) to provide metal lines 1030, which are confined to locations over recessed ILD layer 1018' and recessed ILD layer 1026'. Metal line 1030 is coupled to underlying metal line 1002 through predetermined via locations 1024A, 1024B, and 1024C (1024B is shown in cross-sectional view (c); note: for illustrative purposes, in cross-sectional view ( Another via 1032 in b) is depicted as directly adjoining plug 1028B, even though this is inconsistent with the previous figure). Metal lines 1030 are isolated from each other by ILD lines 1010 and interrupted or separated by remaining plugs 1028A, 1028B and 1028C. Any hard masks remaining on the plug locations and/or on the ILD lines 1010 can be removed at this portion of the process flow, as depicted in Figure 10M. The metal (eg, copper and associated barrier and seed layers) deposition and planarization processes used to form the metal lines 1030 may be those typically used for standard back end of line (BEOL) single or dual damascene processors. In one embodiment, in subsequent fabrication operations, the ILD lines 1010 may be removed to provide air gaps between the resulting metal lines 1030 .

圖10M之結構可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖10M之結構可代表積體電路中之最後金屬互連層。應理解:上述製程操作可被施行以替代的順序,不是每一操作均需被履行及/或額外的製程操作可被履行。再者,雖然上述製程流程係集中於定向自聚合(DSA)之應用,但選擇性生長製程亦可被替代地使用於製程流程之一或更多位置。於任何情況下,所得結構均致能其被直接地集中於下方金屬線上之通孔的製造。亦即,通孔可具有較下方金屬線更寬、更窄、或相同的厚度,例如,由於非完美選擇性蝕刻處理。然而,於一實施例中,通孔之中心被直接地與金屬線之中心對準(匹配)。如此一來,於一實施例中,由於傳統微影/雙金屬鑲嵌圖案化(其需另被容許)之偏差不會是文中所述之所得結構的因素。The structure of Figure 10M can then be used as a basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the structure of FIG. 10M may represent the final metal interconnect layer in an integrated circuit. It should be understood that the above-described process operations may be performed in alternate sequences, not every operation needs to be performed and/or additional process operations may be performed. Furthermore, while the above process flow is focused on the application of Directed Self-Aggregation (DSA), the selective growth process may alternatively be used at one or more locations in the process flow. In any case, the resulting structure enables the fabrication of vias which are directly focused on the underlying metal lines. That is, the vias may be wider, narrower, or the same thickness as the underlying metal lines, eg, due to a non-perfect selective etch process. However, in one embodiment, the centers of the vias are directly aligned (matched) with the centers of the metal lines. As such, in one embodiment, variations due to conventional lithography/damascene patterning (which would otherwise be tolerated) do not factor into the resulting structures described herein.

文中所述之一或更多實施例係有關前層自對準通孔及插塞圖案化。文中所述之程序的自對準形態可基於一種定向自聚合(DSA)機制,如底下更詳細地描述者。然而,應理解:選擇性生長機制可被利用以取代(或結合與)DSA為基的方式。於一實施例中,文中所述之程序係致能後段製程特徵製造之自對準金屬化的實現。One or more embodiments described herein relate to front layer self-aligned via and plug patterning. The self-aligned aspect of the procedures described herein may be based on a directed self-aggregation (DSA) mechanism, as described in more detail below. It should be understood, however, that selective growth mechanisms can be utilized in substitution (or in conjunction with) DSA-based approaches. In one embodiment, the procedures described herein enable the realization of self-aligned metallization for back-end-of-process feature fabrication.

圖11A-11M闡明其表示一種自對準通孔及金屬圖案化的方法中之各個操作的積體電路層之部分,依據本發明之實施例。於各所述操作之各闡明中,平面視圖被顯示於左手邊,而相應的橫斷面視圖被顯示於右手邊。這些視圖將於文中被稱為相應的橫斷面視圖及平面視圖。11A-11M illustrate portions of integrated circuit layers that represent various operations in a method of self-aligned vias and metal patterning, in accordance with embodiments of the present invention. In each illustration of the described operations, the plan view is shown on the left hand side and the corresponding cross-sectional view is shown on the right hand side. These views will be referred to herein as the corresponding cross-sectional and plan views.

圖11A闡明針對前層金屬化結構之選擇的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖選擇(a),開始結構1100包括金屬線1102及層間電介質線(ILD)1104的圖案。開始結構1100可被圖案化為光柵狀圖案,以金屬線間隔於恆定節距並具有恆定寬度,如圖11A中所描繪者,假如將使用自聚合材料的話。假如使用一種定向選擇性生長技術的話,則下方圖案不需為單一節距或寬度。圖案(例如)可藉由節距減半或節距減為四分之一方式來製造。某些線可關聯與下方通孔,諸如橫斷面視圖中之一範例所示的線1102’。11A illustrates a plan view and corresponding cross-sectional view for a selection of front-level metallization structures, in accordance with an embodiment of the present invention. Referring to plan view and corresponding cross-sectional view option (a), starting structure 1100 includes a pattern of metal lines 1102 and interlayer dielectric lines (ILD) 1104 . The starting structure 1100 can be patterned into a grating-like pattern, with metal lines spaced at a constant pitch and of constant width, as depicted in FIG. 11A, if a self-polymerizing material is to be used. If a directional selective growth technique is used, the underlying pattern need not be of a single pitch or width. Patterns, for example, can be fabricated by halving the pitch or reducing the pitch by a quarter. Certain lines may be associated with underlying vias, such as line 1102' shown in one example of the cross-sectional view.

再次參考圖11A,替代的選擇(b)-(f)係討論其中於金屬線1102及層間電介質線1104之一者(或兩者)的表面上形成一額外膜(例如,沈積、生長、或留下如從先前圖案化製程所餘留的假影)的情況。於範例(b)中,額外膜1106被配置於層間電介質線1104上。於範例(c)中,額外膜1108被配置於金屬線1102上。於範例(d)中,額外膜1106被配置於層間電介質線1104上,而額外膜1108被配置於金屬線1102上。再者,雖然金屬線1102及層間電介質線1104被描述為共面的於(a)中,但是於其他實施例中,其可為非共面的。例如,於(e)中,金屬線1102突出於層間電介質線1104之上。於範例(f)中,金屬線1102凹陷於層間電介質線1104之下。Referring again to FIG. 11A, alternative options (b)-(f) are discussed in which an additional film (eg, deposited, grown, or leaving artifacts as left over from the previous patterning process). In example (b), the additional film 1106 is disposed on the interlayer dielectric line 1104 . In example (c), the additional film 1108 is disposed on the metal line 1102 . In example (d), the additional film 1106 is disposed on the interlayer dielectric line 1104 , and the additional film 1108 is disposed on the metal line 1102 . Furthermore, although the metal lines 1102 and the interlayer dielectric lines 1104 are described as coplanar in (a), in other embodiments, they may be non-coplanar. For example, in (e), the metal lines 1102 protrude above the interlayer dielectric lines 1104 . In example (f), the metal line 1102 is recessed under the interlayer dielectric line 1104 .

再次參考範例(b)-(d),額外層(例如,層1106或1108)可被使用為硬遮罩(HM)或保護層或者被用以致能以下關聯後續處理操作所描述的選擇性生長及/或自聚合。此等額外層亦可被用以保護ILD不被進一步處理。此外,選擇性地沈積另一材料於金屬線之上可能由於類似理由而為有利的。再次參考範例(e)及(f),亦得以藉由任一或兩表面上之保護/HM材料的任何組合來凹陷ILD線或金屬線。總之,於此階段存在有數個用以準備針對選擇性或定向自聚合製程之最終下方表面的選擇。Referring again to examples (b)-(d), additional layers (eg, layers 1106 or 1108) may be used as hard masks (HM) or protective layers or to enable selective growth as described below in connection with subsequent processing operations and/or self-aggregation. These additional layers can also be used to protect the ILD from further processing. Furthermore, selectively depositing another material over the metal lines may be advantageous for similar reasons. Referring again to examples (e) and (f), ILD lines or metal lines can also be recessed by any combination of protective/HM materials on either or both surfaces. In summary, there are several options at this stage to prepare the final underlying surface for selective or directional self-polymerization processes.

圖11B闡明針對下方金屬/ILD光柵上(例如,於諸如圖11A中所示之結構上)的定向自聚合(DSA)生長之選擇的平面視圖及相應的橫斷面視圖,根據本發明之實施例。參考平面視圖,結構1110包括一具有交替的聚合物或交替的聚合物成分之層。例如,如圖所示,聚合物A(或聚合物成分A)被形成於圖11A之層間電介質(ILD)線1104上或上方,而聚合物B(或聚合物成分B)被形成於圖11A之金屬線1102上或上方。參考橫斷面視圖,於(a)中,聚合物A(或聚合物成分A)被形成於ILD線1104上,及聚合物B(或聚合物成分B)被形成於金屬線1102上。於(b)中,聚合物A(或聚合物成分A)被形成於ILD線1104上所形成之額外膜1106上,而聚合物B(或聚合物成分B)被形成於金屬線1102上。於(c)中,聚合物A(或聚合物成分A)被形成於ILD線1104上,而聚合物B(或聚合物成分B)被形成於金屬線1102上所形成之額外膜1108上。於(d)中,聚合物A(或聚合物成分A)被形成於ILD線1104上所形成之額外膜1106上,而聚合物B(或聚合物成分B)被形成於金屬線1102上所形成之額外膜1108上。11B illustrates a selected plan view and corresponding cross-sectional view for directed self-aggregation (DSA) growth on an underlying metal/ILD grating (eg, on a structure such as that shown in FIG. 11A ), in accordance with an implementation of the present invention example. Referring to the plan view, structure 1110 includes a layer having alternating polymers or alternating polymer compositions. For example, as shown, polymer A (or polymer component A) is formed on or over interlayer dielectric (ILD) lines 1104 of FIG. 11A , while polymer B (or polymer component B) is formed on FIG. 11A on or above the metal line 1102. Referring to the cross-sectional view, in (a), polymer A (or polymer component A) is formed on ILD wire 1104 , and polymer B (or polymer component B) is formed on metal wire 1102 . In (b), polymer A (or polymer component A) is formed on the additional film 1106 formed on the ILD wire 1104 , and polymer B (or polymer component B) is formed on the metal wire 1102 . In (c), polymer A (or polymer component A) is formed on the ILD wire 1104 and polymer B (or polymer component B) is formed on the additional film 1108 formed on the metal wire 1102 . In (d), polymer A (or polymer component A) is formed on the additional film 1106 formed on the ILD wire 1104, and polymer B (or polymer component B) is formed on the metal wire 1102. on the additional film 1108 formed.

再次參考圖11B,於一實施例中,一旦下方結構(例如,圖11A之結構1100)之表面已被準備,則一種50-50雙區塊共聚物,諸如聚苯乙烯-聚甲基丙烯酸甲酯(PS-PMMA),被塗佈於基底上並退火以驅動自聚合,導致圖11B之結構1110的聚合物A/聚合物B層。於此一實施例中,利用適當的表面能量條件,區塊共聚物根據結構1100之下方材料而分離。例如,於一特定實施例中,聚苯乙烯選擇性地對準至下方金屬線1102(或相應的金屬線封蓋或硬遮罩材料)。同時,聚甲基丙烯酸甲酯選擇性地對準至ILD線1104(或相應的金屬線封蓋或硬遮罩材料)。Referring again to FIG. 11B, in one embodiment, once the surface of the underlying structure (eg, structure 1100 of FIG. 11A) has been prepared, a 50-50 two-block copolymer, such as polystyrene-polymethylmethacrylate Ester (PS-PMMA), was coated on the substrate and annealed to drive self-polymerization, resulting in the polymer A/polymer B layers of structure 1110 of Figure 11B. In this embodiment, with appropriate surface energy conditions, the block copolymers are separated from the underlying material of the structure 1100. For example, in one particular embodiment, polystyrene is selectively aligned to the underlying wire 1102 (or corresponding wire capping or hardmask material). At the same time, the polymethyl methacrylate is selectively aligned to the ILD line 1104 (or corresponding wire capping or hard mask material).

因此,於一實施例中,下方金屬及ILD柵格被再生於區塊共聚物(BCP,亦即,聚合物A/聚合物B)。假如BCP節距與下方光柵節距相當則可能特別是如此。聚合物柵格(聚合物A/聚合物B),於一實施例中,針對從極適當對準的柵格之某少量偏差是強韌的。例如,假如小插塞有效地設置氧化物等材料(其中極適當對準的柵格將具有金屬),則仍可達成極適當對準的聚合物A/聚合物B柵格。然而,因為ILD線光柵(於一實施例中)為理想化的光柵結構,無ILD骨幹之金屬破裂,所以可能需要使ILD表面中性,因為兩類型的聚合物(A與B)將(於此一例子中)被暴露至ILD類材料而僅有一類型被暴露至金屬。Thus, in one embodiment, the underlying metal and ILD grids are regenerated in block copolymer (BCP, ie, polymer A/polymer B). This may especially be the case if the BCP pitch is comparable to the underlying grating pitch. The polymer grid (Polymer A/Polymer B), in one embodiment, is robust to some small deviation from a very properly aligned grid. For example, a very properly aligned Polymer A/Polymer B grid can still be achieved if the small plugs are effectively provided with materials such as oxides (where a very properly aligned grid would have metal). However, since the ILD line grating (in one embodiment) is an idealized grating structure with no metal breakage of the ILD backbone, it may be desirable to neutralize the ILD surface since the two types of polymers (A and B) will In this example) is exposed to the ILD type material and only one type is exposed to the metal.

於一實施例中,塗佈的聚合物(A/B)之厚度約略相同於(或稍微厚於)最終形成於其位置中之ILD的最終厚度。於一實施例中,如底下更詳細地描述,聚合物柵格不被形成為蝕刻抗蝕劑,而為用以最終地生長永久ILD層於其周圍的支架。如此一來,聚合物(A/B)之厚度可能是重要的,因為其可被用以界定後續形成之永久ILD層的最終厚度。亦即,於一實施例中,圖11B中所示之聚合物光柵最終被替換以約略相同厚度的ILD光柵。In one embodiment, the thickness of the coated polymer (A/B) is about the same (or slightly thicker) as the final thickness of the ILD that is ultimately formed in its place. In one embodiment, as described in more detail below, the polymer grid is not formed as an etch resist, but as a scaffold around which to eventually grow a permanent ILD layer. As such, the thickness of the polymer (A/B) may be important as it can be used to define the final thickness of the subsequently formed permanent ILD layer. That is, in one embodiment, the polymer grating shown in FIG. 11B is eventually replaced with an ILD grating of approximately the same thickness.

於一實施例中,如上所述,圖2之聚合物A/聚合物B的柵格為區塊共聚物。於一此類實施例中,區塊共聚物分子為一種諸如以上與圖10D關聯所述者。於一實施例中,經由第一範例(如圖11B中所示),於兩區塊共聚物中,假如區塊為約略相同的長度,則產生交替的聚合物A線與聚合物B線之柵格狀圖案。於另一實施例中,經由第二範例(未顯示),於兩區塊共聚物中,假如該些區塊之一較另一更長,但不會長太多,則可形成垂直柱狀結構。於柱狀結構中,區塊共聚物分子可與微相分離成柱的內部之其較短聚合物區塊以及延伸遠離柱並圍繞柱之其較長聚合物區塊對準。例如,假如聚合物A之區塊較聚合物B之區塊長(但不是太長),則可形成柱狀結構,其中許多區塊共聚物分子與聚合物B之其較短區塊對準,形成由具有聚合物A之較長區塊的相位所圍繞之柱狀結構。當此發生於足夠尺寸的區域中時,則可形成通常六角地封裝之柱狀結構的二維陣列。In one embodiment, as described above, the polymer A/polymer B grid of FIG. 2 is a block copolymer. In one such embodiment, the block copolymer molecule is one such as that described above in connection with Figure 10D. In one embodiment, via a first example (shown in FIG. 11B ), in a two-block copolymer, if the blocks are approximately the same length, alternating polymer A lines and polymer B lines are produced. Grid-like pattern. In another embodiment, via a second example (not shown), in a two-block copolymer, a vertical columnar structure can be formed if one of the blocks is longer than the other, but not by much . In a columnar structure, the block copolymer molecules can be microphase separated into their shorter polymer blocks inside the columns and their longer polymer blocks extending away from and around the columns. For example, if a block of polymer A is longer (but not too long) than a block of polymer B, a columnar structure can be formed with many block copolymer molecules aligned with its shorter block of polymer B , forming a columnar structure surrounded by phases with longer blocks of polymer A. When this occurs in an area of sufficient size, then a two-dimensional array of pillar-like structures, typically hexagonally packaged, can be formed.

於一實施例中,聚合物A/聚合物B光柵被首先塗敷為未聚合的區塊共聚物層部分,其包括(例如)藉由刷或其他塗佈製程所塗敷之區塊共聚物材料,如以上與圖10D關聯所述者。於此一實施例中,退火處置可被施加至未聚合區塊共聚物以起始、加速、增加其品質、或者提升微相分離及/或自聚合,如以上與圖10D關聯所述者。In one embodiment, the Polymer A/Polymer B grating is first coated as part of an unpolymerized block copolymer layer, including, for example, block copolymer applied by brushing or other coating process Materials, as described above in connection with Figure 10D. In this embodiment, an annealing treatment may be applied to the unpolymerized block copolymer to initiate, accelerate, increase its quality, or enhance microphase separation and/or self-polymerization, as described above in connection with Figure 10D.

圖11C闡明接續於一種聚合物之移除後的圖11B之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考圖11C,聚合物B被移除以再暴露金屬線1102(或金屬線1102上所形成之硬遮罩或封蓋層),而聚合物A被留存於ILD線1104中,形成結構1112。於一實施例中,接續於濕式蝕刻或選擇性乾式蝕刻後之深紫外線(DUV)大量曝光被用以選擇性地移除聚合物B。應理解其,取代從金屬線1102之聚合物的第一移除(如圖所示),可替代地首先執行從ILD線之移除。11C illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 11B following removal of a polymer, in accordance with an embodiment of the present invention. Referring to FIG. 11C , polymer B is removed to re-expose metal lines 1102 (or a hard mask or capping layer formed on metal lines 1102 ), while polymer A is retained in ILD lines 1104 to form structures 1112 . In one embodiment, deep ultraviolet (DUV) bulk exposure followed by wet etching or selective dry etching is used to selectively remove polymer B. It will be appreciated that, instead of the first removal of polymer from the metal line 1102 (as shown), the removal from the ILD line may instead be performed first.

圖11D闡明接續於金屬線1102之上的犧牲材料層之形成後的圖11C之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(b),結構1114包括形成於金屬線1102上面或之上並介於ILD線1104上面或之上的聚合物A之間的犧牲B層。於一實施例中,參考橫斷面視圖(a),低溫沈積係填充介於聚合物A線之間的溝槽,例如,以氧化物(例如,TiOx )或其他犧牲材料當作共形層1116。共形層1116接著係藉由乾式蝕刻或化學機械平坦化(CMP)製程而被侷限於金屬線1102上方的區。所得之層於文中被稱為犧牲B,因為於某些實施例中,其材料被最終地取代以永久ILD材料。然而,於其他實施例中,應理解其永久ILD材料可被替代地形成於此階段。於使用犧牲材料之情況下,於一實施例中,犧牲材料具有必要的沈積性質、熱穩定性、及對於製程中所使用之其他材料的蝕刻選擇性。11D illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 11C after formation of a layer of sacrificial material over metal lines 1102, in accordance with an embodiment of the present invention. Referring to the plan view and corresponding cross-sectional view (b), structure 1114 includes a sacrificial B layer formed on or over metal lines 1102 and between polymer A over or over ILD lines 1104 . In one embodiment, referring to cross-sectional view (a), low temperature deposition fills the trenches between the polymer A-lines, eg, with oxides (eg, TiOx ) or other sacrificial materials as conformal Layer 1116. The conformal layer 1116 is then confined to the area over the metal line 1102 by a dry etch or chemical mechanical planarization (CMP) process. The resulting layer is referred to herein as sacrificial B because, in some embodiments, its material is ultimately replaced with permanent ILD material. However, in other embodiments, it should be understood that the permanent ILD material may alternatively be formed at this stage. Where sacrificial materials are used, in one embodiment, the sacrificial materials have the necessary deposition properties, thermal stability, and etch selectivity to other materials used in the process.

圖11E闡明接續於以永久層間電介質(ILD)材料替換聚合物A後的圖11D之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(c),結構1118包括於ILD線1104之上或上面並介於犧牲B材料線之間的永久層間電介質(ILD)線1120。於一實施例中,如橫斷面視圖(a)中所描繪,聚合物A線被移除。接著,參考橫斷面視圖(b),ILD材料層1119被共形地形成在所得結構之上。共形層1119接著係藉由乾式蝕刻或化學機械平坦化(CMP)製程而被侷限於ILD線1104上方的區。於一實施例中,結構1118有效地以極厚材料的光柵(例如,永久ILD 1120及犧牲B)來替換圖11B之聚合物(A/B)光柵,該極厚材料的光柵與下方金屬光柵相稱並與下方光柵對準。兩不同材料可被用以最終地界定插塞及通孔之可能位置,如底下更詳細地描述。11E illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 11D following the replacement of polymer A with a permanent interlayer dielectric (ILD) material, in accordance with an embodiment of the present invention. Referring to the plan view and corresponding cross-sectional view (c), structure 1118 includes permanent interlayer dielectric (ILD) lines 1120 on or over ILD lines 1104 and between sacrificial B-material lines. In one embodiment, the polymer A lines are removed as depicted in cross-sectional view (a). Next, referring to cross-sectional view (b), a layer 1119 of ILD material is conformally formed over the resulting structure. The conformal layer 1119 is then confined to the area over the ILD line 1104 by a dry etch or chemical mechanical planarization (CMP) process. In one embodiment, structure 1118 effectively replaces the polymer (A/B) grating of FIG. 11B with a grating of extremely thick material (eg, permanent ILD 1120 and sacrificial B), the grating of extremely thick material and the underlying metal grating Compatible and aligned with the lower grating. Two different materials can be used to ultimately define possible locations for plugs and vias, as described in more detail below.

圖11F闡明接續於永久ILD線上之選擇性硬遮罩形成後的圖11E之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(c),結構1122包括形成在永久層間電介質(ILD)線1120上之硬遮罩層1124。於一實施例中,參考橫斷面視圖(c),選擇性生長製程被用以形成硬遮罩層1124為侷限於永久ILD線1120之表面。於另一實施例中,共形材料層1123被首先形成(橫斷面視圖(a))於一具有凹陷的永久ILD線1120之結構上。共形層1123接著接受計時的蝕刻及/或CMP製程以形成硬遮罩層1124(橫斷面視圖(b))。於後者情況下,ILD線1120係相對於犧牲B材料而凹陷,且接著非共形(平坦化)硬遮罩1123被沈積於所得光柵上。材料1123在犧牲B線上較在凹陷的ILD線1120上更薄以致硬遮罩之計時蝕刻或拋光操作係從犧牲B材料選擇性地移除材料1123。11F illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 11E after selective hardmask formation on the permanent ILD line, in accordance with an embodiment of the present invention. Referring to the plan view and corresponding cross-sectional view (c), structure 1122 includes a hard mask layer 1124 formed over permanent interlayer dielectric (ILD) lines 1120 . In one embodiment, referring to cross-sectional view (c), a selective growth process is used to form hard mask layer 1124 limited to the surface of permanent ILD lines 1120 . In another embodiment, a layer of conformal material 1123 is first formed (cross-sectional view (a)) on a structure with recessed permanent ILD lines 1120. Conformal layer 1123 is then subjected to a timed etch and/or CMP process to form hard mask layer 1124 (cross-sectional view (b)). In the latter case, the ILD lines 1120 are recessed relative to the sacrificial B material, and then a non-conformal (planarized) hardmask 1123 is deposited on the resulting grating. The material 1123 is thinner on the sacrificial B line than on the recessed ILD line 1120 so that a timed etch or polish operation of the hardmask selectively removes the material 1123 from the sacrificial B material.

圖11G闡明接續於犧牲B線之移除及以永久ILD線1128之替換後的圖11F之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(c),結構1126包括取代圖11F之犧牲B線的永久ILD線1128,亦即,在金屬線1102之上並與金屬線1102對準。於一實施例中,犧牲B材料被移除(橫斷面視圖(a))並替換以永久ILD線1128(橫斷面視圖(c)),例如,藉由共形層之沈積和後續的計時蝕刻或CMP處理(橫斷面視圖(b))。於一實施例中,所得結構1126包括均勻ILD材料(永久ILD線1120+永久ILD線1128),其中所有可能插塞之位置被覆蓋以硬遮罩1124且所有可能通孔位於暴露的永久ILD線1128之區域中。於此一實施例中,永久ILD線1120及永久ILD線1128係由相同材料所組成。於另一此實施例中,永久ILD線1120及永久ILD線1128係由不同的ILD材料所組成。於任一情況下,於一特定實施例中,可在最後結構1126中觀察到諸如介於永久ILD線1120與永久ILD線1128的材料之間的接縫等區別。範例接縫1199係顯示於圖11F中以利說明。11G illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 11F following removal of the sacrificial B line and replacement with a permanent ILD line 1128, in accordance with an embodiment of the present invention. Referring to the plan view and corresponding cross-sectional view (c), structure 1126 includes permanent ILD line 1128 in place of the sacrificial B line of FIG. 11F , ie, over and aligned with metal line 1102 . In one embodiment, the sacrificial B material is removed (cross-sectional view (a)) and replaced with permanent ILD lines 1128 (cross-sectional view (c)), eg, by deposition of a conformal layer and subsequent Timed etching or CMP treatment (cross-sectional view (b)). In one embodiment, the resulting structure 1126 includes a uniform ILD material (permanent ILD line 1120 + permanent ILD line 1128) with all possible plug locations covered with hardmask 1124 and all possible vias on exposed permanent ILD lines in the area of 1128. In this embodiment, permanent ILD wire 1120 and permanent ILD wire 1128 are composed of the same material. In another such embodiment, permanent ILD wire 1120 and permanent ILD wire 1128 are composed of different ILD materials. In either case, in a particular embodiment, differences such as a seam between the materials of permanent ILD wire 1120 and permanent ILD wire 1128 may be observed in final structure 1126 . An example seam 1199 is shown in FIG. 11F for illustrative purposes.

圖11H闡明接續於溝槽形成(例如,光柵界定)後的圖11G之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)-(d),個別地沿著軸a-a’、b-b’、c-c’及d-d’而取,藉由在圖11G之結構中形成溝槽1132以界定一光柵於結構1130中(垂直於圖11G之光柵),以最終地界定介於金屬線的圖案之間的區。於一實施例中,溝槽1132係藉由將光柵圖案圖案化並蝕刻為較早結構之犧牲光柵來形成。於一實施例中,形成一柵格,有效地,同時界定介於最終形成的金屬線之間的所有間隔連同所有插塞和通孔之位置。於一實施例中,溝槽1132顯露下方ILD線1104及金屬線1102之位置。11H illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 11G following trench formation (eg, grating definition), in accordance with an embodiment of the present invention. Reference plan views and corresponding cross-sectional views (a)-(d), taken along axes a-a', bb', c-c', and d-d', respectively, are shown in Figure 11G Trenches 1132 are formed in the structure to define a grating in structure 1130 (perpendicular to the grating of Figure 11G) to ultimately define the regions between the patterns of metal lines. In one embodiment, trenches 1132 are formed by patterning and etching a grating pattern into sacrificial gratings of earlier structures. In one embodiment, a grid is formed, effectively simultaneously defining all the spaces between the resulting metal lines as well as the locations of all plugs and vias. In one embodiment, trench 1132 exposes the location of underlying ILD line 1104 and metal line 1102 .

圖11I闡明接續於圖11H的溝槽中之犧牲材料光柵的形成後的圖11H之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)-(d),個別地沿著軸a-a’、b-b’、c-c’及d-d’而取,材料層1134(其為層間電介質層或犧牲層)被形成於圖11H之結構的溝槽1132中。於一實施例中,材料層1134係藉由利用永久ILD材料或犧牲層之共形沈積及後續的計時蝕刻或CMP來形成(例如,假如將製造空氣間隙的話其可於稍後被移除)。於前者情況下,材料層1134最終地變為ILD材料,介於相同金屬層上後續所形成的平行金屬線之間。於後者情況下,材料可被稱為犧牲C材料,如圖所示。於一實施例中,材料層1134具有對於其他ILD材料及對於硬遮罩層1128的高蝕刻選擇性。11I illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 11H following the formation of a grating of sacrificial material in the trench of FIG. 11H, in accordance with an embodiment of the present invention. Referring to the plan view and corresponding cross-sectional views (a)-(d), taken along axes a-a', bb', c-c', and d-d', respectively, material layer 1134 (which An interlayer dielectric layer or sacrificial layer) is formed in the trenches 1132 of the structure of FIG. 11H. In one embodiment, material layer 1134 is formed by conformal deposition with a permanent ILD material or sacrificial layer followed by a timed etch or CMP (eg, which can be removed later if an air gap is to be fabricated) . In the former case, the material layer 1134 eventually becomes the ILD material between the parallel metal lines formed subsequently on the same metal layer. In the latter case, the material may be referred to as a sacrificial C material, as shown. In one embodiment, material layer 1134 has high etch selectivity to other ILD materials and to hard mask layer 1128 .

圖11J闡明接續於遮罩之形成和圖案化以及通孔位置之後續蝕刻後的圖11I之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)及(b),個別地沿著軸a-a’及b-b’而取,遮罩1136被形成於圖11I之結構上。遮罩係(例如)藉由微影製程而被圖案化,以具有形成於其中之開口1137。於一實施例中,開口係根據所欲的通孔圖案化而決定。亦即,於此階段,所有可能的通孔及插塞(例如,當作佔位)已被圖案化且被自對準至上面和下面的最終金屬層。於此,通孔及插塞位置之子集被選擇以供保留,如用以蝕刻金屬線所在之位置。於一實施例中,ArF或EUV或電子束抗蝕劑被用以切割或選擇待蝕刻之通孔,亦即,在金屬線1102之暴露部分的位置上。應理解:硬遮罩1124及材料層1134係作用為決定通孔之形狀及位置的實際蝕刻遮罩。遮罩1136僅作用以阻擋剩餘的通孔不被蝕刻。如此一來,對於開口1137尺寸之容許度被放寬,因為選定的通孔位置(亦即,直接位於金屬線1102之暴露部分上面的開口1137之部分)之周圍材料(例如,硬遮罩1124及材料層1134)能抵抗用以移除金屬線1102之選定部分上面的ILD線1128之蝕刻製程,以供最終的通孔製造。於一實施例中,遮罩1136係由地形遮蔽部分1136C、抗反射塗(ARC)層1136B、及光抗蝕劑層1136A所組成。於一特定此類實施例中,地形遮蔽部分136C為碳硬遮罩(CHM)層而抗反射塗層136B為矽ARC層。11J illustrates a plan view and a corresponding cross-sectional view of the structure of FIG. 11I following formation and patterning of the mask and subsequent etching of via locations, in accordance with an embodiment of the present invention. Referring to the plan view and corresponding cross-sectional views (a) and (b), taken along axes a-a' and b-b', respectively, a mask 1136 is formed over the structure of Figure 11I. The mask is patterned, eg, by a lithography process, to have openings 1137 formed therein. In one embodiment, the openings are determined according to the desired pattern of the vias. That is, at this stage, all possible vias and plugs (eg, as placeholders) have been patterned and self-aligned to the final metal layers above and below. Here, a subset of via and plug locations are selected for reservation, such as locations where metal lines are etched. In one embodiment, ArF or EUV or e-beam resist is used to cut or select vias to be etched, ie, at the locations of exposed portions of metal lines 1102 . It should be understood that the hard mask 1124 and the material layer 1134 act as the actual etch mask that determines the shape and location of the vias. The mask 1136 only acts to block the remaining vias from being etched. In this way, the tolerance for the size of opening 1137 is relaxed because the selected via location (ie, the portion of opening 1137 that is directly above the exposed portion of metal line 1102 ) is surrounded by material (eg, hardmask 1124 and Material layer 1134) resists the etch process used to remove ILD lines 1128 over selected portions of metal lines 1102 for final via fabrication. In one embodiment, the mask 1136 is composed of a topographic mask portion 1136C, an anti-reflective coating (ARC) layer 1136B, and a photoresist layer 1136A. In a particular such embodiment, topographic mask 136C is a carbon hard mask (CHM) layer and anti-reflective coating 136B is a silicon ARC layer.

圖11K闡明接續於遮罩和硬遮罩移除以及後續之插塞圖案化和蝕刻後的圖11J之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)及(b),個別地沿著軸a-a’及b-b’而取,圖11J中所示之遮罩1136在通孔位置圖案化之後被移除。之後,第二遮罩1138被形成並圖案化以覆蓋選定的插塞位置。明確地,於一實施例中,且如圖11K中所描繪,硬遮罩1124之部分被保留於其中插塞所將最後地形成之位置中。亦即,於此階段,存在有硬遮罩插塞之形式的所有可能插塞。圖11K之圖案化操作係作用以移除除了那些為插塞保留所選擇的以外之所有硬遮罩1124部分。圖案化有效地暴露ILD線1120及1128之大致上部分,例如,當作統一的電介質層。11K illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 11J following mask and hardmask removal and subsequent plug patterning and etching, in accordance with an embodiment of the present invention. Referring to the plan view and corresponding cross-sectional views (a) and (b), taken along axes a-a' and bb', respectively, the mask 1136 shown in FIG. 11J is patterned at the via locations was later removed. Afterwards, a second mask 1138 is formed and patterned to cover the selected plug locations. Specifically, in one embodiment, and as depicted in FIG. 11K , portions of hardmask 1124 are left in the position where the plug will be formed last. That is, at this stage, there are all possible plugs in the form of hardmask plugs. The patterning operation of FIG. 11K acts to remove all portions of hardmask 1124 except those selected for plug retention. The patterning effectively exposes substantially upper portions of ILD lines 1120 and 1128, eg, as a unified dielectric layer.

圖11L闡明接續於遮罩移除以及金屬線溝槽蝕刻後的圖11K之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)及(b),個別地沿著軸a-a’及b-b’而取,圖11K中所示之遮罩1138在通孔位置圖案化之後被移除。之後,ILD線1120及1128之暴露部分的部分蝕刻被執行以提供凹陷的ILD線1120’及1128’。凹陷之程度可根據計時的蝕刻製程,如針對所欲金屬線厚度之深度。由保留的硬遮罩1124部分所保護之ILD線1120的部分並未藉由蝕刻而被凹陷,如圖11L中所示。此外,材料層1134(其可為犧牲材料或永久ILD材料)亦未被蝕刻或凹陷。應理解:圖11L所示之製程並不需要微影操作,因為通孔位置(在金屬線1102之暴露部分上)已被蝕刻以及插塞(在其中硬遮罩1124被保留之位置上)。11L illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 11K subsequent to mask removal and metal line trench etching, in accordance with an embodiment of the present invention. Referring to the plan view and corresponding cross-sectional views (a) and (b), taken along axes a-a' and bb', respectively, the mask 1138 shown in FIG. 11K is patterned at the via locations was later removed. Thereafter, partial etching of the exposed portions of ILD lines 1120 and 1128 is performed to provide recessed ILD lines 1120' and 1128'. The degree of recessing can be based on the timing of the etching process, such as the depth for the desired metal line thickness. The portion of ILD line 1120 protected by the remaining portion of hardmask 1124 is not recessed by etching, as shown in FIG. 11L. In addition, material layer 1134, which may be a sacrificial material or permanent ILD material, is also not etched or recessed. It should be understood that the process shown in FIG. 11L does not require a lithography operation because the via locations (on the exposed portions of the metal lines 1102) have been etched and plugged (on the locations where the hard mask 1124 was left).

圖11M闡明接續於金屬線沈積及拋光後的圖11L之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)及(b),個別地沿著軸a-a’及b-b’而取,用以形成金屬互連線之金屬被共形地形成於圖11L之結構上方。金屬被接著平坦化(例如,藉由CMP)以提供金屬線1140。金屬線係透過預定的通孔位置而被耦合與下方金屬線,且藉由保留的插塞1142及1144而被隔離。金屬(例如,銅及相關障壁和種子層)沈積及平坦化製程可為標準BEOL雙金屬鑲嵌處理之製程。應理解:於後續製造操作中,材料層線1134可被移除以提供介於所得金屬線1140之間的空氣間隙。11M illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 11L subsequent to metal line deposition and polishing, in accordance with an embodiment of the present invention. With reference to plan views and corresponding cross-sectional views (a) and (b), taken along axes a-a' and bb', respectively, the metal used to form the metal interconnects is conformally formed in the Above the structure of Figure 11L. The metal is then planarized (eg, by CMP) to provide metal lines 1140 . The metal lines are coupled to the underlying metal lines through predetermined via locations and isolated by the remaining plugs 1142 and 1144 . Metal (eg, copper and associated barrier and seed layers) deposition and planarization processes may be those of standard BEOL dual damascene processing. It should be understood that in subsequent fabrication operations, the material layer lines 1134 may be removed to provide air gaps between the resulting metal lines 1140 .

圖11M之結構可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖11M之結構可代表積體電路中之最後金屬互連層。應理解其上述製程操作可被施行以替代的順序,不是每一操作均需被執行及/或額外的製程操作可被執行。再者,雖然上述製程流程係集中於定向自聚合(DSA)之應用,但選擇性生長製程亦可被替代地使用於製程流程之一或更多位置。於任何情況下,所得結構均致能其被直接地集中於下方金屬線上之通孔的製造。亦即,通孔可具有較下方金屬線更寬、更窄、或相同的厚度,例如,由於非完美選擇性蝕刻處理。然而,於一實施例中,通孔之中心被直接地與金屬線之中心對準(匹配)。如此一來,於一實施例中,由於傳統微影/雙金屬鑲嵌圖案化(其需另被容許)之偏差不會是文中所述之所得結構的因素。The structure of FIG. 11M can then be used as a basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the structure of FIG. 11M may represent the final metal interconnect layer in an integrated circuit. It should be understood that the above-described process operations may be performed in alternate orders, not every operation needs to be performed and/or additional process operations may be performed. Furthermore, while the above process flow is focused on the application of Directed Self-Aggregation (DSA), the selective growth process may alternatively be used at one or more locations in the process flow. In any case, the resulting structure enables the fabrication of vias which are directly focused on the underlying metal lines. That is, the vias may be wider, narrower, or the same thickness as the underlying metal lines, eg, due to a non-perfect selective etch process. However, in one embodiment, the centers of the vias are directly aligned (matched) with the centers of the metal lines. As such, in one embodiment, variations due to conventional lithography/damascene patterning (which would otherwise be tolerated) do not factor into the resulting structures described herein.

依據本發明之一實施例,自對準DSA三區塊由下而上方式被描述。文中所述之一或更多實施例係有關自對準通孔或接點之三區塊共聚物。透過使用更先進的區塊共聚物及定向自聚合策略,可達成針對下方緊密金屬層之對準。文中所述之實施例可被實施以增進成本、可擴縮性、圖案布局誤差、及變化性。According to an embodiment of the present invention, the three-block self-aligned DSA is described in a bottom-up manner. One or more of the embodiments described herein relate to triblock copolymers of self-aligned vias or contacts. By using more advanced block copolymers and directed self-polymerization strategies, alignment for the underlying tight metal layer can be achieved. The embodiments described herein can be implemented to improve cost, scalability, pattern layout errors, and variability.

通常,文中所述之一或更多實施例涉及:用以實現相位分離為「自對準光桶」之三區塊共聚物材料的三個相位之使用,例如,用以產生已對準光桶的自對準三區塊共聚物之使用被描述。針對光桶之製造及使用的額外實施例被更詳細地描述於下,在超越圖12A-12K之目前實施例的實施例中。然而,亦應理解:實施例不限於光桶之概念,而是具有廣泛的應用於具有使用由下而上及/或定向自聚合(DSA)方式所製造之預形成特徵的結構。In general, one or more of the embodiments described herein relate to the use of three phases of a three-block copolymer material to achieve phase separation as a "self-aligned light barrel", eg, to generate aligned light The use of barrel self-aligned triblock copolymers is described. Additional embodiments for the manufacture and use of light barrels are described in more detail below, in embodiments beyond the current embodiment of FIGS. 12A-12K. However, it should also be understood that embodiments are not limited to the concept of a light barrel, but have broad application to structures having pre-formed features fabricated using bottom-up and/or directed self-aggregation (DSA) approaches.

圖12A-12C闡明斜角橫斷面視圖,其表示一種使用三區塊共聚物以形成後段製程(BEOL)互連之自對準通孔或接點的方法中之各個操作,依據本發明之實施例。FIGS. 12A-12C illustrate oblique cross-sectional views representing various operations in a method of using triblock copolymers to form self-aligned vias or contacts for back end of line (BEOL) interconnects, in accordance with the present invention. Example.

參考圖12A,半導體結構層1200具有交替的金屬線1202及層間電介質(ILD)線1204之光柵圖案。結構1200可被處置以具有第一分子物種1206之第一分子刷操作(i)。結構1200亦可被處置以具有第二分子物種1208之第二分子刷操作(ii)。應理解:操作(i)及(ii)之順序可被反轉,或可甚至被履行於實質上相同的時刻。Referring to FIG. 12A , a semiconductor structure layer 1200 has a grating pattern of alternating metal lines 1202 and interlayer dielectric (ILD) lines 1204 . The structure 1200 can be handled with a first molecular brushing operation (i) of the first molecular species 1206 . Structure 1200 may also be disposed of with a second molecular brush operation (ii) of second molecular species 1208 . It should be understood that the order of operations (i) and (ii) may be reversed, or may even be performed at substantially the same time.

參考圖12B,分子刷操作可被履行以更改或提供衍生表面給交替的金屬線1202及ILD線1204。例如,金屬線1202之表面可被處置以具有A/B表面1210於金屬線1202上。ILD線1204之表面可被處置以具有C表面1212於ILD線1204上。Referring to FIG. 12B , a molecular brushing operation may be performed to modify or provide a derived surface to alternating metal lines 1202 and ILD lines 1204 . For example, the surface of the metal line 1202 can be treated to have an A/B surface 1210 on the metal line 1202. The surface of the ILD line 1204 can be treated to have a C-surface 1212 on the ILD line 1204 .

參考圖12C,圖12B之結構可被處置以一種處置操作(iii),其涉及三區塊區塊共聚物(三區塊BCP)1214之應用,及可能的後續分離處置,以形成分離結構1220。分離結構1220包括ILD線1204上方之分離三區塊BCP的第一區1222。分離三區塊BCP之交替的第二區1224及第三區1226係位於金屬線1202上方。三區塊共聚物1214之三個區塊的最終配置係根據化學外延,因為僅下方圖案(而非共面圖案,如圖外延中所使用者)被使用以定向三區塊共聚物1214之聚合來形成分離結構1220。Referring to Figure 12C, the structure of Figure 12B may be processed in a processing operation (iii) involving the application of a three-block block copolymer (three-block BCP) 1214, and possibly subsequent separation processing, to form a separate structure 1220 . The split structure 1220 includes a first region 1222 over the ILD line 1204 that splits the three-block BCP. Alternating second regions 1224 and third regions 1226 separating the three-block BCP are located above metal line 1202 . The final configuration of the three blocks of the triblock 1214 is according to chemical epitaxy, since only the underlying pattern (and not the coplanar pattern, as used in Figure Epitaxy) is used to orient the polymerization of the triblock 1214 to form the separation structure 1220 .

集體地參考圖12A-12C,於一實施例中,用於後段製程(BEOL)半導體結構金屬化層之定向自聚合的結構1220包括基底(未顯示,但描述於下,且應被理解為低於ILD線1204及金屬線1202)。下金屬化層包括其配置於基底之上的交替金屬線1202及電介質線1204。三區塊共聚物層1214被配置於下金屬化層之上。三區塊共聚物層包括其配置於下金屬化層之電介質線1204上方的第一分離區塊組件1222。三區塊共聚物層包括其配置於下金屬化層之電介質線1202上方的交替第二1224及第三1226分離區塊組件。Referring collectively to Figures 12A-12C, in one embodiment, a structure 1220 for directional self-polymerization of back end of line (BEOL) semiconductor structure metallization layers includes a substrate (not shown, but described below, and should be understood to be low on ILD line 1204 and metal line 1202). The lower metallization layer includes its alternating metal lines 1202 and dielectric lines 1204 disposed over the substrate. A three-block copolymer layer 1214 is disposed over the lower metallization layer. The three-block copolymer layer includes its first discrete block components 1222 disposed over the dielectric lines 1204 of the lower metallization layer. The three-block copolymer layer includes its alternating second 1224 and third 1226 separate block components disposed over the dielectric lines 1202 of the lower metallization layer.

於一實施例中,三區塊共聚物層1214之第三分離區塊1226組件是光敏感的。於一實施例中,三區塊共聚物層1214被形成至約於5-100奈米之範圍中的厚度。於一實施例中,三區塊共聚物層1214包括三區塊共聚物種類,其係選自由以下之任三者所組成的群組:聚苯乙烯和其他聚芳乙烯、聚異戊二烯和其他聚烯、聚甲基丙烯酸鹽和其他聚酯、聚二甲基矽氧烷(PDMS)和相關的矽為基聚合物、聚二茂鐵矽烷、聚乙烯氧化物(PEO)和相關的聚醚及聚乙烯吡啶。於一實施例中,交替的第二1224及第三1226分離區塊組件具有約1:1的比率,如圖21C中所描繪(且如以下與圖12H關聯所描述)。於另一實施例中,交替的第二1224及第三1226分離區塊組件具有X:1之比率,第二分離區塊組件1224相對於第三分離區塊組件1226,其中X大於1,且其中第三分離區塊組件1226具有由第二分離區塊組件所圍繞的柱狀結構,如以下與圖12I關聯所述。於另一實施例中,三區塊共聚物層1214為A、B、及/或C之均聚物或者A-B、B-C、或A-C組件之雙區塊BCP的混合,以獲得所欲的形態。In one embodiment, the third discrete block 1226 component of the three-block copolymer layer 1214 is light sensitive. In one embodiment, the three-block copolymer layer 1214 is formed to a thickness in the range of about 5-100 nanometers. In one embodiment, the triblock copolymer layer 1214 includes a triblock copolymer species selected from the group consisting of any three of the following: polystyrene and other polyvinyl arylenes, polyisoprene and other polyolefins, polymethacrylates and other polyesters, polydimethylsiloxane (PDMS) and related silicon-based polymers, polyferrocene silane, polyethylene oxide (PEO) and related Polyether and polyvinylpyridine. In one embodiment, the alternating second 1224 and third 1226 separate block components have a ratio of about 1:1, as depicted in Figure 21C (and as described below in connection with Figure 12H). In another embodiment, the alternating second 1224 and third 1226 split block elements have a ratio of X:1, the second split block element 1224 relative to the third split block element 1226, where X is greater than 1, and The third separation block element 1226 has a columnar structure surrounded by the second separation block element, as described below in connection with FIG. 12I . In another embodiment, the three-block copolymer layer 1214 is a blend of homopolymers of A, B, and/or C or two-block BCP of A-B, B-C, or A-C components to obtain the desired morphology.

於一實施例中,結構1220進一步包括其配置於下金屬化層之電介質線1204上的第一分子刷層1212。於該實施例中,第一分離區塊組件1222被配置於第一分子刷層上。於一實施例中,結構1220亦包括其配置於下金屬化層之金屬線102上的第二(不同的)分子刷層1210。交替的第二1224及第三1226分離區塊組件被配置於第二分子刷層1210上。於一實施例中,第一分子刷層1212包括分子物種1208,其包括具有選自由–SH、-PO3 H2 、-CO2 H、-NRH、 -NRR’、及-Si(OR)3 所組成之群組的頭群組之聚苯乙烯;而第二分子刷層1210包括分子物種1206,其包括具有選自由-SH、-PO3 H2 、-CO2 H、-NRH、-NRR’、及-Si(OR)3 所組成之群組的頭群組之聚甲基丙烯酸甲酯。In one embodiment, the structure 1220 further includes its first molecular brush layer 1212 disposed on the dielectric lines 1204 of the lower metallization layer. In this embodiment, the first separation block component 1222 is disposed on the first molecular brush layer. In one embodiment, the structure 1220 also includes its second (different) molecular brush layer 1210 disposed on the metal lines 102 of the lower metallization layer. Alternating second 1224 and third 1226 separate block components are disposed on the second molecular brush layer 1210 . In one embodiment, the first molecular brush layer 1212 includes a molecular species 1208 including a molecular species 1208 selected from the group consisting of -SH, -PO3H2 , -CO2H , -NRH , -NRR', and -Si(OR) 3 and the second molecular brush layer 1210 includes molecular species 1206 comprising molecules having a group selected from the group consisting of -SH, -PO3H2 , -CO2H , -NRH , -NRR ', and the polymethyl methacrylate of the head group of the group consisting of -Si(OR) 3 .

於一實施例中,下金屬化層之交替的金屬線1202及電介質線1204具有包括恆定節距之光柵圖案。於一實施例中,三區塊共聚物層1214之第三分離區塊組件1226係界定下金屬化層上方之金屬化層的所有可能通孔位置。於一實施例中,三區塊共聚物層1214之第三分離區塊組件1226對於極紫外線(EUV)來源或電子束來源是光敏感的。In one embodiment, the alternating metal lines 1202 and dielectric lines 1204 of the lower metallization layer have a grating pattern that includes a constant pitch. In one embodiment, the third discrete block element 1226 of the three-block copolymer layer 1214 defines all possible via locations for the metallization layer above the lower metallization layer. In one embodiment, the third discrete block component 1226 of the three-block copolymer layer 1214 is photosensitive to extreme ultraviolet (EUV) sources or electron beam sources.

圖12D闡明斜角橫斷面視圖,其表示一種使用三區塊共聚物以形成後段製程(BEOL)互連之自對準通孔或接點的方法中之操作,依據本發明之實施例。12D illustrates an oblique cross-sectional view representing operation in a method of using triblock copolymers to form self-aligned vias or contacts for back end of line (BEOL) interconnects, in accordance with an embodiment of the present invention.

參考圖12D,圖12C之結構1220的第三分離區塊組件1226之所有部分被移除。於一此類實施例中,第三分離區塊組件1226之所有部分的移除打開了其可被形成於下方金屬化層之上的所有可能通孔位置。該些開口可被填充以光阻層來最終地容許針對特定設計之僅那些通孔位置需求的選擇。應理解:於圖12D之情況下,結構1220之第三分離區塊組件1226可為(但無須為)光敏感的,因為圖12C之結構1220的第三分離區塊組件1226可單獨藉由選擇性蝕刻(例如,針對第一分離區塊組件1222及針對第二分離區塊組件1224有選擇性)來履行。於一此類實施例中,選擇性蝕刻可使用選擇性乾式蝕刻或選擇性濕式蝕刻(或兩者)來履行。Referring to Figure 12D, all portions of the third split block element 1226 of the structure 1220 of Figure 12C are removed. In one such embodiment, removal of all portions of the third split block assembly 1226 opens up all possible via locations where it can be formed over the underlying metallization layer. The openings can be filled with a photoresist layer to ultimately allow selection of only those via location requirements for a particular design. It should be understood that in the case of FIG. 12D, the third split block element 1226 of the structure 1220 may be (but need not be) light sensitive, as the third split block element 1226 of the structure 1220 of FIG. 12C may be individually selected by Etching is performed selectively (eg, selectively for the first split block element 1222 and for the second split block element 1224). In one such embodiment, selective etching may be performed using selective dry etching or selective wet etching (or both).

圖12E闡明斜角橫斷面視圖,其表示另一種使用三區塊共聚物以形成後段製程(BEOL)互連之自對準通孔或接點的方法中之操作,依據本發明之另一實施例。12E illustrates an oblique cross-sectional view showing operation in another method of using triblock copolymers to form self-aligned vias or contacts for back end of line (BEOL) interconnects, according to another aspect of the present invention Example.

參考圖12E,圖12C之結構1220的第三分離區塊組件1226之僅選定部分被移除。於一此類實施例中,第三分離區塊組件1226之僅選定部分的移除僅打開了針對特定設計所需的下方金屬化層之上的那些通孔位置。應理解:於圖2E之情況下,結構1220之第三分離區塊組件1226為光敏感的,且位置選擇係使用本地化的、但高度耐受的微影曝光來履行。該曝光可被描述為耐受的,因為相鄰材料1222及1224鄰近位置1226(於一實施例中)對於用以針對組件1226之移除的部分選擇位置之微影不是光敏感的。Referring to Figure 12E, only selected portions of the third split block element 1226 of the structure 1220 of Figure 12C are removed. In one such embodiment, removal of only selected portions of the third split block assembly 1226 opens only those via locations above the underlying metallization layer required for a particular design. It should be understood that in the case of FIG. 2E, the third discrete block element 1226 of the structure 1220 is photosensitive, and location selection is performed using a localized, but highly tolerant, lithographic exposure. This exposure can be described as resistant because adjacent materials 1222 and 1224 adjacent location 1226 (in one embodiment) are not photosensitive to the lithography used to select locations for the removed portion of component 1226.

圖12F闡明一種用以形成後段製程(BEOL)互連之自對準通孔或接點的三區塊共聚物,依據本發明之實施例。12F illustrates a triblock copolymer used to form self-aligned vias or contacts for back end of line (BEOL) interconnects, in accordance with an embodiment of the present invention.

參考圖12F,分離三區塊BCP 1250可沿著軸1252而被分割以部分1222、1224、1226。應理解:其他的分割配置可以是可能的,諸如非對稱配置。於一實施例中,於組件1222、1224與1226之間有蝕刻選擇性,其可為針對一種組件相對於另外兩種組件之大如10:1的蝕刻選擇性。於一實施例中,三區塊BCP 1250之使用可增進圖案保真度並減少關鍵尺寸(CD)變化。於一實施例中,分離三區塊BCP 1250可被實施以致能自對準策略,其係補充193奈米浸入式微影(193i)或極紫外線微影(EUVL)製程。Referring to FIG. 12F , the split three-block BCP 1250 may be divided into sections 1222 , 1224 , 1226 along axis 1252 . It should be understood that other split configurations may be possible, such as asymmetrical configurations. In one embodiment, there is an etch selectivity between components 1222, 1224, and 1226, which may be as large as a 10:1 etch selectivity for one component relative to the other two. In one embodiment, the use of three-block BCP 1250 may improve pattern fidelity and reduce critical dimension (CD) variation. In one embodiment, a split three-block BCP 1250 may be implemented to enable a self-alignment strategy that complements a 193 nm immersion lithography (193i) or extreme ultraviolet lithography (EUVL) process.

應理解:通常,三區塊共聚物之區塊可各具有不同的化學性質。舉例而言,該些區塊之一可為相對較疏水的(例如,斥水的)而另兩個區塊可為相對較親水的(吸水的),或反之亦然。至少觀念上,該些區塊之一可為相對較類似於油而另兩個區塊可相對較類似於水,或反之亦然。介於不同區塊聚合物之間的化學性質之此等差異(無論是親水-疏水差異或其他)可能造成區塊共聚物分子自聚合。例如,自聚合可根據聚合物區塊之微相分離。觀念上,此可類似於其通常不能混合的油與水之相位分離。It should be understood that in general, the blocks of the triblock copolymer can each have different chemical properties. For example, one of the blocks can be relatively hydrophobic (eg, water repellent) and the other two blocks can be relatively hydrophilic (water absorbent), or vice versa. At least conceptually, one of the blocks can be relatively oil-like and the other two blocks can be relatively water-like, or vice versa. Such differences in chemical properties between different block polymers (whether hydrophilic-hydrophobic differences or otherwise) may cause the block copolymer molecules to self-polymerize. For example, self-polymerization can be based on microphase separation of polymer blocks. Conceptually, this can be analogous to the phase separation of oil and water, which are generally immiscible.

類似地,介於聚合物區塊之間的親水性的差異可能造成約略類似的微相分離,其中不同的聚合物區塊由於化學上不喜歡對方而嘗試彼此「分離」。然而,於一實施例中,因為聚合物區塊被共價地彼此接合,所以其無法於巨觀尺度上完全地分離。反之,既定類型的聚合物區塊可傾向於在極小(例如,奈米尺寸)區或相位中與相同類型之其他分子的聚合物區塊分離或聚集。區或微相位之特定尺寸及形狀通常至少部分地取決於聚合物區塊之相對長度。於一實施例中,舉例而言,圖12C、12H及12I係描繪用於三區塊共聚物之可能聚合方案。Similarly, differences in hydrophilicity between polymer blocks can result in roughly similar microphase separations, where different polymer blocks try to "separate" from each other because they don't like each other chemically. However, in one embodiment, because the polymer blocks are covalently bonded to each other, they cannot be completely separated on a macroscopic scale. Conversely, a polymer block of a given type may tend to separate or aggregate in extremely small (eg, nanoscale) regions or phases from polymer blocks of other molecules of the same type. The particular size and shape of the domains or microphases generally depends, at least in part, on the relative lengths of the polymer blocks. In one embodiment, Figures 12C, 12H, and 12I depict possible polymerization schemes for triblock copolymers, for example.

應理解:用以打開預形成通孔或插塞位置之圖案可被形成為相當小,致能微影製程之重疊容限的增加。圖案特徵可由均勻大小所製,其可減少直接寫入電子束之掃描時間及/或利用光學微影之光學近似校正(OPC)複雜度。圖案特徵亦可被形成為淺的,其可增進圖案化解析度。後續履行的蝕刻製程可為一種等向化學選擇性蝕刻。此一蝕刻製程減輕了另相關的輪廓及關鍵尺寸,並減輕了通常與乾式蝕刻方式相關的各向異性問題。此一蝕刻製程亦相對便宜得多(從設備及產量之觀點),相較於其他的選擇性移除方式。It should be understood that the pattern used to open the pre-formed via or plug locations can be formed to be relatively small, enabling an increase in the overlap tolerance of the lithography process. The pattern features can be made of uniform size, which can reduce the scan time of the direct-write electron beam and/or the complexity of Optical Proximity Correction (OPC) using optical lithography. Pattern features can also be formed shallow, which can improve patterning resolution. The etching process performed subsequently may be an isotropic chemical selective etching. Such an etch process alleviates the otherwise associated profile and critical dimensions, and alleviates anisotropy problems typically associated with dry etch methods. This etch process is also relatively much cheaper (from an equipment and yield point of view) compared to other selective removal methods.

以下係描述其表示一種自對準通孔及金屬圖案化之方法中的各個操作之積體電路層的部分。特別地,圖12G及12H闡明平面視圖及相應的橫斷面視圖,其表示一種使用三區塊共聚物以形成後段製程(BEOL)互連之自對準通孔或接點的方法中之各個操作,依據本發明之實施例。The following describes portions of integrated circuit layers that represent various operations in a method of self-aligned vias and metal patterning. In particular, Figures 12G and 12H illustrate plan views and corresponding cross-sectional views representing each of a method of using triblock copolymers to form self-aligned vias or contacts for back end of line (BEOL) interconnects operation, in accordance with embodiments of the present invention.

圖12G闡明針對前層金屬化結構之選擇的平面視圖及沿著a-a’軸所取的相應橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖選擇(a),開始結構1260包括金屬線1262及層間電介質線(ILD)1264的圖案。開始結構1260可被圖案化為光柵狀圖案,以金屬線間隔於恆定節距並具有恆定寬度,如圖12G中所描繪者,於自聚合材料被最終地形成的情況下。於橫斷面視圖(a)的情況下,金屬線1262及層間電介質(ILD)線1264為彼此共面的。某些線可關聯與下方通孔,諸如橫斷面視圖中之一範例所示的線1262’。12G illustrates a plan view and a corresponding cross-sectional view taken along the a-a' axis for a selection of front-level metallization structures, in accordance with an embodiment of the present invention. Referring to plan view and corresponding cross-sectional view option (a), starting structure 1260 includes a pattern of metal lines 1262 and interlayer dielectric lines (ILD) 1264 . The starting structures 1260 may be patterned into a grating-like pattern, with metal lines spaced at a constant pitch and of constant width, as depicted in Figure 12G, with the self-polymerizing material being finally formed. In the case of cross-sectional view (a), metal lines 1262 and interlayer dielectric (ILD) lines 1264 are coplanar with each other. Certain lines may be associated with underlying vias, such as line 1262' shown in one example of the cross-sectional view.

再次參考圖12G,替代的選擇(b)-(f)係討論其中於金屬線1262及層間電介質線1264之一者(或兩者)的表面上形成一額外膜(例如,沈積、生長、或留下如從先前圖案化製程所餘留的假影)的情況。於範例(b)中,額外膜1266被配置於層間電介質線1264上。於範例(c)中,額外膜1268被配置於金屬線1262上。於範例(d)中,額外膜1266被配置於層間電介質線1264上,而額外膜1268被配置於金屬線1262上。再者,雖然金屬線1262及層間電介質線1264被描述為共面的於(a)中,但是於其他實施例中,其可為非共面的。例如,於(e)中,金屬線1262突出於層間電介質線1264之上。於範例(f)中,金屬線1262凹陷於層間電介質線1264之下。Referring again to FIG. 12G, alternative options (b)-(f) are discussed in which an additional film (eg, deposited, grown, or leaving artifacts as left over from the previous patterning process). In example (b), an additional film 1266 is disposed on the interlayer dielectric line 1264 . In example (c), the additional film 1268 is disposed on the metal line 1262 . In example (d), the additional film 1266 is disposed on the interlayer dielectric line 1264 , and the additional film 1268 is disposed on the metal line 1262 . Also, although the metal lines 1262 and the interlayer dielectric lines 1264 are described as coplanar in (a), in other embodiments, they may be non-coplanar. For example, in (e), the metal lines 1262 protrude over the interlayer dielectric lines 1264 . In example (f), the metal lines 1262 are recessed under the interlayer dielectric lines 1264 .

再次參考範例(b)-(d),額外層(例如,層1266或1268)可被使用為硬遮罩(HM)或保護層或者被用以致能以下關聯後續處理操作所描述的自聚合。此等額外層亦可被用以保護ILD不被進一步處理。此外,選擇性地沈積另一材料於金屬線之上可能由於類似理由而為有利的。再次參考範例(e)及(f),亦得以藉由任一或兩表面上之保護/HM材料的任何組合來凹陷ILD線或金屬線。總之,於此階段存在有數個用以準備針對定向自聚合製程之最終下方表面的選擇。Referring again to examples (b)-(d), additional layers (eg, layers 1266 or 1268) may be used as hard masks (HM) or protective layers or to enable self-polymerization as described below in connection with subsequent processing operations. These additional layers can also be used to protect the ILD from further processing. Furthermore, selectively depositing another material over the metal lines may be advantageous for similar reasons. Referring again to examples (e) and (f), ILD lines or metal lines can also be recessed by any combination of protective/HM materials on either or both surfaces. In summary, there are several options at this stage to prepare the final underlying surface for the directional self-polymerization process.

參考圖12H,三區塊共聚物層1270被形成於圖12G之結構上(例如,橫斷面結構(a)之平面視圖)。三區塊共聚物層1270被分離以具有形成於ILD線1264之上的區1272,及具有形成於金屬線1262之上的交替第二區1274和第三區1276。Referring to Figure 12H, a triblock copolymer layer 1270 is formed on the structure of Figure 12G (eg, plan view of cross-sectional structure (a)). The triblock copolymer layer 1270 is separated to have regions 1272 formed over the ILD lines 1264 , and to have alternating second and third regions 1274 and 1276 formed over the metal lines 1262 .

參考沿著圖12H之b-b’軸的橫斷面視圖,第三區1276被顯示於金屬線1262之上,而第一區1272被顯示於ILD線1264之上。依據一實施例,亦顯示介於第一區1272與ILD線1264之間為層1280,其可為分子刷層之殘留部分。然而,應理解:層1280可能不存在。依據一實施例,第三區1276被顯示為直接地形成於金屬線1262上。然而,應理解:分子刷層的殘餘可介於第三區1276與金屬線1262之間。Referring to the cross-sectional view along the b-b' axis of FIG. Also shown between the first region 1272 and the ILD line 1264 is a layer 1280, which may be the remainder of the molecular brush layer, according to one embodiment. However, it should be understood that layer 1280 may not be present. According to one embodiment, the third region 1276 is shown formed directly on the metal line 1262 . However, it should be understood that the residue of the molecular brush layer may be between the third region 1276 and the metal line 1262 .

參考沿著圖12H之c-c’軸的橫斷面視圖,第二區1274被顯示於金屬線1262之上,而第一區1272被顯示於ILD線1264之上。依據一實施例,亦顯示介於第一區1272與ILD線1264之間為層1280,其可為分子刷層之殘留部分。然而,應理解:層1280可能不存在。依據一實施例,亦顯示介於第二區1274與金屬線1262之間為層1282,其可為分子刷層之殘留部分。然而,應理解:層1282可能不存在。亦應理解:區1276可被形成為光敏感的或可被替換以光敏感材料。Referring to the cross-sectional view along the c-c' axis of FIG. Also shown between the first region 1272 and the ILD line 1264 is a layer 1280, which may be the remainder of the molecular brush layer, according to one embodiment. However, it should be understood that layer 1280 may not be present. Also shown between the second region 1274 and the metal line 1262 is a layer 1282, which may be the remainder of the molecular brush layer, according to one embodiment. However, it should be understood that layer 1282 may not be present. It should also be understood that region 1276 may be formed to be light sensitive or may be replaced with a light sensitive material.

因此,於一實施例中,下方金屬及ILD柵格被再生於區塊共聚物(BCP)中。假如BCP節距與下方光柵節距相當則可能特別是如此。聚合物柵格,於一實施例中,針對從極適當對準的柵格之某少量偏差是強韌的。例如,假如小插塞有效地設置氧化物等材料(其中極適當對準的柵格將具有金屬),則仍可達成基本上極適當對準的區塊共聚物柵格。Thus, in one embodiment, the underlying metal and ILD grids are regenerated in block copolymer (BCP). This may especially be the case if the BCP pitch is comparable to the underlying grating pitch. The polymer grid, in one embodiment, is robust to some small deviation from a very properly aligned grid. For example, a substantially well-aligned block copolymer grid can still be achieved if the small plugs are effectively provided with materials such as oxides (where a well-aligned grid would have metal).

於一實施例中,再次參考圖12H,塗佈的三區塊共聚物層1270之厚度約略相同於(或稍微厚於)最終形成於其位置中之ILD的最終厚度。於一實施例中,如底下更詳細地描述,聚合物柵格不被形成為蝕刻抗蝕劑,而為用以最終地生長永久ILD層於其周圍的支架。如此一來,三區塊共聚物層1270之厚度可能是重要的,因為其可被用以界定後續形成之永久ILD層的最終厚度。亦即,於一實施例中,圖12H中所示之聚合物光柵最終被替換以約略相同厚度的ILD/金屬線光柵。In one embodiment, referring again to Figure 12H, the thickness of the coated triblock copolymer layer 1270 is approximately the same (or slightly thicker) than the final thickness of the ILD that is ultimately formed in its place. In one embodiment, as described in more detail below, the polymer grid is not formed as an etch resist, but as a scaffold around which to eventually grow a permanent ILD layer. As such, the thickness of the three-block copolymer layer 1270 may be important as it may be used to define the final thickness of the subsequently formed permanent ILD layer. That is, in one embodiment, the polymer grating shown in Figure 12H is eventually replaced with an ILD/wire grating of approximately the same thickness.

於一實施例中,三區塊共聚物層1270分子是由共價接合單體之鏈所形成的聚合物分子。於三區塊共聚物中,有三個不同類型的單體,且這些不同類型的單體被主要地包括於單體之兩個不同區塊或相鄰序列內。於一實施例中,三區塊共聚物層1270被首先塗敷為未聚合的區塊共聚物層部分,其包括(例如)藉由刷或其他塗佈製程所塗敷之區塊共聚物材料。未聚合形態指的是其中(在沈積的時刻)區塊共聚物尚未實質上相位分離及/或自聚合以形成奈米結構。於此未聚合形式中,區塊聚合物分子是相當高度隨機化的,具有相對高度隨機地定向且設置之不同聚合物區塊,其係相反於配合圖12H之所得結構所討論的聚合三區塊共聚物層1270。未聚合區塊共聚物層部分可被塗敷以多種不同方式。舉例而言,區塊共聚物可溶解於溶劑中並接著旋塗於表面之上。替代地,未聚合區塊共聚物可被噴塗、浸塗、浸入塗、或其他方式塗佈或塗敷於表面之上。塗敷區塊共聚物之其他方式、以及用以塗敷類似有機塗層之技術中已知的其他方式可潛在地被使用。接著,未聚合層可形成聚合區塊共聚物層部分,例如,藉由未聚合區塊共聚物層部分之微相分離及/或自聚合。微相分離及/或自聚合係透過區塊共聚物分子之再配置及/或再定位而發生,且特別是區塊共聚物分子的不同聚合物區塊之再配置及/或再定位,以形成三區塊共聚物層1270。In one embodiment, the three-block copolymer layer 1270 molecules are polymer molecules formed from chains of covalently bonded monomers. In a three-block copolymer, there are three different types of monomers, and these different types of monomers are mainly included in two different blocks or adjacent sequences of monomers. In one embodiment, the three-block copolymer layer 1270 is first applied as part of an unpolymerized block copolymer layer comprising block copolymer material applied, for example, by brushing or other coating process . The unpolymerized form refers to where (at the time of deposition) the block copolymer has not substantially phase-separated and/or self-polymerized to form nanostructures. In this unpolymerized form, the block polymer molecules are rather highly randomized, with distinct polymer blocks oriented and positioned relatively highly randomly, as opposed to the aggregated tri-blocks discussed in conjunction with the resulting structure of Figure 12H Block copolymer layer 1270. The unpolymerized block copolymer layer portion can be applied in a number of different ways. For example, the block copolymer can be dissolved in a solvent and then spin coated onto a surface. Alternatively, the unpolymerized block copolymer may be sprayed, dipped, dipped, or otherwise coated or applied to the surface. Other ways of applying the block copolymer, as well as other ways known in the art for applying similar organic coatings, can potentially be used. The unpolymerized layer can then form portions of the polymerized block copolymer layer, eg, by microphase separation and/or self-polymerization of the unpolymerized block copolymer layer portion. Microphase separation and/or self-polymerization occurs through the reconfiguration and/or repositioning of the block copolymer molecules, and in particular the reconfiguration and/or repositioning of the different polymer blocks of the block copolymer molecules to A three-block copolymer layer 1270 is formed.

於此一實施例中,退火處置可被施加至未聚合區塊共聚物以起始、加速、增加、或者提升微相分離及/或自聚合之品質,以形成三區塊共聚物層1270。於某些實施例中,退火處置可包括可操作以增加區塊共聚物之溫度的處置。此一處置之一範例是烘焙該層、加熱該層於烘箱中或者於熱燈之上,施加紅外線輻射至該層,或者施加熱至該層或增加該層之溫度。所欲的溫度增加通常將足以顯著地加速區塊聚合物之微相分離及/或自聚合而不損害區塊共聚物或積體電路基底之任何其他重要的材料或結構。通常,加熱範圍可介於約50℃至約300℃,或介於75℃至約250℃,但不超過區塊共聚物或積體電路基底之熱退化限制。加熱或退火可協助提供能量給區塊共聚物分子以使其更可動/有彈性以增加微相分離之速率及/或增進微相分離之品質。區塊共聚物分子之此微相分離或再配置/再定位可導致自聚合以形成極小(例如,奈米等級)結構。自聚合可於諸如表面張力、分子喜歡和不喜歡、及其他表面相關和化學相關力等力的影響之下發生。In this embodiment, an annealing treatment may be applied to the unpolymerized block copolymer to initiate, accelerate, increase, or enhance the quality of microphase separation and/or self-polymerization to form the three-block copolymer layer 1270 . In certain embodiments, the annealing treatment may include a treatment operable to increase the temperature of the block copolymer. An example of such a treatment is baking the layer, heating the layer in an oven or over a heat lamp, applying infrared radiation to the layer, or applying heat to the layer or increasing the temperature of the layer. The desired temperature increase will generally be sufficient to significantly accelerate the microphase separation and/or self-polymerization of the block polymer without damaging the block copolymer or any other important materials or structures of the integrated circuit substrate. Typically, the heating range can be from about 50°C to about 300°C, or from 75°C to about 250°C, without exceeding the thermal degradation limits of the block copolymer or integrated circuit substrate. Heating or annealing can help provide energy to the block copolymer molecules to make them more mobile/elastic to increase the rate and/or quality of microphase separation. This microphase separation or reconfiguration/repositioning of block copolymer molecules can result in self-polymerization to form extremely small (eg, nanoscale) structures. Self-polymerization can occur under the influence of forces such as surface tension, molecular likes and dislikes, and other surface-related and chemical-related forces.

於任何情況下,於某些實施例中,區塊共聚物之自聚合(無論是否根據疏水-親水差異)可被用以形成極小的週期性結構(例如,精確地間隔的奈米等級結構或線),以三區塊共聚物層12720之形式。於某些實施例中,其可被用以形成可最終地用以形成通孔開口之奈米等級線或其他奈米等級結構。於某些實施例中,區塊共聚物之定向自聚合可被用以形成與互連自對準之通孔,如底下更詳細地描述者。In any event, in certain embodiments, self-polymerization of block copolymers (whether by virtue of hydrophobic-hydrophilic differences or not) can be used to form extremely small periodic structures (eg, precisely spaced nanoscale structures or line), in the form of a three-block copolymer layer 12720. In some embodiments, it can be used to form nanoscale wires or other nanoscale structures that can ultimately be used to form via openings. In certain embodiments, directional self-polymerization of block copolymers can be used to form vias that are self-aligned with interconnects, as described in more detail below.

應理解:其被形成於金屬線之上的三區塊共聚物結構之兩個組件無須具有1:1比率(1:1比率被顯示於圖12C及12H中)。例如,第三分離區塊組件可存在以比第二組件更少的量並可具有由第二分離區塊組件所圍繞的柱狀結構。圖12I-12L闡明平面視圖及相應的橫斷面視圖,其表示一種使用三區塊共聚物以形成後段製程(BEOL)互連之自對準通孔或接點的方法中之各個操作,依據本發明之實施例。It should be understood that the two components of the triblock copolymer structure which are formed over the metal lines need not have a 1:1 ratio (the 1:1 ratio is shown in Figures 12C and 12H). For example, the third separation block assembly may be present in a smaller amount than the second assembly and may have a columnar structure surrounded by the second separation block assembly. Figures 12I-12L illustrate plan views and corresponding cross-sectional views representing various operations in a method of using triblock copolymers to form self-aligned vias or contacts for back end of line (BEOL) interconnects, according to Embodiments of the present invention.

參考圖12I,平面視圖及沿著d-d’軸所取的相應橫斷面視圖係顯示比第二組件1274更少量的第三組件1276。第三分離區塊組件1276具有由第二分離區塊組件1274所圍繞的柱狀結構。Referring to FIG. 12I , the plan view and corresponding cross-sectional view taken along the d-d' axis show a smaller amount of the third component 1276 than the second component 1274. The third separation block assembly 1276 has a columnar structure surrounded by the second separation block assembly 1274 .

參考圖12J,平面視圖顯示第三分離區塊組件1276之某些1292的微影1290選擇被履行以最終地提供針對上金屬化結構之通孔位置。Referring to Figure 12J, a plan view shows that lithography 1290 selections of some 1292 of the third split block components 1276 are performed to ultimately provide via locations for the upper metallization structures.

應理解:圖12I有效地闡明未暴露的光敏感DSA結構,而圖12J闡明已暴露的光敏感DSA結構。相反於圖12H,圖12I及12J展示柱狀結構之範例,其可形成在當許多區塊共聚物分子與聚合物形成柱狀結構之一的較短區塊(其係由具有另一聚合物之較長區塊的相位所圍繞)對準時。依據本發明之實施例,DSA結構之光活化性質係提供利用(例如)電子束或EUV曝光以有效地「插入」或「切割」一種類型的DSA聚合物區之能力。It should be understood that Figure 12I effectively illustrates the unexposed light-sensitive DSA structure, while Figure 12J illustrates the exposed light-sensitive DSA structure. In contrast to Figure 12H, Figures 12I and 12J show examples of columnar structures that can be formed when many blocks of copolymer molecules and polymers form a shorter block of one of the columnar structures (which is formed by having another polymer when the phase of the longer block surrounds) is aligned. In accordance with embodiments of the present invention, the photoactivatable properties of DSA structures provide the ability to effectively "insert" or "cut" one type of DSA polymer region using, for example, electron beam or EUV exposure.

參考圖12K,平面視圖係顯示暴露地帶中之已暴露的/化學上已放大的區1294。針對選擇性,唯一的有效修改是有關第三分離區塊組件1276之暴露部分的材料。應理解:雖然於圖12K中顯示為已清除,但選定區可能尚未被清除。Referring to Figure 12K, a plan view shows an exposed/chemically enlarged region 1294 in the exposed zone. For selectivity, the only effective modification is to the material of the exposed portion of the third split block assembly 1276. It should be understood that although shown as cleared in Figure 12K, the selected area may not have been cleared.

參考圖12L,平面視圖及沿著e-e’軸所取的相應橫斷面視圖係顯示用以提供已清除區1294之後微影顯影。已清除區1294可最終地被用於通孔形成。Referring to Figure 12L, a plan view and corresponding cross-sectional view taken along the e-e' axis are shown to provide cleared regions 1294 after lithographic development. The cleared area 1294 may ultimately be used for via formation.

上述圖12L(或圖12C、12D、12E或12H)之所得圖案化DSA結構可最終地被使用為支架,永久層被最終地形成自該支架。亦即,可能的情況是:無任何DSA材料存在於最後結構中,但是被使用以指引最終化互連結構之製造。於一此類實施例中,永久ILD係替換DSA材料之一或更多區,且後續處理(諸如金屬線製造)被完成。亦即,有可能所有DSA組件被最終地移除以供最後自對準通孔及插塞形成。於其他實施例中,至少某些DSA材料可餘留在最後結構中。The resulting patterned DSA structure of Figure 12L (or Figures 12C, 12D, 12E, or 12H) described above can ultimately be used as a scaffold from which the permanent layer is ultimately formed. That is, it may be the case that no DSA material is present in the final structure, but is used to direct the fabrication of the final interconnect structure. In one such embodiment, the permanent ILD replaces one or more regions of DSA material, and subsequent processing, such as wire fabrication, is completed. That is, it is possible that all DSA components are finally removed for final self-aligned via and plug formation. In other embodiments, at least some of the DSA material may remain in the final structure.

再次參考圖12A-12C、12G、12H及12I-12L,於一實施例中,一種製造用於半導體晶粒之互連結構的方法包括形成下金屬化層,其具有交替的金屬線和電介質線於基底之上。三區塊共聚物層被形成於下金屬化層之上。三區塊共聚物層被分離以形成第一分離區塊組件於下金屬化層之電介質線上方,及形成其配置於下金屬化層之金屬線上方的交替第二和第三分離區塊組件。第三分離區塊組件為光敏感的。該方法亦包括照射並顯影第三分離區塊組件之選定位置以提供通孔開口於下金屬化層之金屬線上方。Referring again to FIGS. 12A-12C, 12G, 12H, and 12I-12L, in one embodiment, a method of fabricating an interconnect structure for a semiconductor die includes forming a lower metallization layer having alternating metal and dielectric lines on the base. A three-block copolymer layer is formed over the lower metallization layer. The three-block copolymer layers are separated to form first discrete block elements over the dielectric lines of the lower metallization layer, and to form alternating second and third discrete block elements disposed over the metal lines of the lower metallization layer . The third separation block assembly is light sensitive. The method also includes irradiating and developing selected locations of the third discrete block components to provide via openings over the metal lines of the lower metallization layer.

於一實施例中,交替的第二和第三分離區塊組件具有約1:1的比率,如與圖12C及12H關聯所述者。於另一實施例中,交替的第二和第三分離區塊組件具有約X:1的比率,第二分離區塊組件相對於第三分離區塊組件,其中X大於1。於該實施例中,第三分離區塊組件具有由第二分離區塊組件所圍繞的柱狀結構,如與圖12I關聯所述者。In one embodiment, the alternating second and third split block components have a ratio of about 1:1, as described in connection with Figures 12C and 12H. In another embodiment, the alternating second and third split block elements have a ratio of about X:1, the second split block element relative to the third split block element, wherein X is greater than one. In this embodiment, the third split block element has a columnar structure surrounded by the second split block element, as described in connection with Figure 12I.

於一實施例中,該方法進一步包括:接續於照射並顯影第三分離區塊組件之選定位置以提供通孔開口後,使用所得的圖案化三區塊共聚物層為支架以形成第二階交替的金屬線和電介質線於第一階交替的金屬線和電介質線之上、與其耦合、及與其正交。於一實施例中,三區塊共聚物層之一或更多組件被留存於最後結構中。然而,於其他實施例中,三區塊共聚物層之所有組件為最終犧牲的,由於無任何該材料被留存於最後產品中。後者實施例之實施方式的範例實施例係配合圖13而被描述於下。In one embodiment, the method further includes: using the resulting patterned three-block copolymer layer as a scaffold to form a second stage subsequent to irradiating and developing selected locations of the third split block components to provide through-hole openings The alternating metal and dielectric lines are above, coupled to, and orthogonal to the first order of alternating metal and dielectric lines. In one embodiment, one or more components of the three-block copolymer layer are retained in the final structure. However, in other embodiments, all components of the three-block copolymer layer are ultimately sacrificial, since none of this material remains in the final product. An example embodiment of an implementation of the latter embodiment is described below in conjunction with FIG. 13 .

於一實施例中,該方法進一步包括(在形成三區塊共聚物層之前)形成第一分子刷層於下金屬化層之電介質線上,及形成第二(不同的)分子刷層於下金屬化層之金屬線上,其範例實施例係配合圖12A-12C而被描述於上。於一實施例中,照射及顯影第三分離區塊組件之選定位置包括將第三分離區塊組件之選定位置暴露至極紫外線(EUV)來源或電子束來源。In one embodiment, the method further includes (before forming the triblock copolymer layer) forming a first molecular brush layer on the dielectric lines of the lower metallization layer, and forming a second (different) molecular brush layer on the lower metal layer 12A-12C, an exemplary embodiment of which is described above in conjunction with the metal lines of the ionization layer. In one embodiment, irradiating and developing selected locations of the third separation block element includes exposing the selected location of the third separation block element to an extreme ultraviolet (EUV) source or an electron beam source.

僅提供為其可最終被獲得之最後結構的範例,圖13係闡明接續於金屬線、通孔及插塞形成後的自對準通孔結構之平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考平面視圖及相應的橫斷面視圖(a)及(b),個別地沿著軸f-f’及g-g’而取,上階金屬線1302被提供以電介質框架(例如,於電介質層1304上並鄰近電介質線1314)。金屬線1302係透過預定通孔位置而與下方金屬線1262耦合(其範例1306被顯示於橫斷面視圖(a)中),且係由插塞所隔離(其範例包括插塞1308及1310)。下方線1262及1264可與圖12G關聯而被描述如上,如形成以正交於金屬線1302之方向。應理解:於後續製造操作中,電介質線1314可被移除以提供介於所得金屬線1302之間的空氣間隙。Provided only as an example of the final structure it can ultimately be obtained, FIG. 13 illustrates a plan view and corresponding cross-sectional view of the self-aligned via structure following the formation of metal lines, vias, and plugs, according to the present Examples of inventions. Referring to the plan view and corresponding cross-sectional views (a) and (b), taken along axes f-f' and g-g', respectively, upper level metal lines 1302 are provided with a dielectric frame (eg, on a dielectric layer 1304 and adjacent to dielectric line 1314). Metal line 1302 is coupled to underlying metal line 1262 through predetermined via locations (example 1306 of which is shown in cross-sectional view (a)) and is isolated by plugs (examples of which include plugs 1308 and 1310) . Lower lines 1262 and 1264 may be described above in connection with FIG. 12G , as formed to be normal to the direction of metal line 1302 . It should be understood that in subsequent fabrication operations, the dielectric lines 1314 may be removed to provide air gaps between the resulting metal lines 1302 .

所得結構(諸如與圖13關聯所述者)可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖13之結構可代表積體電路中之最後金屬互連層。應理解其上述製程操作可被施行以替代的順序,不是每一操作均需被執行及/或額外的製程操作可被執行。於任何情況下,所得結構均致能其被直接地集中於下方金屬線上之通孔的製造。亦即,通孔可具有較下方金屬線更寬、更窄、或相同的厚度,例如,由於非完美選擇性蝕刻處理。然而,於一實施例中,通孔之中心被直接地與金屬線之中心對準(匹配)。如此一來,於一實施例中,由於傳統微影/雙金屬鑲嵌圖案化(其需另被容許)之偏差不會是文中所述之所得結構的因素。應理解:上述範例已集中在通孔/接點形成。然而,於其他實施例中,類似方式可被用以保留或形成針對金屬線層內之線端終端(插塞)的區。The resulting structures, such as those described in connection with Figure 13, can then be used as the basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the structure of Figure 13 may represent the final metal interconnect layer in an integrated circuit. It should be understood that the above-described process operations may be performed in alternate orders, not every operation needs to be performed and/or additional process operations may be performed. In any case, the resulting structure enables the fabrication of vias which are directly focused on the underlying metal lines. That is, the vias may be wider, narrower, or the same thickness as the underlying metal lines, eg, due to a non-perfect selective etch process. However, in one embodiment, the centers of the vias are directly aligned (matched) with the centers of the metal lines. As such, in one embodiment, variations due to conventional lithography/damascene patterning (which would otherwise be tolerated) do not factor into the resulting structures described herein. It should be understood that the above examples have focused on via/contact formation. However, in other embodiments, a similar approach may be used to retain or form regions for wire terminations (plugs) within the metal line layers.

應理解:文中所述之製程可被描述為主要地DSA為基的(諸如上述的數個製程方案),而其他則可主要地為蝕刻為基的。依據本發明之實施例,一種深減成方式被實施於BEOL處理。文中所述之一或更多實施例係有關用於自對準通孔及插塞圖案化之減成方式,以及由此所得之結構。於一實施例中,文中所述之程序係致能後段製程特徵製造之自對準金屬化的實現。對於下一世代通孔及插塞圖案化所預期的重疊問題可由文中所述之一或更多方式來處理。通常,一或更多文中所述之實施例涉及使用一種減成方法以使用已蝕刻的溝槽來預形成每一通孔及插塞。接著使用一額外操作以選擇留存哪些通孔及插塞。It should be understood that the processes described herein may be described as being primarily DSA-based (such as several of the process schemes described above), while others may be primarily etch-based. According to an embodiment of the present invention, a deep subtraction method is implemented in BEOL processing. One or more embodiments described herein relate to a subtractive approach for self-aligned via and plug patterning, and the resulting structures. In one embodiment, the procedures described herein enable the realization of self-aligned metallization for back-end-of-process feature fabrication. Overlap issues anticipated for the next generation of via and plug patterning may be addressed in one or more of the ways described herein. In general, one or more of the embodiments described herein involve using a subtractive method to preform each via and plug using etched trenches. An additional operation is then used to select which vias and plugs to keep.

圖14A-14N闡明其表示一種減成自對準通孔及插塞圖案化的方法中之各個操作的積體電路層之部分,依據本發明之實施例。於各描述操作之各圖示中,提供一斜角三維橫斷面視圖。14A-14N illustrate portions of integrated circuit layers that represent operations in a method of subtracting self-aligned vias and plugs patterning, in accordance with embodiments of the present invention. In each illustration describing the operation, an oblique three-dimensional cross-sectional view is provided.

圖14A闡明接續於深金屬線製造後之用於減成通孔及插塞製程的開始點結構1400,依據本發明之實施例。參考圖14A,結構1400包括具有中間層間電介質(ILD)線1404之金屬線1402。ILD線1404包括插塞蓋層1406。於一實施例中,如底下配合圖14E所更詳細地描述,插塞蓋層1406稍後被圖案化以最終地界定用於後續插塞形成之所有可能位置。FIG. 14A illustrates a starting point structure 1400 for a reduced via and plug process following deep metal line fabrication, in accordance with an embodiment of the present invention. Referring to FIG. 14A , structure 1400 includes metal lines 1402 with interlayer dielectric (ILD) lines 1404 . The ILD line 1404 includes a plug cap layer 1406 . In one embodiment, as described in more detail below in conjunction with FIG. 14E, the plug capping layer 1406 is later patterned to finally define all possible locations for subsequent plug formation.

於一實施例中,由金屬線1402所形成之光柵結構為緊密節距光柵結構。於此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成,但該節距可藉由使用間隔物遮罩圖案化而被減半。甚至,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,圖14A之光柵狀圖案可具有以恆定節距來分隔並具有恆定寬度之金屬線。圖案可藉由節距減半或節距減為四分之一方式來製造。亦應理解:某些線1402可與下方通孔關聯以便耦合至先前互連層。In one embodiment, the grating structure formed by the metal lines 1402 is a close-pitch grating structure. In this embodiment, tight pitch cannot be achieved directly by conventional lithography. For example, a pattern according to conventional lithography can be formed first, but the pitch can be halved by patterning using a spacer mask. Even, the original pitch can be reduced by a quarter by a second round of spacer mask patterning. Thus, the grating-like pattern of FIG. 14A may have metal lines of constant width separated by a constant pitch. Patterns can be made by halving the pitch or reducing the pitch by a quarter. It should also be understood that certain lines 1402 may be associated with underlying vias for coupling to previous interconnect layers.

於一實施例中,金屬線1402係藉由將溝槽圖案化入一具有插塞蓋層1406形成於其上之ILD材料(例如,線1404之ILD材料)來形成。溝槽接著由金屬來填充且(假如需要的話)被平坦化至插塞蓋層1406。於一實施例中,金屬溝槽及填充製程係涉及高的高寬比特徵。例如,於一實施例中,金屬線高度(h)與金屬線寬度(w)之高寬比約於5-10之範圍中。In one embodiment, metal lines 1402 are formed by patterning trenches into an ILD material (eg, the ILD material of lines 1404 ) with plug capping layer 1406 formed thereon. The trenches are then filled with metal and, if necessary, planarized to the plug cap layer 1406 . In one embodiment, the metal trench and fill process involves high aspect ratio features. For example, in one embodiment, the aspect ratio of the metal line height (h) to the metal line width (w) is in the range of about 5-10.

圖14B闡明接續於金屬線之凹陷後的圖14A之結構,依據本發明之實施例。參考圖14B,金屬線1402被選擇性地凹陷以提供第一階金屬線1408。該凹陷被選擇性地執行至ILD線1404及插塞蓋層1406。該凹陷可藉由透過乾式蝕刻、濕式蝕刻、或其組合之蝕刻來執行。凹陷程度可由第一階金屬線1408之目標厚度(th)來決定,以供使用為後段製程(BEOL)互連結構內之適當的導電互連線。14B illustrates the structure of FIG. 14A following the recess of the metal line, in accordance with an embodiment of the present invention. Referring to FIG. 14B , metal lines 1402 are selectively recessed to provide first-level metal lines 1408 . The recessing is selectively performed to the ILD line 1404 and the plug capping layer 1406 . The recessing can be performed by etching through dry etching, wet etching, or a combination thereof. The degree of recessing can be determined by the target thickness (th) of the first level metal lines 1408 for use as appropriate conductive interconnects within a back end of line (BEOL) interconnect structure.

圖14C闡明接續於凹陷金屬線的凹陷區中之硬遮罩填充後的圖14B之結構,依據本發明之實施例。參考圖14C,硬遮罩層1410被形成於為了形成第一階金屬線1408而凹陷期間所形成的區中。硬遮罩層1410可藉由材料沈積及化學機械平坦化(CMP)製程而被形成至插塞蓋層1406之位準,或者藉由一種受控制的僅由下而上生長製程。於一特定實施例中,硬遮罩層1410係由富含碳之材料所組成。FIG. 14C illustrates the structure of FIG. 14B after hardmask filling in the recessed area following the recessed metal line, in accordance with an embodiment of the present invention. Referring to FIG. 14C , a hard mask layer 1410 is formed in the region formed during recessing to form the first level metal lines 1408 . The hard mask layer 1410 may be formed to the level of the plug cap layer 1406 by material deposition and chemical mechanical planarization (CMP) processes, or by a controlled bottom-up-only growth process. In a particular embodiment, the hard mask layer 1410 is composed of a carbon-rich material.

圖14D闡明接續於硬遮罩層之沈積及圖案化後的圖14C之結構,依據本發明之實施例。參考圖14D,第二硬遮罩層1412被形成於硬遮罩層1410及插塞蓋層1406上或之上。於此一實施例中,第二硬遮罩層1412被形成以一正交於第一階金屬線1408/ILD線1404之光柵圖案的光柵圖案,如圖14D中所示。於一特定實施例中,第二硬遮罩層1412係由矽為基的抗反射塗敷材料所組成。於一實施例中,由第二金屬線1412所形成之光柵結構為緊密節距光柵結構。於此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成,但該節距可藉由使用間隔物遮罩圖案化而被減半,如本技術中所已知者。甚至,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,圖14D的第二硬遮罩層1412之光柵狀圖案可具有以恆定節距來分隔並具有恆定寬度之硬遮罩線。14D illustrates the structure of FIG. 14C following deposition and patterning of a hard mask layer, in accordance with an embodiment of the present invention. Referring to FIG. 14D , a second hard mask layer 1412 is formed on or over the hard mask layer 1410 and the plug cap layer 1406 . In this embodiment, the second hard mask layer 1412 is formed with a grating pattern orthogonal to the grating pattern of the first order metal lines 1408/ILD lines 1404, as shown in FIG. 14D. In a specific embodiment, the second hard mask layer 1412 is composed of a silicon-based anti-reflective coating material. In one embodiment, the grating structure formed by the second metal lines 1412 is a tight-pitch grating structure. In this embodiment, tight pitch cannot be achieved directly by conventional lithography. For example, a pattern according to conventional lithography can be formed first, but the pitch can be halved by patterning using a spacer mask, as is known in the art. Even, the original pitch can be reduced by a quarter by a second round of spacer mask patterning. Thus, the grating-like pattern of the second hard mask layer 1412 of FIG. 14D may have hard mask lines of constant width separated by a constant pitch.

圖14E闡明接續於使用圖14D之硬遮罩的圖案所界定的溝槽形成後之圖14D的結構,依據本發明之實施例。參考圖14E,硬遮罩層1410及插塞蓋層1406之暴露區(亦即,未被1412所保護者)被蝕刻以形成溝槽1414。蝕刻係停止在(且因而暴露)第一階金屬線1408及ILD線1404之頂部表面上。14E illustrates the structure of FIG. 14D following the formation of trenches defined using the pattern of the hardmask of FIG. 14D, in accordance with an embodiment of the present invention. Referring to FIG. 14E , exposed regions of hard mask layer 1410 and plug cap layer 1406 (ie, those not protected by 1412 ) are etched to form trenches 1414 . The etch stops on (and thus exposes) the top surfaces of the first level metal lines 1408 and ILD lines 1404 .

圖14F闡明接續於圖14E之溝槽中的ILD形成及第二硬遮罩的移除後之圖14E的結構,依據本發明之實施例。參考圖14F,第二ILD線1416被形成於圖14E之溝槽1414中。於一實施例中,可流動的ILD材料被用以填充溝槽1414。於一實施例中,溝槽1414被填充且填充材料被接著平坦化。平坦化可進一步被用以移除第二硬遮罩層1412、再暴露硬遮罩層1410及插塞蓋層1406,如圖14F中所示者。14F illustrates the structure of FIG. 14E following ILD formation in the trenches of FIG. 14E and removal of the second hard mask, in accordance with an embodiment of the present invention. Referring to Figure 14F, a second ILD line 1416 is formed in the trench 1414 of Figure 14E. In one embodiment, flowable ILD material is used to fill the trenches 1414 . In one embodiment, the trenches 1414 are filled and the fill material is then planarized. Planarization can further be used to remove the second hard mask layer 1412, re-exposing the hard mask layer 1410 and the plug cap layer 1406, as shown in Figure 14F.

再次參考圖14F,於一實施例中,所得結構包括均勻的ILD結構(ILD線1404+ILD線1416)。所有可能插塞之位置係由插塞蓋層1406之剩餘部分所佔據,而所有可能通孔位置係由硬遮罩層1410之剩餘部分所佔據。於此一實施例中,ILD線1404及ILD線1416係由相同材料所組成。於另一此實施例中,ILD線1404及ILD線1416係由不同的ILD材料所組成。於任一情況下,於一特定實施例中,可在最後結構中觀察到諸如介於ILD線1404與ILD線1416的材料之間的接縫等區別。再者,於一實施例中,並無其中ILD線1404與ILD線1416相遇之明顯的蝕刻停止層,不同於傳統單或雙金屬鑲嵌圖案化。Referring again to FIG. 14F, in one embodiment, the resulting structure includes a uniform ILD structure (ILD line 1404+ILD line 1416). All possible plug locations are occupied by the remainder of the plug cap layer 1406 , and all possible via locations are occupied by the remainder of the hard mask layer 1410 . In this embodiment, ILD line 1404 and ILD line 1416 are composed of the same material. In another such embodiment, ILD line 1404 and ILD line 1416 are composed of different ILD materials. In either case, in a particular embodiment, differences such as a seam between the materials of ILD wire 1404 and ILD wire 1416 may be observed in the final structure. Furthermore, in one embodiment, there is no distinct etch stop layer where ILD lines 1404 meet ILD lines 1416, unlike conventional single or dual damascene patterning.

圖14G闡明接續於其佔據所有可能通孔位置之硬遮罩層的剩餘部分之移除後的圖14F之結構,依據本發明之實施例。參考圖14G,硬遮罩層1410之剩餘部分被選擇性地移除以形成用於所有可能通孔位置之開口1418。於此一實施例中,硬遮罩層1410係實質上由碳所組成且係以灰製程而被選擇性地移除。14G illustrates the structure of FIG. 14F following removal of the remainder of the hard mask layer that occupies all possible via locations, in accordance with an embodiment of the present invention. Referring to Figure 14G, the remainder of the hard mask layer 1410 is selectively removed to form openings 1418 for all possible via locations. In this embodiment, the hard mask layer 1410 consists essentially of carbon and is selectively removed by an ash process.

通常,一或更多文中所述之實施例涉及使用一種減成方法以使用已蝕刻的溝槽來預形成每一通孔及插塞。接著使用一額外操作以選擇留存哪些通孔及插塞。此等操作可使用「光桶」來闡明,雖然亦可使用一種更傳統的抗蝕劑曝光及ILD回填方式來執行選擇程序。亦應理解:實施例不限於光桶之概念,而是具有廣泛的應用於具有使用由下而上及/或定向自聚合(DSA)方式所製造之預形成特徵的結構。針對光桶之製造及使用的額外實施例被更詳細地描述於下,在超越圖14A-14N及15A-15D之目前實施例的實施例中。In general, one or more of the embodiments described herein involve using a subtractive method to preform each via and plug using etched trenches. An additional operation is then used to select which vias and plugs to keep. These operations can be illustrated using "light barrels", although a more traditional resist exposure and ILD backfill approach can also be used to perform the selection process. It should also be understood that embodiments are not limited to the concept of light barrels, but have broad application to structures having pre-formed features fabricated using bottom-up and/or directed self-aggregation (DSA) approaches. Additional embodiments for the manufacture and use of light barrels are described in more detail below, in embodiments beyond the current embodiments of FIGS. 14A-14N and 15A-15D.

圖14H闡明接續於所有可能通孔位置中之光桶形成後的圖14G之結構,依據本發明之實施例。參考圖14H,光桶1420被形成於第一階金屬線1408之暴露部分上方的所有可能通孔位置中。於一實施例中,圖14G之開口1418被填充以超高速光抗蝕劑或電子束抗蝕劑或其他光敏材料。於此一實施例中,進入開口1418之聚合物的熱回填被使用接續於旋塗施加後。於一實施例中,快速光抗蝕劑係藉由從現有的光抗蝕劑材料移除抑制劑來製造。於另一實施例中,光桶1420係藉由蝕刻回製程及/或微影/縮小/蝕刻製程來形成。應理解:光桶無須被填充以實際的光抗蝕劑,只要該材料作用為光敏開關。Figure 14H illustrates the structure of Figure 14G following the formation of photobarrels in all possible via locations, in accordance with an embodiment of the present invention. Referring to Figure 14H, photo barrels 1420 are formed in all possible via locations over exposed portions of the first level metal lines 1408. In one embodiment, the openings 1418 of Figure 14G are filled with ultra-high speed photoresist or e-beam resist or other photosensitive material. In this embodiment, thermal backfill of polymer into openings 1418 is used following spin-on application. In one embodiment, fast photoresists are fabricated by removing inhibitors from existing photoresist materials. In another embodiment, the photo barrel 1420 is formed by an etch-back process and/or a lithography/reduction/etch process. It should be understood that the photobucket need not be filled with actual photoresist, so long as the material acts as a photoswitch.

圖14I闡明接續於通孔位置選擇後的圖14H之結構,依據本發明之實施例。參考圖14I,在選擇通孔位置時來自圖14H之光桶1420被移除。於其中通孔未被選擇來形成之位置中,光桶1420被留存、轉換為永久ILD材料、或者取代以永久ILD材料。舉例而言,圖14I闡明通孔位置1422,以相應的光桶1420被移除以暴露第一階金屬線1408之一的一部分。先前由光桶1420所佔據之其他位置現在被顯示為圖14I中之區1424。位置1424未被選擇於通孔形成並取代地形成部分的最後ILD結構。於一實施例中,光桶1420之材料被留存於位置1424中而成為最後ILD材料。於另一實施例中,光桶1420之材料被修改(例如,藉由交聯)於位置1424中以形成最後ILD材料。於又另一實施例中,位置1424中之光桶1420的材料被取代以最後ILD材料。Figure 14I illustrates the structure of Figure 14H following via location selection, in accordance with an embodiment of the present invention. Referring to FIG. 14I, the light barrel 1420 from FIG. 14H is removed when the via location is selected. In locations where the vias are not selected to be formed, the light barrel 1420 is retained, converted to permanent ILD material, or replaced with permanent ILD material. For example, FIG. 14I illustrates via locations 1422 with corresponding photo barrels 1420 removed to expose a portion of one of the first level metal lines 1408 . The other location previously occupied by light barrel 1420 is now shown as area 1424 in Figure 14I. Location 1424 was not selected for via formation and instead forms part of the final ILD structure. In one embodiment, the light barrel 1420 material is retained in location 1424 as the final ILD material. In another embodiment, the material of the photobarrel 1420 is modified (eg, by cross-linking) in location 1424 to form the final ILD material. In yet another embodiment, the material of the light barrel 1420 in position 1424 is replaced with the final ILD material.

再次參考圖14I,為了形成通孔位置1422,微影被使用以暴露相應的光桶1420。然而,微影限制可被放寬且失準容許度可能很高,因為光桶1420係由非可光解的材料所圍繞。再者,於一實施例中,取代曝光以(例如)30mJ/cm2 ,此一光桶可被曝光以(例如)3mJ/cm2 。通常此將導致極差的CD控制及粗糙度。但於此例中,CD及粗糙度控制將由光桶1420所界定,其可被極佳地控制及界定。因此,光桶方式可被用以防止成像/劑量取捨,其限制了下一代微影製程之產量。Referring again to FIG. 14I, to form the via locations 1422, lithography is used to expose the corresponding photo barrels 1420. However, lithography constraints can be relaxed and misalignment tolerances can be high because the photobarrel 1420 is surrounded by non-photolyzable material. Also, in one embodiment, instead of exposing at, eg, 30 mJ/cm 2 , such a photobarrel can be exposed at, eg, 3 mJ/cm 2 . Typically this will result in very poor CD control and roughness. But in this example, the CD and roughness control will be defined by the light barrel 1420, which can be well controlled and defined. Therefore, the photobucket approach can be used to prevent imaging/dose tradeoffs that limit the throughput of next-generation lithography processes.

再次參考圖14I,於一實施例中,所得結構包括均勻的ILD結構(ILD 1424+ILD線1404+ILD線1416)。於此一實施例中,ILD 1424、ILD線1404及ILD線1416之兩者或全部係由相同材料所組成。於另一此實施例中,ILD 1424、ILD線1404及ILD線1416係由不同的ILD材料所組成。於任一情況下,於一特定實施例中,在最後結構中觀察到諸如介於ILD 1424與ILD線1404的材料之間的接縫(例如,接縫1497)及/或介於ILD 1424與ILD線1416的材料之間的接縫(例如,接縫1498)等區別。Referring again to Figure 14I, in one embodiment, the resulting structure includes a uniform ILD structure (ILD 1424+ILD line 1404+ILD line 1416). In this embodiment, both or all of ILD 1424, ILD line 1404, and ILD line 1416 are composed of the same material. In another such embodiment, ILD 1424, ILD line 1404, and ILD line 1416 are composed of different ILD materials. In either case, in a particular embodiment, a seam (eg, seam 1497) between the materials of the ILD 1424 and the ILD wire 1404 and/or between the ILD 1424 and the ILD wire 1404 is observed in the final structure. Seams (eg, seams 1498 ) etc. are distinguished between the materials of the ILD wire 1416 .

圖14J闡明接續於圖14I之開口中之硬遮罩填充後的圖14I之結構,依據本發明之實施例。參考圖14J,硬遮罩層1426被形成於通孔位置1422中以及於ILD位置1424之上。硬遮罩層1426可藉由沈積及後續的化學機械平坦化而被形成。14J illustrates the structure of FIG. 14I following hardmask filling in the opening of FIG. 14I, in accordance with an embodiment of the present invention. Referring to FIG. 14J , a hard mask layer 1426 is formed in via locations 1422 and over ILD locations 1424 . The hard mask layer 1426 may be formed by deposition and subsequent chemical mechanical planarization.

圖14K闡明接續於插塞蓋層之移除及第二複數光桶之形成後的圖14J之結構,依據本發明之實施例。參考圖14K,插塞蓋層1406被移除,例如,藉由選擇性蝕刻製程。光桶1428被接著形成於ILD線1404之暴露部分上方的所有可能插塞位置中。於一實施例中,於插塞蓋層1406的移除時所形成之開口被填充以超高速光抗蝕劑或電子束抗蝕劑或其他光敏材料。於此一實施例中,進入開口之聚合物的熱回填被使用接續於旋塗施加後。於一實施例中,快速光抗蝕劑係藉由從現有的光抗蝕劑材料移除抑制劑來製造。於另一實施例中,光桶1428係藉由蝕刻回製程及/或微影/縮小/蝕刻製程來形成。應理解:光桶無須被填充以實際的光抗蝕劑,只要該材料作用為光敏開關。14K illustrates the structure of FIG. 14J following removal of the plug cap layer and formation of a second plurality of photo barrels, in accordance with an embodiment of the present invention. Referring to Figure 14K, the plug cap layer 1406 is removed, eg, by a selective etch process. Photo barrels 1428 are then formed in all possible plug locations over exposed portions of the ILD lines 1404 . In one embodiment, the openings formed upon removal of the plug cap layer 1406 are filled with ultra-high-speed photoresist or e-beam resist or other photosensitive material. In this embodiment, thermal backfill of the polymer into the opening is used subsequent to spin-on application. In one embodiment, fast photoresists are fabricated by removing inhibitors from existing photoresist materials. In another embodiment, the photo barrel 1428 is formed by an etch back process and/or a lithography/reduction/etch process. It should be understood that the photobucket need not be filled with actual photoresist, so long as the material acts as a photoswitch.

圖14L闡明接續於插塞位置選擇後的圖14K之結構,依據本發明之實施例。參考圖14L,非在選擇插塞位置中來自圖14K之光桶1428被移除。於其中插塞被選擇來形成之位置中,光桶1428被留存、轉換為永久ILD材料、或者取代以永久ILD材料。舉例而言,圖14L闡明非插塞位置1430,以相應的光桶1428被移除以暴露ILD線1404之一部分。先前由光桶1428所佔據之其他位置現在被顯示為圖14L中之區1432。區1432被選擇於插塞形成並形成最後ILD結構之部分。於一實施例中,相應光桶1428之材料被留存於區1432中而成為最後ILD材料。於另一實施例中,光桶1428之材料被修改(例如,藉由交聯)於區1432中以形成最後ILD材料。於又另一實施例中,區1432中之光桶1428的材料被取代以最後ILD材料。於任何情況下,區1432亦可被稱為插塞1432。14L illustrates the structure of FIG. 14K following plug location selection, in accordance with an embodiment of the present invention. Referring to Figure 14L, the light barrel 1428 from Figure 14K is removed not in the select plug position. In the position where the plug is selected to be formed, the light barrel 1428 is retained, converted to permanent ILD material, or replaced with permanent ILD material. For example, FIG. 14L illustrates a non-plug position 1430 with the corresponding photobarrel 1428 removed to expose a portion of the ILD line 1404 . The other location previously occupied by light barrel 1428 is now shown as area 1432 in Figure 14L. Region 1432 is selected where the plug is formed and forms part of the final ILD structure. In one embodiment, the material of the corresponding photobarrel 1428 is retained in region 1432 as the final ILD material. In another embodiment, the material of photobarrel 1428 is modified (eg, by cross-linking) in region 1432 to form the final ILD material. In yet another embodiment, the material of the light barrel 1428 in region 1432 is replaced with the final ILD material. In any event, region 1432 may also be referred to as plug 1432.

再次參考圖14L,為了形成開口1430,微影被使用以暴露相應的光桶1428。然而,微影限制可被放寬且失準容許度可能很高,因為光桶1428係由非可光解的材料所圍繞。再者,於一實施例中,取代曝光以(例如)30 mJ/cm2 ,此類光桶可被曝光以(例如)3mJ/cm2 。通常此將導致極差的CD控制及粗糙度。但於此例中,CD及粗糙度控制將由光桶1428所界定,其可被極佳地控制及界定。因此,光桶方式可被用以防止成像/劑量取捨,其限制了下一代微影製程之產量。Referring again to FIG. 14L, to form openings 1430, lithography is used to expose corresponding photo barrels 1428. However, lithography constraints can be relaxed and misalignment tolerances can be high because the photobarrel 1428 is surrounded by non-photolyzable material. Also, in one embodiment, instead of exposing at, eg, 30 mJ/cm 2 , such photobarrels can be exposed at, eg, 3 mJ/cm 2 . Typically this will result in very poor CD control and roughness. But in this example, the CD and roughness control would be defined by the light barrel 1428, which can be well controlled and defined. Therefore, the photobucket approach can be used to prevent imaging/dose tradeoffs that limit the throughput of next-generation lithography processes.

再次參考圖14L,於一實施例中,所得結構包括均勻的ILD結構(插塞1432+ILD 1424+ILD線1404+ILD線1416)。於此一實施例中,插塞1432、ILD 1424、ILD線1404及ILD線1416之二或更多者係由相同材料所組成。於另一此實施例中,插塞1432、ILD 1424、ILD線1404及ILD線1416係由不同的ILD材料所組成。於任一情況下,於一特定實施例中,在最後結構中觀察到諸如介於插塞1432與ILD線1404的材料之間的接縫(例如,接縫1499)及/或介於插塞1432與ILD線1416的材料之間的接縫(例如,接縫1496)等區別。Referring again to FIG. 14L, in one embodiment, the resulting structure includes a uniform ILD structure (plug 1432+ILD 1424+ILD line 1404+ILD line 1416). In this embodiment, two or more of plug 1432, ILD 1424, ILD line 1404, and ILD line 1416 are composed of the same material. In another such embodiment, plug 1432, ILD 1424, ILD line 1404, and ILD line 1416 are composed of different ILD materials. In either case, in a particular embodiment, a seam such as a seam (eg, seam 1499 ) between the material of the plug 1432 and the ILD wire 1404 and/or between the plug is observed in the final structure The seam (eg, seam 1496) etc. is distinguished between the material of 1432 and ILD wire 1416.

圖14M闡明接續於圖14L的硬遮罩之移除後的圖14L之結構,依據本發明之實施例。參考圖14M,硬遮罩層1426被選擇性地移除以形成金屬線及通孔開口1434。於此一實施例中,硬遮罩層1426係實質上由碳所組成且係以灰製程而被選擇性地移除。14M illustrates the structure of FIG. 14L following the removal of the hard mask of FIG. 14L, in accordance with an embodiment of the present invention. Referring to FIG. 14M , hard mask layer 1426 is selectively removed to form metal lines and via openings 1434 . In this embodiment, the hard mask layer 1426 consists essentially of carbon and is selectively removed by an ash process.

圖14N闡明接續於金屬線及通孔形成後的圖14M之結構,依據本發明之實施例。參考圖14N,金屬線1434及通孔(顯示為1438之一者)被形成於圖14M之開口1434的金屬填充上。金屬線1436係藉由通孔1438而被耦合至下方金屬線1408且藉由插塞1432而被中斷。於一實施例中,開口1434被填充以金屬鑲嵌方式,其中金屬被用以過填充開口且被接著平坦化回去,以提供圖14N中所示之結構。因此,於上述方式中用以形成金屬線及通孔之金屬(例如,銅及相關的障壁和種子層)沈積及平坦化製程可為典型地用於標準後段製程(BEOL)單或雙金屬鑲嵌處理者。於一實施例中,於後續製造操作中,ILD線1416可被移除以提供介於所得金屬線1436之間的空氣間隙。14N illustrates the structure of FIG. 14M following the formation of metal lines and vias, in accordance with an embodiment of the present invention. Referring to Figure 14N, metal lines 1434 and vias (shown as one of 1438) are formed on the metal fill of opening 1434 of Figure 14M. Metal lines 1436 are coupled to underlying metal lines 1408 by vias 1438 and interrupted by plugs 1432. In one embodiment, openings 1434 are filled in a damascene manner, wherein metal is used to overfill the openings and then planarized back to provide the structure shown in Figure 14N. Thus, the metal (eg, copper and associated barrier and seed layers) deposition and planarization processes used to form the metal lines and vias in the manner described above may be typically used for standard back-end-of-line (BEOL) single or dual damascene processor. In one embodiment, in subsequent fabrication operations, the ILD lines 1416 may be removed to provide air gaps between the resulting metal lines 1436 .

圖14N之結構可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖14N之結構可代表積體電路中之最後金屬互連層。應理解其上述製程操作可被施行以替代的順序,不是每一操作均需被執行及/或額外的製程操作可被執行。於任何情況下,所得結構均致能其被直接地集中於下方金屬線上之通孔的製造。亦即,通孔可具有較下方金屬線更寬、更窄、或相同的厚度,例如,由於非完美選擇性蝕刻處理。然而,於一實施例中,通孔之中心被直接地與金屬線之中心對準(匹配)。再者,用以選擇哪些插塞及通孔之ILD將可能是極不同於主要ILD且將被高度地自對準於兩方向上。如此一來,於一實施例中,由於傳統微影/雙金屬鑲嵌圖案化(其需另被容許)之偏差不會是文中所述之所得結構的因素。再次參考圖14N,接著,藉由減成方式之自對準製造可完成於此階段。以類似方式所製造之下一層可涉及再一次履行上述製程。替代地,其他方式可被使用於此階段以提供額外互連層,諸如傳統雙或單金屬鑲嵌方式。The structure of Figure 14N can then be used as a basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the structure of Figure 14N may represent the last metal interconnect layer in an integrated circuit. It should be understood that the above-described process operations may be performed in alternate orders, not every operation needs to be performed and/or additional process operations may be performed. In any case, the resulting structure enables the fabrication of vias which are directly focused on the underlying metal lines. That is, the vias may be wider, narrower, or the same thickness as the underlying metal lines, eg, due to a non-perfect selective etch process. However, in one embodiment, the centers of the vias are directly aligned (matched) with the centers of the metal lines. Furthermore, the ILD used to select which plugs and vias will likely be very different from the main ILD and will be highly self-aligned in both directions. As such, in one embodiment, deviations due to conventional lithography/damascene patterning (which would otherwise be tolerated) do not factor into the resulting structures described herein. Referring again to Figure 14N, this stage can then be completed by means of subtractive self-aligned fabrication. Fabricating the next layer in a similar manner may involve performing the above process again. Alternatively, other approaches may be used at this stage to provide additional interconnect layers, such as conventional dual or single damascene approaches.

上述製程流係涉及深溝槽蝕刻之使用。於另一形態中,較淺的方式係涉及僅有插塞的自對準減成處理技術。舉例而言,圖15A-15D闡明其表示一種減成自對準插塞圖案化的方法中之各個操作的積體電路層之部分,依據本發明之另一實施例。於各所述操作之各闡明中,平面視圖被顯示於頂部,而相應的橫斷面視圖被顯示於底部。這些視圖將於文中被稱為相應的橫斷面視圖及平面視圖。The process flow described above involves the use of deep trench etching. In another form, a shallower approach involves plug-only self-aligned subtractive processing techniques. For example, FIGS. 15A-15D illustrate portions of an integrated circuit layer that represent operations in a method of subtracting self-aligned plug patterning, in accordance with another embodiment of the present invention. In each illustration of each of the described operations, the plan view is shown at the top and the corresponding cross-sectional view is shown at the bottom. These views will be referred to herein as the corresponding cross-sectional and plan views.

圖15A闡明針對一開始插塞柵格之平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),開始插塞柵格結構1500包括ILD層1502,具有第一硬遮罩層1504配置於其上。第二硬遮罩層1508被配置於第一硬遮罩層1504上且被圖案化以具有光柵結構。第三硬遮罩層1506被配置於第二硬遮罩層1508上以及第一硬遮罩層1504上。此外,開口1510保留於第二硬遮罩層1508與第三硬遮罩層1506的光柵結構之間。15A illustrates a plan view and a corresponding cross-sectional view for an initial plug grid, in accordance with an embodiment of the present invention. Referring to plan views and corresponding cross-sectional views (a) and (b) taken along axes a-a' and bb', respectively, starting plug grid structure 1500 includes an ILD layer 1502 having a first hard The mask layer 1504 is disposed thereon. The second hard mask layer 1508 is disposed on the first hard mask layer 1504 and is patterned to have a grating structure. The third hard mask layer 1506 is disposed on the second hard mask layer 1508 and on the first hard mask layer 1504 . In addition, openings 1510 remain between the grating structures of the second hard mask layer 1508 and the third hard mask layer 1506 .

圖15B闡明接續於光桶填充、曝光及顯影後的圖15A之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),光桶1512被形成於圖15A之開口1510中。之後,選定的光桶被曝光並移除以提供選定的插塞位置1514,如圖15B中所示。15B illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 15A subsequent to photobarrel filling, exposure, and development, in accordance with an embodiment of the present invention. With reference to plan views and corresponding cross-sectional views (a) and (b) taken along axes a-a' and b-b', respectively, a light barrel 1512 is formed in opening 1510 of Figure 15A. Afterwards, selected photo barrels are exposed and removed to provide selected plug locations 1514, as shown in Figure 15B.

圖15C闡明接續於插塞形成後的圖15B之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),插塞1516被形成於圖15B之開口1514中。於一實施例中,插塞1516係藉由旋塗上方式及/或沈積和蝕刻回方式而被形成。15C illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 15B subsequent to plug formation, in accordance with an embodiment of the present invention. With reference to plan views and corresponding cross-sectional views (a) and (b) taken along axes a-a' and b-b', respectively, plugs 1516 are formed in openings 1514 of Figure 15B. In one embodiment, the plugs 1516 are formed by spin-on methods and/or deposition and etch-back methods.

圖15D闡明接續於硬遮罩層及餘留光桶之移除後的圖15C之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),第三硬遮罩層1506被移除,留下第二硬遮罩層1508及插塞1516。所得圖案(第二硬遮罩層1508及插塞1516)可接著被使用以圖案化硬遮罩層1504以供ILD層1502之最終圖案化。於一實施例中,第三硬遮罩層1506係實質上由碳所組成且係藉由執行灰製程而被移除。15D illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 15C following removal of the hardmask layer and remaining photobucket, in accordance with an embodiment of the present invention. Referring to the plan views and corresponding cross-sectional views (a) and (b) taken along axes a-a' and bb', respectively, the third hard mask layer 1506 is removed, leaving the second hard mask layer Mask layer 1508 and plug 1516. The resulting pattern (second hard mask layer 1508 and plugs 1516 ) can then be used to pattern hard mask layer 1504 for final patterning of ILD layer 1502 . In one embodiment, the third hard mask layer 1506 consists essentially of carbon and is removed by performing an ash process.

因此,圖15D之結構可接著被使用為用以形成ILD線及插塞圖案之基礎。應理解其上述製程操作可被施行以替代的順序,不是每一操作均需被執行及/或額外的製程操作可被執行。於任何情況下,所得結構係致能自對準插塞之製造。如此一來,於一實施例中,由於傳統微影/雙金屬鑲嵌圖案化(其需另被容許)之偏差不會是文中所述之所得結構的因素。Thus, the structure of Figure 15D can then be used as the basis for forming the ILD lines and plug patterns. It should be understood that the above-described process operations may be performed in alternate orders, not every operation needs to be performed and/or additional process operations may be performed. In any event, the resulting structure enables the fabrication of self-aligned plugs. As such, in one embodiment, variations due to conventional lithography/damascene patterning (which would otherwise be tolerated) do not factor into the resulting structures described herein.

依據本發明之實施例,用於後段製程(BEOL) 之電介質盔為基的方式及/或硬遮罩選擇性為基的方式(以及所得結構)被描述。文中所述之一或更多實施例係有關使用電介質盔於定向自聚合(DSA)或選擇性生長以致能自對準互連之製造的方法。實施例可探討或實施電介質盔、定向自聚合、選擇性沈積、自對準、或緊密節距之圖案化互連的使用之一或更多者。實施例可被實施以藉由利用透過選擇性沈積之「上色」的自對準、及後續的定向自聚合(例如,針對次10nm技術節點)來提供增進的通孔短路容限。In accordance with embodiments of the present invention, a dielectric helmet-based approach and/or a hardmask selectivity-based approach (and the resulting structure) for back-end-of-line (BEOL) are described. One or more embodiments described herein relate to methods of using a dielectric helmet for the fabrication of directed self-polymerization (DSA) or selective growth to enable self-aligned interconnects. Embodiments may explore or implement one or more of the use of dielectric helmets, directed self-polymerization, selective deposition, self-alignment, or close-pitch patterned interconnects. Embodiments may be implemented to provide improved via short tolerance by utilizing self-alignment by "coloring" through selective deposition, and subsequent directional self-polymerization (eg, for sub-10 nm technology nodes).

為了提供背景,用以增進短路容限之目前解決方式可包括:(1)使用金屬凹陷以填充具有不同硬遮罩之交替金屬溝槽,(2)使用不同「顏色」金屬蓋以當作用於定向自聚合(DSA)或選擇性生長之模板,或(3)凹陷該金屬或ILD以「引導」該通孔朝向相關的線。整體地,用以增進通孔短路容限之典型製程流需要金屬凹陷。然而,具有可接受的均勻度之凹陷金屬已證明為許多此類處理方案中的挑戰。To provide context, current solutions to improve short circuit tolerance may include: (1) using metal recesses to fill alternating metal trenches with different hardmasks, (2) using different "color" metal caps to serve as Directed Self-Aggregation (DSA) or selectively grown templates, or (3) recessing the metal or ILD to "steer" the via towards the associated line. In general, typical process flows to improve via shorting tolerance require metal recesses. However, recessed metal with acceptable uniformity has proven to be a challenge in many of these processing schemes.

依據本發明之實施例,上述問題之一或更多者係藉由實施一種沈積非共形電介質蓋於互連之一半總數上的方法來解決。非共形電介質蓋被使用為用於選擇性生長或定向自聚合之模板。於一此類實施例中,此一方式可被應用於任何互連金屬層以及(可能地)於閘極接點。於特定實施例中,如最先進方式中所見之針對金屬凹陷的需求被有效地免除自文中所述之處理方案。In accordance with embodiments of the present invention, one or more of the above-mentioned problems are solved by implementing a method of depositing a non-conformal dielectric cap over half the population of interconnects. Non-conformal dielectric caps are used as templates for selective growth or directed self-polymerization. In one such embodiment, this approach can be applied to any interconnect metal layer and (possibly) to the gate contacts. In certain embodiments, the need for metal undercuts as seen in the state-of-the-art approach is effectively eliminated from the processing schemes described herein.

當作文中所涉及之觀念的一般性概述,圖16A-16D闡明積體電路層之部分的橫斷面視圖,其表示一種涉及用於後段製程(BEOL)互連製造之電介質盔形成的方法中之各個操作,依據本發明之實施例。As a general overview of the concepts involved in the text, FIGS. 16A-16D illustrate cross-sectional views of portions of integrated circuit layers representing a method involved in the formation of dielectric helmets for back-end-of-line (BEOL) interconnect fabrication. The respective operations are in accordance with the embodiments of the present invention.

參考圖16A,開始點結構1600被提供為用以製造新金屬化層之開始點。開始點結構1600包括硬遮罩層1602,其係配置於層間電介質(ILD)層1602上。如以下所述,ILD層可被配置於基底上方,而(於一實施例中)被配置於下方金屬化層之上。開口被形成於硬遮罩層1604(其係相應於ILD層1602中所形成的溝槽)中。該些溝槽之間隔一者被填充以導電層來提供第一金屬線1606(以及,於某些情況下,相應的導電通孔1607)。餘留的溝槽未被填充,其提供打開的溝槽1608。於一實施例中,開始結構1600係藉由以下方式來製造:圖案化硬遮罩和ILD層並接著金屬化金屬溝槽之一半總數(例如,該些溝槽之間隔一者),留下另一半總數為打開的。於一實施例中,ILD中之溝槽係使用節距分割圖案化製程流而被圖案化。應理解:底下所述之下列製程操作可首先涉及節距分割,或者可不涉及。於任一情況下,但特別是當亦使用節距分割時,實施例可致能金屬層之節距的連續擴縮超越最先進微影設備之解析能力。Referring to Figure 16A, a starting point structure 1600 is provided as a starting point for fabricating a new metallization layer. The starting point structure 1600 includes a hard mask layer 1602 disposed on an interlayer dielectric (ILD) layer 1602 . As described below, the ILD layer may be disposed over the substrate and (in one embodiment) over the underlying metallization layer. Openings are formed in hard mask layer 1604 (which correspond to trenches formed in ILD layer 1602). A space between the trenches is filled with a conductive layer to provide a first metal line 1606 (and, in some cases, a corresponding conductive via 1607). The remaining trenches are left unfilled, which provides open trenches 1608 . In one embodiment, the starting structure 1600 is fabricated by patterning the hardmask and ILD layers and then metallizing half the total number of metal trenches (eg, one spaced between the trenches), leaving The other half of the total is open. In one embodiment, the trenches in the ILD are patterned using a pitch division patterning process flow. It should be understood that the following process operations described below may or may not involve pitch segmentation first. In either case, but especially when pitch segmentation is also used, embodiments may enable continuous scaling of the pitch of the metal layers beyond the resolution capabilities of state-of-the-art lithography equipment.

圖16B闡明接續於非共形電介質蓋層1610之沈積在結構1600之上後的圖16A之結構。非共形電介質蓋層1610包括第一部分1600A,其係覆蓋硬遮罩層1604及金屬線1606之暴露表面。非共形電介質蓋層1610包括與第一部分1610A相連的第二部分1610B。非共形電介質蓋層1610之第二部分1610B被形成於打開的溝槽1608中,沿著打開的溝槽1608之側壁1608A及底部1608B。於一實施例中,非共形電介質蓋層1610之第二部分1610B係實質上比第一部分1610A更薄,如圖16B中所描繪。於其他實施例中,部分1610B是不存在的或者是不連續的。以此方式,非共形電介質蓋層1610之沈積被視為非共形沈積,因為非共形電介質蓋層1610之厚度在所有位置中並不相同。所得幾何可被稱為針對非共形電介質蓋層1610之盔形狀,因為ILD層1602之最上部分具有非共形電介質蓋層1610之最厚部分於其上,而因此被保護達到比其他區更大的程度。於一實施例中,非共形電介質蓋層1610為電介質材料,諸如(但不限定於)氮化矽或氧氮化矽。於一實施例中,非共形電介質蓋層1610係使用電漿加強化學氣相沈積(PECVD)製程或者(於另一實施例中)物理氣相沈積(PVD)而形成。16B illustrates the structure of FIG. 16A following deposition of a non-conformal dielectric cap layer 1610 over structure 1600. The non-conformal dielectric cap layer 1610 includes a first portion 1600A that covers the exposed surfaces of the hard mask layer 1604 and the metal lines 1606 . The non-conformal dielectric cap layer 1610 includes a second portion 1610B connected to the first portion 1610A. A second portion 1610B of the non-conformal dielectric cap layer 1610 is formed in the open trench 1608 , along the sidewalls 1608A and the bottom 1608B of the open trench 1608 . In one embodiment, the second portion 1610B of the non-conformal dielectric cap layer 1610 is substantially thinner than the first portion 1610A, as depicted in Figure 16B. In other embodiments, portion 1610B is absent or discontinuous. In this manner, the deposition of the non-conformal dielectric cap layer 1610 is considered a non-conformal deposition because the thickness of the non-conformal dielectric cap layer 1610 is not the same in all locations. The resulting geometry may be referred to as a helmet shape for the non-conformal dielectric cap layer 1610 because the uppermost portion of the ILD layer 1602 has the thickest portion of the non-conformal dielectric cap layer 1610 thereon, and is thus protected to more than the other regions. to a large extent. In one embodiment, the non-conformal dielectric cap layer 1610 is a dielectric material such as, but not limited to, silicon nitride or silicon oxynitride. In one embodiment, the non-conformal dielectric cap layer 1610 is formed using a plasma enhanced chemical vapor deposition (PECVD) process or (in another embodiment) physical vapor deposition (PVD).

圖16C闡明接續於金屬線之第二半的通孔圖案化、金屬化、及平坦化後之圖16B的結構。於一實施例中,金屬填充製程被履行以提供第二金屬線1612。然而,於一實施例中,在金屬填充之前,通孔位置被首先選擇並打開。接著,於金屬填充時,通孔1613被形成為與第二金屬線1612之某些者相關聯。於一此類實施例中,通孔開口係藉由延伸打開的溝槽1608之某一者而被形成,藉由蝕刻通過選定溝槽1608之底部上的非共形電介質蓋層1610並接著延伸該溝槽通過電介質層1602。其結果為非共形電介質蓋層1610之連續性的中斷,在第二金屬線1612之通孔位置上,如圖16C中所描繪。16C illustrates the structure of FIG. 16B after via patterning, metallization, and planarization following the second half of the metal lines. In one embodiment, a metal filling process is performed to provide the second metal lines 1612 . However, in one embodiment, via locations are first selected and opened prior to metal filling. Next, upon metal filling, vias 1613 are formed in association with some of the second metal lines 1612 . In one such embodiment, the via opening is formed by extending one of the trenches 1608 open, by etching through the non-conformal dielectric cap layer 1610 on the bottom of the selected trench 1608 and then extending The trench passes through the dielectric layer 1602 . The result is an interruption of the continuity of the non-conformal dielectric cap layer 1610 at the location of the via hole of the second metal line 1612, as depicted in Figure 16C.

於一實施例中,用以形成第二金屬線1612及導電通孔1613之金屬填充製程係使用金屬沈積及後續平坦化處理方案(諸如化學機械平坦化(CMP)製程)而被履行。平坦化製程係暴露(但未移除)非共形電介質蓋層1610,如圖16C中所描繪。應理解:於一實施例中,因為第二金屬線1612(及相應的導電通孔1613)被形成於一比用以製造第一金屬線1606(及相應的導電通孔1607)之製程更後面的製程中,所以第二金屬線1612可使用一種與用以製造第一金屬線1606不同的材料來製造。於一此類實施例中,金屬化層最終地包括交替的、不同的第一和第二組成之導電互連。然而,於另一實施例中,金屬線1612及1606被製造自實質上相同的材料。In one embodiment, the metal filling process used to form the second metal lines 1612 and conductive vias 1613 is performed using metal deposition and subsequent planarization processing schemes, such as a chemical mechanical planarization (CMP) process. The planarization process exposes (but does not remove) the non-conformal dielectric cap layer 1610, as depicted in Figure 16C. It should be understood that in one embodiment, because the second metal line 1612 (and corresponding conductive via 1613 ) is formed later in the process than the process used to fabricate the first metal line 1606 (and corresponding conductive via 1607 ) Therefore, the second metal line 1612 may be fabricated using a different material than that used to fabricate the first metal line 1606. In one such embodiment, the metallization layer ultimately includes alternating conductive interconnects of different first and second compositions. However, in another embodiment, the metal lines 1612 and 1606 are fabricated from substantially the same material.

於一實施例中,第一金屬線1606被隔離以一節距,而第二金屬線1612被隔離以該相同節距。於其他實施例中,該些線不一定被隔離以節距。然而,藉由包括非共形電介質蓋層1610(或電介質盔),則僅有第二金屬線1612之表面被暴露。因此,介於其將另被暴露的相鄰第一與第二金屬線之間的節距被放寬為僅有第二金屬線之節距。因此,交替的非共形電介質蓋層1610之暴露電介質表面及第二金屬線1612之暴露表面係提供有區別的表面於第二金屬線1612之節距上。In one embodiment, the first metal lines 1606 are isolated by a pitch, and the second metal lines 1612 are isolated by the same pitch. In other embodiments, the lines are not necessarily separated by a pitch. However, by including the non-conformal dielectric cap layer 1610 (or dielectric helmet), only the surface of the second metal line 1612 is exposed. Therefore, the pitch between adjacent first and second metal lines to which they would otherwise be exposed is relaxed to the pitch of only the second metal line. Thus, the exposed dielectric surfaces of the alternating non-conformal dielectric cap layers 1610 and the exposed surfaces of the second metal lines 1612 provide distinct surfaces on the pitch of the second metal lines 1612 .

圖16D闡明接續於定向自聚合或選擇性沈積方式以最終地個別形成兩個不同的(交替的)第一和第二硬遮罩層1614和1616後之圖16C的結構。於一實施例中,硬遮罩層1614及1616之材料係展現彼此不同蝕刻選擇性。第一硬遮罩層1614係與非共形電介質蓋層1610之暴露區對準。第二硬遮罩層1616係與第二金屬線1612之暴露區對準。如以下更詳細地描述,定向自聚合或選擇性生長可被使用以選擇性個別對準第一和第二硬遮罩層1614和1616至電介質和金屬表面。16D illustrates the structure of FIG. 16C following a directional self-polymerization or selective deposition approach to ultimately form two distinct (alternating) first and second hard mask layers 1614 and 1616 individually. In one embodiment, the materials of hard mask layers 1614 and 1616 exhibit different etch selectivities from each other. The first hard mask layer 1614 is aligned with the exposed regions of the non-conformal dielectric cap layer 1610 . The second hard mask layer 1616 is aligned with the exposed areas of the second metal lines 1612 . As described in more detail below, directed self-polymerization or selective growth may be used to selectively individually align the first and second hard mask layers 1614 and 1616 to the dielectric and metal surfaces.

於第一一般性實施例中,為了最終地形成第一和第二硬遮罩層1614和1616,定向自聚合(DSA)區塊共聚物沈積及聚合物聚合製程被履行。於一實施例中,DSA區塊共聚物被塗佈於表面上並被退火以將聚合物分離為第一區塊及第二區塊。於一實施例中,第一聚合物區塊優先地黏附至非共形電介質蓋層1610。第二聚合物區塊黏附至第二金屬線1612。於一實施例中,區塊共聚物分子是由共價接合單體之鏈所形成的聚合物分子,其範例被描述於上。In the first general embodiment, to finally form the first and second hard mask layers 1614 and 1616, directed self-polymerization (DSA) block copolymer deposition and polymer polymerization processes are performed. In one embodiment, the DSA block copolymer is coated on the surface and annealed to separate the polymer into first and second blocks. In one embodiment, the first polymer block preferentially adheres to the non-conformal dielectric cap layer 1610 . The second polymer block is adhered to the second metal line 1612 . In one embodiment, the block copolymer molecule is a polymer molecule formed from chains of covalently joined monomers, examples of which are described above.

再次參考圖16D,於DSA製程之情況下,在第一實施例中,第一和第二硬遮罩層1614和1616個別為第一和第二區塊聚合物。然而,於第二實施例中,第一和第二區塊聚合物被各依序地替換以第一和第二硬遮罩層1614和1616之材料。於一此類實施例中,選擇性蝕刻及沈積製程被使用而個別地以第一和第二硬遮罩層1614和1616之材料來替換第一和第二區塊聚合物。Referring again to FIG. 16D, in the case of the DSA process, in the first embodiment, the first and second hard mask layers 1614 and 1616 are respectively the first and second block polymers. However, in the second embodiment, the first and second block polymers are replaced with the materials of the first and second hard mask layers 1614 and 1616, respectively. In one such embodiment, selective etching and deposition processes are used to replace the first and second blocks of polymer with the materials of the first and second hard mask layers 1614 and 1616, respectively.

於第二一般性實施例中,為了最終地形成第一和第二硬遮罩層1614和1616,選擇性生長製程係取代DSA方式。於一此類實施例中,第一硬遮罩層1614之材料被生長於下方非共形電介質蓋層1610之暴露部分上方。第二硬遮罩層1616之第二(不同的)材料被生長於下方第二金屬線1612之暴露部分上方。於一實施例中,選擇性生長係藉由一種針對第一和第二材料之各者的dep-etch-dep-etch (沈積-蝕刻-沈積-蝕刻)方式來達成,導致該些材料之各者的複數層。此一方式可能是理想的,相對於其可形成「蘑菇頂部」狀的膜之傳統選擇性生長技術。蘑菇頂膜生長傾向可透過一種交替的沈積/蝕刻/沈積(dep-etch-dep-etch)方式而被減少。於另一實施例中,膜被選擇性沈積於金屬之上,接續以不同膜被選擇性地沈積於ILD之上(或反之亦然),且重複數次以產生三明治狀堆疊。於另一實施例中,兩材料被同時地生長於一反應室中(例如,藉由CVD式樣製程),其係選擇性生長於下方基底之各暴露區上。In the second general embodiment, in order to finally form the first and second hard mask layers 1614 and 1616, a selective growth process is used instead of the DSA method. In one such embodiment, the material of the first hard mask layer 1614 is grown over the exposed portions of the underlying non-conformal dielectric cap layer 1610 . A second (different) material of the second hard mask layer 1616 is grown over the exposed portions of the underlying second metal lines 1612. In one embodiment, selective growth is achieved by a dep-etch-dep-etch approach to each of the first and second materials, resulting in each of the materials plural layers of the person. This approach may be ideal relative to conventional selective growth techniques which can form "mushroom top"-like membranes. Mushroom top film growth propensity can be reduced by an alternating deposition/etch/deposition (dep-etch-dep-etch) approach. In another embodiment, a film is selectively deposited over the metal, followed by a different film selectively deposited over the ILD (or vice versa), and repeated several times to create a sandwich-like stack. In another embodiment, the two materials are grown simultaneously in a reaction chamber (eg, by a CVD-style process) selectively grown on exposed regions of the underlying substrate.

如以下更詳細地描述,於一實施例中,圖16D之所得結構致能增進的通孔短路容限,當製造稍後的通孔層於圖16D之結構上時。於一實施例中,增進的短路容限被達成,因為製造具有交替「顏色」硬遮罩之結構減少了通孔短路至錯誤金屬線的風險。於一實施例中,自對準被達成,因為交替顏色硬遮罩被自對準至底下的金屬溝槽。於一實施例中,從處理方案移除了對於金屬凹陷之需求,因為其可減少製程變異。As described in more detail below, in one embodiment, the resulting structure of Figure 16D enables improved via shorting tolerance when fabricating later via layers on the structure of Figure 16D. In one embodiment, improved short circuit tolerance is achieved because fabricating structures with alternating "color" hard masks reduces the risk of vias shorting to the wrong metal lines. In one embodiment, self-alignment is achieved because the alternating color hardmask is self-aligned to the underlying metal trenches. In one embodiment, the need for metal recesses is removed from the processing scheme because it reduces process variation.

於第一更詳細的範例製程流中,圖16E-16P闡明積體電路層之部分的橫斷面視圖,其表示另一種涉及用於後段製程(BEOL)互連製造之電介質盔形成的方法中之各個操作,依據本發明之實施例。In a first more detailed example process flow, FIGS. 16E-16P illustrate cross-sectional views of a portion of an integrated circuit layer representing another method involving the formation of dielectric helmets for back-end-of-line (BEOL) interconnect fabrication. The respective operations are in accordance with the embodiments of the present invention.

參考圖16E,開始點結構1630被提供(接續於第一金屬通過處理後)為用以製造新金屬化層之開始點。開始點結構1630包括硬遮罩層1634(例如,氮化矽),其係配置於層間電介質(ILD)層1632上。如以下所述,ILD層可被配置於基底上方,而(於一實施例中)被配置於下方金屬化層之上。第一金屬線1636(及,於某些情況下,相應的導電通孔1637)被形成於ILD層1632中。金屬線1636之突出部分1636A具有相鄰的電介質間隔物1638。犧牲硬遮罩層1640(例如,非晶矽)被包括於相鄰的電介質間隔物1638之間。雖未描繪,於一實施例中,金屬線1636係藉由首先移除介於電介質間隔物1638之間的第二犧牲硬遮罩材料及接著蝕刻硬遮罩層1634和ILD層1632(以形成其將於金屬化製程中被填充之溝槽)而被形成。Referring to Figure 16E, a starting point structure 1630 is provided (following the first metallization through processing) as a starting point for fabricating a new metallization layer. The starting point structure 1630 includes a hard mask layer 1634 (eg, silicon nitride) disposed on the interlayer dielectric (ILD) layer 1632 . As described below, the ILD layer may be disposed over the substrate and (in one embodiment) over the underlying metallization layer. First metal lines 1636 (and, in some cases, corresponding conductive vias 1637 ) are formed in ILD layer 1632 . The protruding portion 1636A of the metal line 1636 has an adjacent dielectric spacer 1638 . A sacrificial hard mask layer 1640 (eg, amorphous silicon) is included between adjacent dielectric spacers 1638 . Although not depicted, in one embodiment, the metal lines 1636 are formed by first removing the second sacrificial hardmask material between the dielectric spacers 1638 and then etching the hardmask layer 1634 and the ILD layer 1632 ( It will be formed from the filled trenches during the metallization process.

圖16F闡明接續於第二通過金屬處理直到包括溝槽蝕刻後之圖16E的結構。參考圖16F,犧牲硬遮罩層1640被移除以暴露硬遮罩層1634。硬遮罩層1634之暴露部分被移除且溝槽1642被形成於ILD層1632中。FIG. 16F illustrates the structure of FIG. 16E following the second pass metal treatment until including trench etching. Referring to FIG. 16F , sacrificial hard mask layer 1640 is removed to expose hard mask layer 1634 . Exposed portions of hard mask layer 1634 are removed and trenches 1642 are formed in ILD layer 1632.

圖16G闡明接續於犧牲材料填充後之圖16F的結構。犧牲材料1644被形成於溝槽1642中以及於間隔物1638和金屬線1636之上。於一實施例中,犧牲材料1644被形成於旋塗式製程中,留下實質上平坦的層,如圖16G中所描繪。Figure 16G illustrates the structure of Figure 16F following the filling of the sacrificial material. Sacrificial material 1644 is formed in trenches 1642 and over spacers 1638 and metal lines 1636 . In one embodiment, the sacrificial material 1644 is formed in a spin-on process, leaving a substantially flat layer, as depicted in Figure 16G.

圖16H闡明接續於一種用以再曝光硬遮罩層1634、用以移除電介質間隔物1638、及用以移除金屬線1636之突出部分1636A的平坦化製程後之圖16G的結構。此外,平坦化製程係將犧牲材料1644侷限至電介質層1632中所形成的溝槽1642。於一實施例中,平坦化製程係使用化學機械拋光(CMP)製程來履行。16H illustrates the structure of FIG. 16G following a planarization process to re-expose hard mask layer 1634, to remove dielectric spacers 1638, and to remove protrusions 1636A of metal lines 1636. FIG. In addition, the planarization process confines the sacrificial material 1644 to the trenches 1642 formed in the dielectric layer 1632. In one embodiment, the planarization process is performed using a chemical mechanical polishing (CMP) process.

圖16I闡明接續於犧牲材料移除後之圖16H的結構。於一實施例中,犧牲材料1644係使用濕式蝕刻或乾式蝕刻製程而被移除自溝槽1642。Figure 16I illustrates the structure of Figure 16H following removal of the sacrificial material. In one embodiment, sacrificial material 1644 is removed from trench 1642 using a wet etch or dry etch process.

圖16J闡明接續於非共形電介質蓋層1646(其可被稱為電介質盔)之沈積後的圖16I之結構。於一實施例中,非共形電介質蓋層1646係使用物理氣相沈積(PVD)或化學氣相沈積(CVD)製程(諸如電漿加強CVD(PECVD)製程)而被形成。非共形電介質蓋層1646可為與非共形電介質蓋層1610關聯之如上所述者。Figure 16J illustrates the structure of Figure 16I following the deposition of a non-conformal dielectric cap layer 1646 (which may be referred to as a dielectric helmet). In one embodiment, the non-conformal dielectric cap layer 1646 is formed using a physical vapor deposition (PVD) or chemical vapor deposition (CVD) process, such as a plasma enhanced CVD (PECVD) process. The non-conformal dielectric cap layer 1646 may be as described above in association with the non-conformal dielectric cap layer 1610 .

圖16K闡明接續於犧牲蓋層之沈積後的圖16J之結構。犧牲蓋層1648被形成於非共形電介質蓋層1646之上表面上,並可被實施以於後續的蝕刻或CMP製程期間保護非共形電介質蓋層1646。於一實施例中,犧牲蓋層1648為藉由(例如)PVD或CVD處理所形成的氮化鈦(TiN)層。Figure 16K illustrates the structure of Figure 16J following deposition of a sacrificial cap layer. A sacrificial cap layer 1648 is formed on the upper surface of the non-conformal dielectric cap layer 1646 and can be implemented to protect the non-conformal dielectric cap layer 1646 during subsequent etching or CMP processes. In one embodiment, the sacrificial cap layer 1648 is a titanium nitride (TiN) layer formed by, for example, PVD or CVD processing.

圖16L闡明接續於通孔微影及蝕刻處理後的圖16K之結構。溝槽1638之選定者被暴露並接受蝕刻製程,其係在位置1650處斷開非共形電介質蓋層1646並延伸溝槽以提供通孔位置1652,如上所述。Figure 16L illustrates the structure of Figure 16K following the via lithography and etch process. Selected ones of trenches 1638 are exposed and subjected to an etch process that breaks non-conformal dielectric cap layer 1646 at locations 1650 and extends the trenches to provide via locations 1652, as described above.

圖16M闡明接續於第二金屬線製造後之圖16L的結構。於一實施例中,第二金屬線1654(以及於某些情況下,相關的導電通孔1656)係藉由履行金屬填充及拋光製程而被形成。拋光製程可為CMP製程,其進一步移除犧牲蓋層1648。Figure 16M illustrates the structure of Figure 16L following the fabrication of the second metal line. In one embodiment, the second metal lines 1654 (and in some cases, the associated conductive vias 1656 ) are formed by performing a metal fill and polish process. The polishing process may be a CMP process, which further removes the sacrificial cap layer 1648 .

圖16N闡明接續於定向自聚合(DSA)或選擇性生長(例如)以提供第一和第二交替佔位材料1658和1660(或可為永久材料,如配合圖16D所述者)後之圖16M的結構。FIG. 16N illustrates a diagram following directed self-polymerization (DSA) or selective growth, for example, to provide first and second alternating placeholder materials 1658 and 1660 (or may be permanent materials, as described in conjunction with FIG. 16D ) 16M structure.

圖16O闡明接續於個別地以永久第一和第二硬遮罩層1662和1664替換第一和第二交替佔位材料1658和1660後的圖16N之結構。圖16N及16O之處理可配合圖16D而被描述。16O illustrates the structure of FIG. 16N following the replacement of first and second alternating placeholder materials 1658 and 1660 with permanent first and second hard mask layers 1662 and 1664, respectively. The processing of Figures 16N and 16O may be described in conjunction with Figure 16D.

圖16P闡明接續於下一層通孔圖案化後之圖16O的結構。上ILD層1666被形成於第一和第二硬遮罩層1662和1664之上。開口1668被形成於上ILD層1666中。於一實施例中,開口1668被形成為比通孔特徵大小更寬。已暴露的第一和第二硬遮罩層1662和1664位置之選定一者被選擇以供選擇性移除,例如,藉由選擇性蝕刻製程。於此情況下,第一硬遮罩1662區被移除,其係對於第二硬遮罩層1664之暴露部分有選擇性的。導電通孔1670被接著形成於開口1668中以及於其中第一硬遮罩1662區已被移除之區中。導電通孔1670係接觸第一金屬線1636之一。於一實施例中,導電通孔1670係接觸第一金屬線1636之一而不短路至相鄰的第二金屬線1654之一。於特定實施例中,導電通孔1670之部分1672被配置於第二硬遮罩層1664部分上而不接觸下方第二金屬線1654,如圖16P中所描繪。接著,於一實施例中,實現了增進的短路容限。Figure 16P illustrates the structure of Figure 16O following patterning of the next layer of vias. An upper ILD layer 1666 is formed over the first and second hard mask layers 1662 and 1664 . Openings 1668 are formed in the upper ILD layer 1666 . In one embodiment, the openings 1668 are formed wider than the via feature size. A selected one of the exposed first and second hard mask layer 1662 and 1664 locations is selected for selective removal, eg, by a selective etch process. In this case, the first hard mask 1662 region is removed, which is selective to the exposed portion of the second hard mask layer 1664. Conductive vias 1670 are then formed in openings 1668 and in the areas where the first hard mask 1662 area has been removed. The conductive via 1670 contacts one of the first metal lines 1636 . In one embodiment, the conductive via 1670 contacts one of the first metal lines 1636 without shorting to an adjacent one of the second metal lines 1654 . In certain embodiments, portions 1672 of conductive vias 1670 are disposed over portions of second hard mask layer 1664 without contacting underlying second metal lines 1654, as depicted in Figure 16P. Next, in one embodiment, improved short circuit tolerance is achieved.

於一實施例中,如以上之實施例所述,第一硬遮罩1662區被移除以供通孔1670製造。於此情況下,於選定的第一硬遮罩1662區之移除時形成開口係進一步需要蝕刻通過非共形電介質蓋層1646之最上部分。然而,於另一實施例中,第二硬遮罩1664區被移除以供通孔1670製造。於此情況下,於此一選定的第二硬遮罩1664區之移除時形成開口係直接地暴露了通孔1670所連接至之金屬線1654。In one embodiment, the first hard mask 1662 area is removed for via 1670 fabrication as described in the above embodiments. In this case, forming the opening upon removal of the selected first hardmask 1662 region further requires etching through the uppermost portion of the non-conformal dielectric cap layer 1646. However, in another embodiment, the second hard mask 1664 area is removed for via 1670 fabrication. In this case, forming the opening upon removal of a selected second hard mask 1664 region directly exposes the metal line 1654 to which the via 1670 is connected.

於第二更詳細的範例製程流中,其涉及通孔蝕刻第一方式,圖17A-17J闡明積體電路層之部分的橫斷面視圖,其表示另一種涉及用於後段製程(BEOL)互連製造之電介質盔形成的方法中之各個操作,依據本發明之實施例。In a second, more detailed example process flow, which involves the first method of via etching, FIGS. 17A-17J illustrate cross-sectional views of portions of integrated circuit layers that represent another method involved in back-end-of-line (BEOL) interconnection. Various operations in the method of forming a dielectric helmet of manufacture are in accordance with embodiments of the present invention.

參考圖17A,開始點結構1700被提供(接續於第一金屬通過處理後)為用以製造新金屬化層之開始點。開始點結構1700包括硬遮罩層1704(例如,氮化矽),其係配置於層間電介質(ILD)層1702上。如以下所述,ILD層可被配置於基底上方,而(於一實施例中)被配置於下方金屬化層之上。第一金屬線1706(及,於某些情況下,相應的導電通孔1707)被形成於ILD層1702中。金屬線1706之突出部分1706A具有相鄰的電介質間隔物1708。犧牲硬遮罩層1710(例如,非晶矽)被包括於相鄰的電介質間隔物1708之間。雖未描繪,於一實施例中,金屬線1706係藉由首先移除介於電介質間隔物1708之間的第二犧牲硬遮罩材料及接著蝕刻硬遮罩層1704和ILD層1702(以形成其將於金屬化製程中被填充之溝槽)而被形成。Referring to Figure 17A, a starting point structure 1700 is provided (following the first metallization through processing) as a starting point for fabricating a new metallization layer. The starting point structure 1700 includes a hard mask layer 1704 (eg, silicon nitride) disposed on an interlayer dielectric (ILD) layer 1702 . As described below, the ILD layer may be disposed over the substrate and (in one embodiment) over the underlying metallization layer. First metal lines 1706 (and, in some cases, corresponding conductive vias 1707 ) are formed in the ILD layer 1702 . The protruding portion 1706A of the metal line 1706 has an adjacent dielectric spacer 1708 . A sacrificial hard mask layer 1710 (eg, amorphous silicon) is included between adjacent dielectric spacers 1708 . Although not depicted, in one embodiment, the metal lines 1706 are formed by first removing the second sacrificial hardmask material between the dielectric spacers 1708 and then etching the hardmask layer 1704 and the ILD layer 1702 ( It will be formed from the filled trenches during the metallization process.

圖17B闡明接續於第二通過金屬處理直到包括溝槽和通孔位置蝕刻後之圖17A的結構。參考圖17B,犧牲硬遮罩層1710被移除以暴露硬遮罩層1704。硬遮罩層1704之暴露部分被移除且溝槽1712被形成於ILD層1702中。此外,於一實施例中,通孔位置1722係使用通孔微影及蝕刻製程而被形成於選定位置中,如圖17B中所描繪。FIG. 17B illustrates the structure of FIG. 17A following the second etch through metallization until the locations including trenches and vias are etched. Referring to FIG. 17B , the sacrificial hard mask layer 1710 is removed to expose the hard mask layer 1704 . Exposed portions of hard mask layer 1704 are removed and trenches 1712 are formed in ILD layer 1702 . Furthermore, in one embodiment, via locations 1722 are formed in selected locations using via lithography and etching processes, as depicted in Figure 17B.

圖17C闡明接續於犧牲材料填充後之圖17B的結構。犧牲材料1714被形成於溝槽1712中以及於間隔物1708和金屬線1706之上。於一實施例中,犧牲材料1714被形成於旋塗式製程中,留下實質上平坦的層,如圖17C中所描繪。Figure 17C illustrates the structure of Figure 17B following the filling of the sacrificial material. Sacrificial material 1714 is formed in trenches 1712 and over spacers 1708 and metal lines 1706 . In one embodiment, the sacrificial material 1714 is formed in a spin-on process, leaving a substantially flat layer, as depicted in Figure 17C.

圖17D闡明接續於一種用以再曝光硬遮罩層1704、用以移除電介質間隔物1708、及用以移除金屬線1706之突出部分1706A的平坦化製程後之圖17C的結構。此外,平坦化製程係將犧牲材料1714侷限至電介質層1702中所形成的溝槽1712。於一實施例中,平坦化製程係使用化學機械拋光(CMP)製程來履行。17D illustrates the structure of FIG. 17C following a planarization process to re-expose the hard mask layer 1704, to remove the dielectric spacers 1708, and to remove the protrusions 1706A of the metal lines 1706. In addition, the planarization process confines the sacrificial material 1714 to the trenches 1712 formed in the dielectric layer 1702 . In one embodiment, the planarization process is performed using a chemical mechanical polishing (CMP) process.

圖17E闡明接續於犧牲材料1714之部分移除以提供凹陷犧牲材料1715後的圖17D之結構。於一實施例中,犧牲材料1714係使用濕式蝕刻或乾式蝕刻製程而被凹陷於溝槽1712內。凹陷犧牲材料1715可被留存於此時點以保護通孔位置1722下方之金屬層。17E illustrates the structure of FIG. 17D following partial removal of sacrificial material 1714 to provide recessed sacrificial material 1715. FIG. In one embodiment, the sacrificial material 1714 is recessed within the trenches 1712 using a wet etch or dry etch process. Recessed sacrificial material 1715 may be left at this point to protect the metal layer below via location 1722.

圖17F闡明接續於非共形電介質蓋層1716(其可被稱為電介質盔)之沈積後的圖17E之結構。於一實施例中,非共形電介質蓋層1716係使用物理氣相沈積(PVD)、選擇性生長製程、或化學氣相沈積(CVD)製程(諸如電漿加強CVD(PECVD)製程)而被形成。非共形電介質蓋層1716可為與非共形電介質蓋層1710關聯之如上所述者。替代地,非共形電介質蓋層1716可僅包括上部分1716A,其基本上不具有非共形電介質蓋層1716之部分被形成於溝槽1712中,如圖17F中所描繪。17F illustrates the structure of FIG. 17E following the deposition of a non-conformal dielectric cap layer 1716 (which may be referred to as a dielectric helmet). In one embodiment, the non-conformal dielectric cap layer 1716 is formed using a physical vapor deposition (PVD), selective growth process, or a chemical vapor deposition (CVD) process such as a plasma enhanced CVD (PECVD) process. form. The non-conformal dielectric cap layer 1716 may be as described above in association with the non-conformal dielectric cap layer 1710 . Alternatively, the non-conformal dielectric capping layer 1716 may include only the upper portion 1716A substantially free of the portion of the non-conformal dielectric capping layer 1716 formed in the trench 1712, as depicted in Figure 17F.

圖17G闡明接續於第二金屬線製造後之圖17F的結構。於一實施例中,第二金屬線1724(以及於某些情況下,相關的導電通孔1726)係藉由履行金屬填充及拋光製程(接續於凹陷犧牲材料1715之移除後)而被形成。拋光製程可為CMP製程。Figure 17G illustrates the structure of Figure 17F following the fabrication of the second metal line. In one embodiment, the second metal lines 1724 (and in some cases, the associated conductive vias 1726 ) are formed by performing a metal fill and polish process (followed by the removal of the recessed sacrificial material 1715 ) . The polishing process may be a CMP process.

圖17H闡明接續於定向自聚合(DSA)或選擇性生長(例如)以提供第一和第二交替佔位材料1728和1730(或可為永久材料,如配合圖16D所述者)後之圖17G的結構。FIG. 17H illustrates a diagram following directed self-polymerization (DSA) or selective growth, for example, to provide first and second alternating placeholder materials 1728 and 1730 (or may be permanent materials, as described in conjunction with FIG. 16D ) 17G structure.

圖17I闡明接續於個別地以永久第一和第二硬遮罩層1732和1734替換第一和第二交替佔位材料1728和1730後的圖17H之結構。圖17H及3I之處理可配合圖16D而被描述。17I illustrates the structure of FIG. 17H following the replacement of first and second alternating placeholder materials 1728 and 1730 with permanent first and second hard mask layers 1732 and 1734, respectively. The processing of Figures 17H and 3I may be described in conjunction with Figure 16D.

圖17J闡明接續於下一層通孔圖案化後之圖17I的結構。上ILD層1736被形成於第一和第二硬遮罩層1732和1734之上。開口1738被形成於上ILD層1736中。於一實施例中,開口1738被形成為比通孔特徵大小更寬。已暴露的第一和第二硬遮罩層1732和1734位置之選定一者被選擇以供選擇性移除,例如,藉由選擇性蝕刻製程。於此情況下,第一硬遮罩1732區被移除,其係對於第二硬遮罩層1734之暴露部分有選擇性的。導電通孔1740被接著形成於開口1738中以及於其中第一硬遮罩1732區已被移除之區中。導電通孔1740係接觸第一金屬線1706之一。於一實施例中,導電通孔1740係接觸第一金屬線1706之一而不短路至相鄰的第二金屬線1724之一。於特定實施例中,導電通孔1740之部分1742被配置於第二硬遮罩層1734部分上而不接觸下方第二金屬線1724,如圖17J中所描繪。接著,於一實施例中,實現了增進的短路容限。Figure 17J illustrates the structure of Figure 17I following patterning of the next layer of vias. An upper ILD layer 1736 is formed over the first and second hard mask layers 1732 and 1734 . Openings 1738 are formed in the upper ILD layer 1736 . In one embodiment, the openings 1738 are formed wider than the via feature size. A selected one of the exposed first and second hard mask layer 1732 and 1734 locations is selected for selective removal, eg, by a selective etch process. In this case, the region of the first hard mask 1732 is removed, which is selective to the exposed portion of the second hard mask layer 1734 . Conductive vias 1740 are then formed in openings 1738 and in the areas where the first hard mask 1732 area has been removed. The conductive via 1740 contacts one of the first metal lines 1706 . In one embodiment, the conductive via 1740 contacts one of the first metal lines 1706 without shorting to one of the adjacent second metal lines 1724 . In certain embodiments, portions 1742 of conductive vias 1740 are disposed over portions of second hard mask layer 1734 without contacting underlying second metal lines 1724, as depicted in Figure 17J. Next, in one embodiment, improved short circuit tolerance is achieved.

於一實施例中,如以上之實施例所述,第一硬遮罩1732區被移除以供通孔1740製造。於此情況下,於選定的第一硬遮罩1732區之移除時形成開口係進一步需要蝕刻通過非共形電介質蓋層1716之最上部分。然而,於另一實施例中,第二硬遮罩1734區被移除以供通孔1740製造。於此情況下,於此一選定的第二硬遮罩1734區之移除時形成開口係直接地暴露了通孔1740所連接至之金屬線1724。In one embodiment, the first hard mask 1732 area is removed for via 1740 fabrication, as described in the above embodiments. In this case, forming the opening upon removal of the selected first hardmask 1732 region further requires etching through the uppermost portion of the non-conformal dielectric cap layer 1716. However, in another embodiment, the second hard mask 1734 area is removed for through-hole 1740 fabrication. In this case, forming openings upon removal of a selected second hard mask 1734 region directly exposes the metal lines 1724 to which the vias 1740 are connected.

再次參考圖16P及17J,藉由橫斷面分析,電介質盔可被觀看於一半金屬總數之上。此外,不同材料之硬遮罩被自對準至電介質盔。此等結構可包括導電通孔之一或更多者,其具有增進的短路容限、交替的硬遮罩材料、電介質盔的存在。所得結構(諸如與圖16P或17J關聯所述者)可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖16P或17J之結構可代表積體電路中之最後金屬互連層。應理解其上述製程操作可被施行以替代的順序,不是每一操作均需被執行及/或額外的製程操作可被執行。Referring again to Figures 16P and 17J, by cross-sectional analysis, the dielectric helmet can be viewed over half of the total metal population. In addition, hard masks of different materials are self-aligned to the dielectric helmet. Such structures may include one or more of conductive vias with improved short circuit tolerance, the presence of alternating hard mask materials, dielectric helmets. The resulting structures, such as those described in connection with Figures 16P or 17J, can then be used as the basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the structures of Figures 16P or 17J may represent the last metal interconnect layer in an integrated circuit. It should be understood that the above-described process operations may be performed in alternate orders, not every operation needs to be performed and/or additional process operations may be performed.

依據本發明之實施例,用於通孔及插塞之圖案累積層被描述。文中所述之一或更多實施例係有關針對通孔關鍵尺寸(CD)控制之製程方案。實施例可包括有關於通孔CD控制、通孔CD均勻度、邊緣布局誤差(EPE)、通孔自對準之增進。實施例可增進通孔之半導體圖案化中的邊緣布局誤差(EPE)並可致能多數通孔微影通過的自對準。於一實施例中,所有通孔邊緣被界定以光柵以取代標準抗蝕劑邊緣。犧牲光柵被產生在通孔抗蝕劑底下,於如金屬通孔所座落的相同方向。通孔係利用標準光抗蝕劑而被圖案化。然而,於透過犧牲光柵及自對準通孔(SAV)金屬光柵之光柵(例如,兩交叉光柵)的後續蝕刻期間,所有通孔邊緣係由該些光柵所界定。於一實施例中,並無來自通孔抗蝕劑邊緣之變化性被轉移入基底,且所得的製程能力係致能通孔CD之較佳控制並增進產量及製程能力。In accordance with embodiments of the present invention, pattern buildup layers for vias and plugs are described. One or more of the embodiments described herein relate to process solutions for via critical dimension (CD) control. Embodiments may include improvements related to via CD control, via CD uniformity, edge layout error (EPE), via self-alignment. Embodiments can improve edge layout error (EPE) in semiconductor patterning of vias and enable self-alignment of most via lithography passes. In one embodiment, all via edges are defined with gratings instead of standard resist edges. The sacrificial grating is created under the via resist, in the same direction as the metal vias sit. The vias are patterned using standard photoresists. However, during subsequent etching of gratings (eg, two cross gratings) through sacrificial gratings and self-aligned via (SAV) metal gratings, all via edges are defined by these gratings. In one embodiment, no variability from via resist edges is transferred into the substrate, and the resulting process capability enables better control of via CD and improved throughput and process capability.

為了提供針對下述實施例之背景,目前已知的之解決方式係涉及使用抗蝕劑邊緣以界定通孔邊緣,其係判定針對下方金屬之短路容限。然而,標準通孔抗蝕劑圖案化已知為具有比光柵圖案化更高得多的邊緣布局誤差。反之,依據文中所述之實施例,藉由使用犧牲光柵來界定通孔邊緣係提供了對通孔邊緣之更增進的控制,且短路至錯誤金屬之風險被顯著地改善。To provide context for the following embodiments, currently known solutions involve the use of resist edges to define via edges, which determine short circuit tolerance to the underlying metal. However, standard via resist patterning is known to have much higher edge layout errors than grating patterning. In contrast, according to the embodiments described herein, the use of sacrificial gratings to define the edges of the vias provides improved control over the edges of the vias, and the risk of shorting to the wrong metal is significantly improved.

依據文中所述之實施例,圖案累積流程係針對具有堆疊中之犧牲光柵以界定通孔邊緣後蝕刻的多數通孔圖案而被描述。「篩」堆疊係藉由將硬遮罩塗佈於圖案化的上金屬(M1)層間電介質層(已存在有插塞)上而建立。硬遮罩將晶圓平坦化以供後續處理。所形成的下一層可被使用為蝕刻停止,接續以累積層之形成。於此階段,光柵可被產生以下方的下金屬(M0)層之節距的兩倍並以如M0光柵之相同方向。此光柵有效地阻擋底下之每間隔的M0線並最終地界定通孔後蝕刻之關鍵尺寸(CD)。於一實施例中,因為光柵為下方M0之節距的兩倍,所以介於通孔之間的硬遮罩之實質量(+/-20nm)被包括以容許上覆抗蝕劑特徵之邊緣布局誤差(EPE)。In accordance with embodiments described herein, a pattern accumulation flow is described for a post-etched majority via pattern with sacrificial gratings in a stack to define via edges. The "screen" stack is created by applying a hard mask over the patterned upper metal (M1) interlayer dielectric layer (where the plugs already exist). The hard mask flattens the wafer for subsequent processing. The next layer formed can be used as an etch stop, followed by the formation of a buildup layer. At this stage, the grating can be produced at twice the pitch of the underlying lower metal (M0) layer and in the same direction as the M0 grating. This grating effectively blocks the underlying M0 line at every interval and ultimately defines the critical dimension (CD) of the via post etch. In one embodiment, since the grating is twice the pitch of the underlying M0, a substantial mass (+/- 20nm) of the hardmask between the vias is included to allow the edges of the overlying resist features Layout Error (EPE).

接下來,多數通孔遮罩圖案係透過光柵而被累積且係於累積層中。在累積之後,光柵被反轉而無須額外的微影操作以曝光其他的下金屬(M0)線並保護其已產生的通孔。襯裡被加入於光柵之間以確保相鄰M0線上之通孔不會合併。介於通孔之間的間隔可被調變以該襯裡的厚度。Next, most of the via mask patterns are accumulated through the grating and in the accumulation layer. After accumulation, the grating is inverted without additional lithography operations to expose the other lower metal (M0) lines and protect the vias they have created. Liners are added between the gratings to ensure that vias on adjacent M0 lines do not merge. The spacing between the vias can be adjusted to the thickness of the liner.

最後,來自一至數個通孔遮罩之通孔圖案可透過已反轉光柵而被累積以完成所有已描繪通孔之累積中的圖案化。光柵被接著移除且累積層中之累積的通孔圖案被向下蝕刻通過上金屬(M1)硬遮罩光柵而進入M1線底下之層間電介質且至底下的M0。M1光柵上方的堆疊及上覆硬遮罩層被移除。之後,溝槽及通孔被金屬化並接著拋光。其結果是在兩方向上之已形成通孔的極良好的CD控制,以及所有通孔針對彼此的自對準。Finally, via patterns from one to several via masks can be accumulated through the inverted grating to complete the patterning in the accumulation of all the depicted vias. The grating is then removed and the accumulated via pattern in the accumulation layer is etched down through the upper metal (Ml) hard mask grating into the interlayer dielectric under the Ml line and to the underlying M0. The stack above the M1 grating and the overlying hardmask layer are removed. Afterwards, the trenches and vias are metallized and then polished. The result is very good CD control of the formed vias in both directions, and self-alignment of all vias with respect to each other.

接著,於一形態中,文中所述之一或更多實施例係有關一種方式,其係利用下方金屬光柵結構(或正交的此類結構之一部分)為用以建立上覆導電通孔之模板。於範例處理方案中,圖18A-18W闡明平面視圖(圖形之上部分)及相應的斜角(圖形之中間部分)和橫斷面視圖(圖形之下部分),其表示一種用於後段製程(BEOL)互連之金屬通孔處理方案中的各個操作,依據本發明之實施例。Next, in one form, one or more of the embodiments described herein relate to an approach that utilizes an underlying metal grating structure (or an orthogonal portion of such a structure) for creating overlying conductive vias template. In an example processing scheme, Figures 18A-18W illustrate plan views (upper portion of the pattern) and corresponding oblique (middle portion of the pattern) and cross-sectional views (lower portion of the pattern), which represent a method for back-end-of-process ( Various operations in a metal via processing scheme for BEOL) interconnects, in accordance with embodiments of the present invention.

參考圖18A,開始點結構1800被提供為用以製造新金屬化層之開始點。開始點結構1800包括交替的金屬線1802與電介質線1804之陣列。金屬線1802具有上表面,其係約略地與電介質線1804之上表面為共面的。蝕刻停止層1806被接著形成於開始結構1800上,如圖18B中所描繪。Referring to Figure 18A, a starting point structure 1800 is provided as a starting point for fabricating a new metallization layer. The starting point structure 1800 includes an array of alternating metal lines 1802 and dielectric lines 1804 . Metal line 1802 has an upper surface that is approximately coplanar with the upper surface of dielectric line 1804 . An etch stop layer 1806 is then formed on the starting structure 1800, as depicted in Figure 18B.

參考圖18C,層間電介質層1808被形成於圖18B之結構上。圖案化硬遮罩1810被接著形成於圖18C之結構上,且圖案化硬遮罩1810之圖案被部分地轉移入層間電介質層1808以形成圖案化的層間電介質層1812(其具有金屬線區1814形成於其中),如圖18D中所描繪。於一實施例中,圖案化硬遮罩1810具有光柵類型圖案,如圖中所描繪者。於特定實施例中,圖案化硬遮罩1810係由氮化鈦(TiN)所組成。Referring to Figure 18C, an interlayer dielectric layer 1808 is formed on the structure of Figure 18B. A patterned hard mask 1810 is then formed over the structure of FIG. 18C, and the pattern of the patterned hard mask 1810 is partially transferred into the interlayer dielectric layer 1808 to form a patterned interlayer dielectric layer 1812 (with metal line regions 1814) formed therein), as depicted in Figure 18D. In one embodiment, the patterned hardmask 1810 has a grating type pattern, as depicted in the figure. In certain embodiments, the patterned hard mask 1810 is composed of titanium nitride (TiN).

參考圖18E,硬遮罩層1816被形成於圖18D之結構上。於一實施例中,硬遮罩層1816之底部表面與圖18D之結構的形貌是共形的,而硬遮罩層1816之上表面被平坦化。於特定實施例中,硬遮罩層1816為碳硬遮罩(CHM)層。蝕刻停止層1818被接著形成於圖18E之結構上,如圖18F中所描繪。於特定實施例中,蝕刻停止層1818係由氧化矽(SiOx或SiO2 )所組成。Referring to Figure 18E, a hard mask layer 1816 is formed on the structure of Figure 18D. In one embodiment, the bottom surface of the hard mask layer 1816 is conformal to the topography of the structure of FIG. 18D , and the top surface of the hard mask layer 1816 is planarized. In certain embodiments, the hard mask layer 1816 is a carbon hard mask (CHM) layer. An etch stop layer 1818 is then formed over the structure of Figure 18E, as depicted in Figure 18F. In certain embodiments, the etch stop layer 1818 is composed of silicon oxide (SiOx or SiO2 ).

參考圖18G,圖案累積層1820被接著形成於圖18F之結構上。於一實施例中,圖案累積層1820為一種層,其中多於一圖案將最終地累積(例如)以供最後通孔圖案化。於特定實施例中,圖案累積罩1820係由非晶矽(a-Si)所組成。圖案化硬遮罩1822被接著形成於圖18G之結構上,如圖18H中所描繪。於一實施例中,圖案化硬遮罩1822具有光柵類型圖案,如圖中所描繪者。於一此類實施例中,光柵類型圖案係正交於圖案化硬遮罩1810之光柵且平行於金屬線1802之光柵。然而,於一實施例中,從由上而下的觀點,圖案化硬遮罩1822僅暴露每相隔的金屬線1802(例如,金屬線1802(A))並阻擋交替的金屬線1802(例如,金屬線1802(B)),如圖18H中所描繪。於特定實施例中,圖案化硬遮罩1822係由氮化矽(SiN)所組成。Referring to Figure 18G, a pattern accumulation layer 1820 is then formed on the structure of Figure 18F. In one embodiment, the pattern accumulation layer 1820 is a layer in which more than one pattern will eventually be accumulated, eg, for via last patterning. In certain embodiments, the pattern accumulation cap 1820 is composed of amorphous silicon (a-Si). A patterned hardmask 1822 is then formed on the structure of Figure 18G, as depicted in Figure 18H. In one embodiment, the patterned hardmask 1822 has a grating type pattern, as depicted in the figure. In one such embodiment, the grating type pattern is orthogonal to the grating of patterned hardmask 1810 and parallel to the grating of metal lines 1802 . However, in one embodiment, from a top-down perspective, the patterned hardmask 1822 only exposes every spaced metal line 1802 (eg, metal line 1802(A)) and blocks alternate metal lines 1802 (eg, Metal line 1802(B)), as depicted in Figure 18H. In certain embodiments, the patterned hard mask 1822 is composed of silicon nitride (SiN).

參考圖18I,硬遮罩1824被接著形成於圖18H之結構上。於特定實施例中,硬遮罩1824為碳硬遮罩(CHM)。硬遮罩1824被接著圖案化(例如,藉由使用單或多層抗蝕劑結構之微影製程)且該圖案被轉移入其由圖案化硬遮罩1822所暴露之圖案累積層1820的部分以形成一次圖案化記憶體層1826,如圖18J中所描繪。於一實施例中,圖案係藉由一種使用蝕刻停止層1818為終止點之蝕刻製程而被轉移入圖案累積層1820之部分。於一實施例中,在形成一次圖案化記憶體層1826後,硬遮罩1824被移除,如亦於圖18J中所描繪。應理解:該製程可被重複於數個不同的遮蔽操作。Referring to Figure 18I, a hard mask 1824 is then formed over the structure of Figure 18H. In certain embodiments, hard mask 1824 is a carbon hard mask (CHM). The hard mask 1824 is then patterned (eg, by a lithography process using a single or multi-layer resist structure) and the pattern is transferred into the portion of the pattern build-up layer 1820 that is exposed by the patterned hard mask 1822 to A patterned memory layer 1826 is formed once, as depicted in Figure 18J. In one embodiment, the pattern is transferred into the portion of the pattern accumulation layer 1820 by an etch process that uses the etch stop layer 1818 as a termination point. In one embodiment, after forming the patterned memory layer 1826 once, the hard mask 1824 is removed, as also depicted in Figure 18J. It should be understood that this process can be repeated for several different masking operations.

參考圖18K,阻擋線1828接著係藉由以阻擋材料層填充圖18J之結構的圖案化硬遮罩1822中之開口而被形成。於特定實施例中,阻擋材料層為一種可流動氧化矽材料。於其他實施例中,阻擋材料層為數個其他適當材料之任一者。圖案化硬遮罩1822被接著移除自圖18K之結構以使得阻擋線1828餘留,如圖18L中所描繪。Referring to Figure 18K, barrier lines 1828 are then formed by filling the openings in the patterned hardmask 1822 of the structure of Figure 18J with a layer of barrier material. In certain embodiments, the barrier material layer is a flowable silicon oxide material. In other embodiments, the barrier material layer is any of several other suitable materials. The patterned hard mask 1822 is then removed from the structure of FIG. 18K so that the barrier lines 1828 remain, as depicted in FIG. 18L.

參考圖18M,絕緣間隔物形成材料層1830被接著形成於圖18L之結構上,其係與阻擋線1828共形。於一實施例中,絕緣間隔物形成材料層1830係由電介質材料所組成。於一實施例中,間隔物形成材料層1830係由氧化矽(SiOx或SiO2 )所組成。間隔物形成材料層1830被接著圖案化以形成鄰接阻擋線1828之側壁的間隔物1832,如圖18N中所描繪。於一實施例中,間隔物形成材料層1830係使用各向異性乾式蝕刻製程而被圖案化以形成間隔物1832。Referring to FIG. 18M , a layer of insulating spacer-forming material 1830 is then formed over the structure of FIG. 18L , which is conformal to barrier lines 1828 . In one embodiment, the insulating spacer forming material layer 1830 is composed of a dielectric material. In one embodiment, the spacer forming material layer 1830 is composed of silicon oxide (SiOx or SiO2 ). A layer of spacer-forming material 1830 is then patterned to form spacers 1832 adjoining the sidewalls of the barrier lines 1828, as depicted in Figure 18N. In one embodiment, the spacer-forming material layer 1830 is patterned to form the spacers 1832 using an anisotropic dry etch process.

參考圖18O,阻擋線1828、間隔物1832、以及在形成間隔物1832後所形成之圖案化遮罩的保護區之集合圖案被接著轉移入一次圖案化記憶體層1826以形成二次圖案化記憶體層1834。於一實施例中,圖案係藉由一種使用蝕刻停止層1818為終止點之蝕刻製程而被轉移入一次圖案化記憶體層1826。阻擋線1828、間隔物1832、及圖18O之結構的任何額外遮罩材料被接著移除以暴露二次圖案化記憶體層1834,如圖18P中所描繪。Referring to FIG. 18O, the collective pattern of barrier lines 1828, spacers 1832, and protected areas of the patterned mask formed after the spacers 1832 are formed is then transferred into the primary patterned memory layer 1826 to form a secondary patterned memory layer 1834. In one embodiment, the pattern is transferred into the primary patterned memory layer 1826 by an etch process that uses the etch stop layer 1818 as a termination point. Barrier lines 1828, spacers 1832, and any additional masking material for the structure of Figure 18O are then removed to expose the secondary patterned memory layer 1834, as depicted in Figure 18P.

參考圖18Q,圖18P之結構的二次圖案化記憶體層1834之圖案被接著轉移至蝕刻停止層1818以形成圖案化蝕刻停止層1836並暴露硬遮罩層1816之部分。於一實施例中,二次圖案化記憶體層1834之圖案係使用乾式蝕刻製程而被轉移至蝕刻停止層1818。圖18Q之結構的二次圖案化記憶體層1834被接著移除,如圖18R中所描繪。Referring to FIG. 18Q, the pattern of the secondary patterned memory layer 1834 of the structure of FIG. 18P is then transferred to the etch stop layer 1818 to form a patterned etch stop layer 1836 and expose portions of the hard mask layer 1816. In one embodiment, the pattern of the secondary patterned memory layer 1834 is transferred to the etch stop layer 1818 using a dry etch process. The secondary patterned memory layer 1834 of the structure of Figure 18Q is then removed, as depicted in Figure 18R.

參考圖18S,圖18R之結構的圖案化蝕刻停止層1836之圖案被接著轉移入硬遮罩層1816以形成圖案化硬遮罩層1838。圖案化硬遮罩層1838係暴露圖案化層間電介質層1812之線區1814的部分以及圖案化硬遮罩1810的部分。亦即,雖然圖案化硬遮罩層1838係暴露比圖案化層間電介質層1812之線區1814更寬的區域,但圖案化硬遮罩1810係保護線區1814外部之圖案化層間電介質層1812的「已暴露」區。圖18S之結構的圖案化硬遮罩層1838之圖案被接著轉移至圖案化層間電介質層1812以形成二次圖案化層間電介質層1840並暴露蝕刻停止層1806,如圖18T中所描繪。然而,於一實施例中,圖案化硬遮罩1810係禁止總轉移圖案,如亦於圖18T中所描繪。於一實施例中,圖案化硬遮罩層1838之圖案係藉由一種使用蝕刻停止層1806為終止點之蝕刻製程而被轉移至圖案化層間電介質層1812。Referring to FIG. 18S , the pattern of the patterned etch stop layer 1836 of the structure of FIG. 18R is then transferred into the hard mask layer 1816 to form a patterned hard mask layer 1838 . The patterned hard mask layer 1838 exposes portions of the line regions 1814 of the patterned interlayer dielectric layer 1812 and portions of the patterned hard mask 1810 . That is, although the patterned hardmask layer 1838 exposes a wider area than the line regions 1814 of the patterned interlayer dielectric layer 1812 , the patterned hardmask 1810 protects the patterned interlayer dielectric layer 1812 outside the line regions 1814 . "Exposed" area. The pattern of the patterned hardmask layer 1838 of the structure of Figure 18S is then transferred to the patterned interlayer dielectric layer 1812 to form a secondary patterned interlayer dielectric layer 1840 and expose the etch stop layer 1806, as depicted in Figure 18T. However, in one embodiment, the patterned hardmask 1810 inhibits the overall transfer pattern, as also depicted in Figure 18T. In one embodiment, the pattern of the patterned hard mask layer 1838 is transferred to the patterned interlayer dielectric layer 1812 by an etch process using the etch stop layer 1806 as a termination point.

參考圖18U,圖18T之結構的蝕刻停止層1806之暴露部分被移除以形成圖案化蝕刻停止層1842並暴露金屬線1802之通孔位置1844。圖18U之結構的圖案化蝕刻停止層1836、圖案化硬遮罩層1838、及圖案化硬遮罩1810被接著移除,如圖18V中所描繪。該移除係暴露金屬線1802之二次圖案化層間電介質層1840及通孔位置1844,以及上金屬線之位置1846。於一實施例中,圖案化蝕刻停止層1836、圖案化硬遮罩層1838、及圖案化硬遮罩1810係使用選擇性濕式蝕刻製程而被移除。Referring to FIG. 18U, the exposed portion of the etch stop layer 1806 of the structure of FIG. 18T is removed to form a patterned etch stop layer 1842 and expose the via locations 1844 of the metal lines 1802. The patterned etch stop layer 1836, the patterned hard mask layer 1838, and the patterned hard mask 1810 of the structure of Figure 18U are then removed, as depicted in Figure 18V. The removal exposes the secondary patterned interlayer dielectric layer 1840 and via locations 1844 of the metal lines 1802, and the locations 1846 of the upper metal lines. In one embodiment, the patterned etch stop layer 1836, the patterned hard mask layer 1838, and the patterned hard mask 1810 are removed using a selective wet etch process.

參考圖18W,上金屬化層被形成於圖18V之結構。特別地,金屬填充製程被履行以提供金屬通孔1848及金屬線1850。於一實施例中,金屬填充製程係使用金屬沈積及後續平坦化處理方案(諸如化學機械平坦化(CMP)製程)而被履行。於一實施例中,圖18W之形成結構的表面係實質上相同於圖18A之開始結構1800的表面(雖然係與其正交)。因此,於一實施例中,配合圖18B-18W所述之製程可被重複於圖18W之結構上以形成下一金屬化層,依此類推。Referring to FIG. 18W, an upper metallization layer is formed on the structure of FIG. 18V. In particular, a metal fill process is performed to provide metal vias 1848 and metal lines 1850 . In one embodiment, the metal filling process is performed using metal deposition and subsequent planarization processing schemes, such as a chemical mechanical planarization (CMP) process. In one embodiment, the surface of the forming structure of FIG. 18W is substantially the same as the surface of the starting structure 1800 of FIG. 18A (although orthogonal thereto). Thus, in one embodiment, the process described in conjunction with FIGS. 18B-18W may be repeated on the structure of FIG. 18W to form the next metallization layer, and so on.

所得結構(諸如與圖18W關聯所述者)可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖18W之結構可代表積體電路中之最後金屬互連層。應理解其上述製程操作可被施行以替代的順序,不是每一操作均需被執行及/或額外的製程操作可被執行。亦應理解:上述範例已集中在通孔/接點形成。然而,於其他實施例中,類似方式可被用以保留或形成針對金屬線層內之線端終端(插塞)的區。The resulting structures, such as those described in connection with Figure 18W, can then be used as the basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the structure of Figure 18W may represent the last metal interconnect layer in an integrated circuit. It should be understood that the above-described process operations may be performed in alternate orders, not every operation needs to be performed and/or additional process operations may be performed. It should also be understood that the above examples have focused on via/contact formation. However, in other embodiments, a similar approach may be used to retain or form regions for wire terminations (plugs) within the metal line layers.

依據本發明之實施例,柵格為基的通孔及插塞圖案化方式被描述。文中所述之一或更多實施例係有關於柵格自對準及超自對準金屬通孔處理方案。文中所述之實施例可被實施以提供針對金屬/通孔層之自對準方法。幾乎所有插塞及通孔幾何係藉由實施文中所述之方式而變為可能。此外,最後通孔關鍵尺寸(CD)可獨立自針對圖案化所實施之微影。再者,文中所述之方式可提供「循環流程」,由於製程流之末端具有如製程流之開端的相同或實質上相同的層堆疊及佈局。因此,一旦該製程流中之每一操作被完成,則該製程流可被重複如所需般多次以加入如所需般多的金屬/通孔層。於一或更多實施例中,介於垂直柵格之間的重疊被用以界定通孔及金屬線之布局。通孔之大小可由介於兩柵格之間的重疊區域來判定。In accordance with embodiments of the present invention, grid-based via and plug patterning is described. One or more of the embodiments described herein relate to grid self-aligned and super self-aligned metal via processing schemes. Embodiments described herein can be implemented to provide self-aligned methods for metal/via layers. Almost all plug and via geometries are possible by implementing the methods described herein. In addition, the final via critical dimension (CD) can be independent of the lithography performed for patterning. Furthermore, the approaches described herein can provide a "loop flow" in that the end of the process flow has the same or substantially the same layer stack-up and layout as the beginning of the process flow. Thus, once each operation in the process flow is completed, the process flow can be repeated as many times as desired to add as many metal/via layers as desired. In one or more embodiments, the overlap between vertical grids is used to define the layout of vias and metal lines. The size of the via can be determined by the overlapping area between the two grids.

為了提供針對以下所述之實施例的背景,如相較於針對通孔自對準之目前已知的方式,文中所述之方式可提供可用的幾乎任何插塞及通孔布局。文中所述之方式可能需要較少的選擇性蝕刻。文中所述之方式可提供其獨立於所利用的微影之最後插塞及通孔CD。接著,於一形態中,文中所述之一或更多實施例係有關一種方式,其係利用下方金屬光柵結構為用以建立上覆導電通孔之模板。應理解:類似方式可被實施以製造介於金屬(插塞)之間的非導電間隔或中斷。To provide context for the embodiments described below, the approaches described herein may provide nearly any plug and via layout available, as compared to currently known approaches for via self-alignment. The approach described herein may require less selective etching. The approach described herein can provide final plugs and vias CD which are independent of the lithography utilized. Next, in one form, one or more of the embodiments described herein relate to an approach that utilizes the underlying metal grating structure as a template for creating overlying conductive vias. It should be understood that a similar approach can be implemented to create non-conductive spaces or breaks between metals (plugs).

於範例處理方案中,圖19A-19L闡明平面視圖(圖形之上部分)及相應的斜角橫斷面視圖(圖形之下部分),其表示一種用於後段製程(BEOL)互連之柵格自對準金屬通孔處理方案中的各個操作,依據本發明之實施例。應理解:雖然實際上其並非如此,但不同的金屬化層被顯示為分離的(上與下)於斜角橫斷面視圖中,以利清晰瞭解。In an example processing scheme, Figures 19A-19L illustrate plan views (upper portion of the graph) and corresponding oblique cross-sectional views (lower portion of the graph) representing a grid for back-end-of-line (BEOL) interconnects Various operations in the SAM processing scheme are in accordance with embodiments of the present invention. It should be understood that the different metallization layers are shown separated (top and bottom) in an oblique cross-sectional view for clarity, although this is not the case in practice.

參考圖19A,開始點結構1900被提供為用以製造新金屬化層之開始點。開始點結構1900包括交替的金屬線1902與電介質線1904之陣列。金屬線1902被凹陷於電介質線1904之下。硬遮罩層1906被配置於金屬線1902之上,並與電介質線1904交替配置。於一實施例中,電介質線1904係由氮化矽(SiN)所組成,而硬遮罩層1906係由碳化矽(SiC)或氧化矽(SiO2 )所組成。下一圖案化層1908被接著製造於開始點結構1900之上,如圖19B中所描繪。於一實施例中,下一圖案化層1908包括蝕刻停止層1910、電介質層1912、及光柵結構1914。於一實施例中,蝕刻停止層1910係由氧化矽(SiO)所組成,電介質層1912係由氮化矽(SiN)所組成,而光柵結構1914係由氧化矽(SiO)所組成。於一實施例中,光柵結構1914係使用節距減半或節距減為四分之一方案(例如,藉由間隔物圖案化)而被形成。Referring to Figure 19A, a starting point structure 1900 is provided as a starting point for fabricating a new metallization layer. The starting point structure 1900 includes an array of alternating metal lines 1902 and dielectric lines 1904. Metal lines 1902 are recessed under dielectric lines 1904 . Hard mask layers 1906 are disposed over metal lines 1902 and alternate with dielectric lines 1904 . In one embodiment, the dielectric lines 1904 are composed of silicon nitride (SiN), and the hard mask layer 1906 is composed of silicon carbide (SiC) or silicon oxide (SiO 2 ). The next patterned layer 1908 is then fabricated over the starting point structure 1900, as depicted in Figure 19B. In one embodiment, the next patterned layer 1908 includes an etch stop layer 1910 , a dielectric layer 1912 , and a grating structure 1914 . In one embodiment, the etch stop layer 1910 is composed of silicon oxide (SiO), the dielectric layer 1912 is composed of silicon nitride (SiN), and the grating structure 1914 is composed of silicon oxide (SiO). In one embodiment, the grating structure 1914 is formed using a pitch halving or quartering scheme (eg, by spacer patterning).

參考圖19C,光柵結構1914之圖案被轉移至電介質層1912以形成圖案化電介質層1916。於一實施例中,光柵結構1914之圖案係使用一種利用蝕刻停止層1910為蝕刻製程之末端點的蝕刻製程而被轉移至電介質層1912。貫穿蝕刻被接著履行以移除蝕刻停止層1910之暴露部分來形成圖案化蝕刻停止層1918,如圖19D中所描繪。於一實施例中,貫穿蝕刻顯露其可潛在地被形成入結構1900中之所有可能的通孔位置1920。Referring to FIG. 19C , the pattern of the grating structure 1914 is transferred to the dielectric layer 1912 to form a patterned dielectric layer 1916 . In one embodiment, the pattern of grating structure 1914 is transferred to dielectric layer 1912 using an etch process that utilizes etch stop layer 1910 as the end point of the etch process. A through etch is then performed to remove exposed portions of etch stop layer 1910 to form patterned etch stop layer 1918, as depicted in Figure 19D. In one embodiment, the through-etch exposes all possible via locations 1920 that could potentially be formed into structure 1900.

參考圖19E,插塞圖案化接著係藉由形成圖案化硬遮罩1922於圖19D之結構上(在其中插塞所將被保留之位置中)而被履行。圖案化硬遮罩1922及光柵結構1914之聯合圖案被接著轉移入結構1900以形成結構1900’,其具有用於結構1900內之金屬線形成的區1924,如圖19F中所描繪。於一實施例中,圖案化硬遮罩1922及光柵結構1914之聯合圖案係使用蝕刻製程而被轉移入結構1900。此一蝕刻製程可以實質上相同的速率蝕刻層1904與1906兩者(或可被履行為數個蝕刻操作)並可接續以一用以移除圖案化硬遮罩1922之清除製程,如亦於圖19F中所描繪。Referring to FIG. 19E, plug patterning is then performed by forming a patterned hardmask 1922 on the structure of FIG. 19D (in the position where the plugs would be retained). The combined pattern of patterned hardmask 1922 and grating structure 1914 is then transferred into structure 1900 to form structure 1900' having regions 1924 for metal line formation within structure 1900, as depicted in Figure 19F. In one embodiment, the combined pattern of patterned hardmask 1922 and grating structure 1914 is transferred into structure 1900 using an etch process. This etch process can etch both layers 1904 and 1906 at substantially the same rate (or can be performed as several etch operations) and can be followed by a clean process to remove patterned hardmask 1922, as also shown in FIG. Depicted in 19F.

參考圖19G,通孔圖案化接著係藉由形成圖案化微影遮罩1926於圖19F之結構上而被履行,該圖案化微影遮罩1926係暴露其中通孔所將被形成之位置(例如,通孔選擇製程)。圖案化微影遮罩1926及光柵結構1914之聯合圖案被接著轉移入結構1900’以形成結構1900”,其具有用於結構1900’內之金屬通孔形成的區1928,如圖19H中所描繪。於一實施例中,圖案化微影遮罩1926及光柵結構1914之聯合圖案係使用蝕刻製程而被轉移入結構1900’。此一蝕刻製程可對於層1904有選擇性而蝕刻層1906,並可接續以一用以移除圖案化微影遮罩1926之清除製程,如亦於圖19H中所描繪。Referring to Figure 19G, via patterning is then performed on the structure of Figure 19F by forming a patterned lithography mask 1926 that exposes the locations where the vias are to be formed ( For example, via selection process). The combined pattern of patterned lithography mask 1926 and grating structure 1914 is then transferred into structure 1900' to form structure 1900" with regions 1928 for metal via formation within structure 1900', as depicted in Figure 19H In one embodiment, the combined pattern of patterned lithographic mask 1926 and grating structure 1914 is transferred into structure 1900' using an etch process. This etch process can etch layer 1906 selectively to layer 1904, and A cleaning process to remove the patterned lithography mask 1926 may be followed, as also depicted in Figure 19H.

參考圖19I,金屬填充製程被履行於圖19I之結構上以提供下方結構1930。金屬填充製程係形成金屬通孔1932及金屬線1934於結構1930中。金屬填充製程亦可填充介於光柵結構1914與金屬線1936之間的區,如圖19I中所描繪。於一實施例中,金屬填充製程係使用金屬沈積及後續平坦化處理方案而被履行。圖19I之結構可接著被減少其厚度以移除光柵結構1914,以暴露圖案化電介質1916及頂部提供金屬線1938,其係從金屬線1936減少其厚度,如圖19J中所描繪。於一實施例中,圖19I之結構可接著使用一種平坦化製程(諸如化學機械平坦化(CMP)製程)而被減少其厚度。Referring to FIG. 19I, a metal fill process is performed on the structure of FIG. 19I to provide the underlying structure 1930. A metal fill process forms metal vias 1932 and metal lines 1934 in structure 1930 . The metal fill process can also fill the region between the grating structure 1914 and the metal line 1936, as depicted in Figure 19I. In one embodiment, the metal filling process is performed using a metal deposition and subsequent planarization process scheme. The structure of Figure 19I may then be reduced in thickness to remove grating structure 1914 to expose patterned dielectric 1916 and provide metal lines 1938 on top, which are reduced in thickness from metal lines 1936, as depicted in Figure 19J. In one embodiment, the structure of Figure 19I may then be reduced in thickness using a planarization process, such as a chemical mechanical planarization (CMP) process.

參考圖19K,金屬線1938被移除自圖19J之結構以留下圖案化電介質層1916及圖案化蝕刻停止層1918。金屬線1938可藉由一種選擇性蝕刻製程而被移除,該選擇性蝕刻製程係移除金屬線1938且亦確保其無金屬餘留在高於材料層1904和1906之高度(亦即,致使其無金屬餘留在結構1930的插塞區之上)。硬遮罩層1940被接著形成於圖19K之結構上,介於圖案化電介質層1916的線之間,如圖19L中所描繪。於一實施例中,硬遮罩層1940係由碳化矽(SiC)或氧化矽(SiO2 )所組成,且係使用沈積和平坦化處理方案來形成。於一實施例中,硬遮罩層1940係由如硬遮罩層1906的相同材料所組成。於一實施例中,從圖案化電介質層1916及硬遮罩層1940所形成之結構的表面係實質上相同於圖19A之開始結構1900的表面(雖然係與其正交)。因此,於一實施例中,配合圖19B-19L所述之製程可被重複於圖19L之結構上以形成下一金屬化層,依此類推。Referring to FIG. 19K, metal lines 1938 are removed from the structure of FIG. 19J to leave a patterned dielectric layer 1916 and a patterned etch stop layer 1918. Metal line 1938 may be removed by a selective etch process that removes metal line 1938 and also ensures that no metal remains above the height of material layers 1904 and 1906 (ie, causes It has no metal remaining over the plug region of structure 1930). A hard mask layer 1940 is then formed over the structure of Figure 19K, between the lines of patterned dielectric layer 1916, as depicted in Figure 19L. In one embodiment, the hard mask layer 1940 is composed of silicon carbide (SiC) or silicon oxide (SiO 2 ) and is formed using a deposition and planarization process scheme. In one embodiment, hard mask layer 1940 is composed of the same material as hard mask layer 1906 . In one embodiment, the surface of the structure formed from patterned dielectric layer 1916 and hard mask layer 1940 is substantially the same as (although orthogonal to) the surface of starting structure 1900 of Figure 19A. Thus, in one embodiment, the process described in conjunction with FIGS. 19B-19L may be repeated on the structure of FIG. 19L to form the next metallization layer, and so on.

應理解:配合圖19B-19L所述之製程(如重複於圖19L之結構上以形成下一金屬化層者)可被稱為循環流程,由於製程流之末端具有如製程流之開端的相同或實質上相同的層堆疊及佈局。於一實施例中,形成額外金屬化層包括使用此一循環流程。然而,亦應理解:循環或重複流程僅可被實施於選定的金屬化層。所得堆疊中之其他金屬化層(例如,在使用圖19B-19L之處理方案所製造的層之上或之下或之間的層)可使用傳統雙金屬鑲嵌或其他方式來製造。It should be understood that the process described in conjunction with FIGS. 19B-19L (eg, repeated on the structure of FIG. 19L to form the next metallization layer) can be referred to as a cyclic process, since the end of the process flow has the same value as the beginning of the process flow or substantially the same layer stacking and layout. In one embodiment, forming additional metallization layers includes using such a cyclic process. However, it should also be understood that a cyclic or repeated process may only be implemented for selected metallization layers. Other metallization layers in the resulting stack (eg, layers above or below or between layers fabricated using the processing schemes of Figures 19B-19L) can be fabricated using conventional dual damascene or other means.

所得結構(諸如與圖19L關聯所述之1931)可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖19L之結構1931可代表積體電路中之最後金屬互連層。亦應理解:於後續製造操作中,電介質線可被移除以提供介於所得金屬線之間的空氣間隙。應理解:上述範例已集中在通孔/接點形成。然而,於其他實施例中,類似方式可被用以保留或形成針對金屬線層內之線端終端(插塞)的區。The resulting structure, such as 1931 described in connection with Figure 19L, can then be used as the basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the structure 1931 of Figure 19L may represent the last metal interconnect layer in an integrated circuit. It should also be understood that in subsequent fabrication operations, the dielectric lines may be removed to provide air gaps between the resulting metal lines. It should be understood that the above examples have focused on via/contact formation. However, in other embodiments, a similar approach may be used to retain or form regions for wire terminations (plugs) within the metal line layers.

依據本發明之實施例,光柵為基的通孔及插塞圖案化被描述。文中所述之一或更多實施例係有關用於特徵末端形成之光柵為基的插塞及切割。實施例可涉及微影圖案化、相關的線端CD產生、及間隔物為基的圖案化之一或更多者。實施例係利用方法以產生具有一維(1D)特徵之布局控制及均勻性的插塞和切割。應理解:介於針對線端(插塞)或通孔布局的較佳控制之間有所權衡,暗示其通孔及線端被置於更受限的位置上。In accordance with embodiments of the present invention, grating-based via and plug patterning is described. One or more embodiments described herein relate to grating-based plug and cut for feature end formation. Embodiments may involve one or more of lithographic patterning, associated line-end CD generation, and spacer-based patterning. Embodiments utilize methods to generate plugs and cuts with layout control and uniformity of one-dimensional (1D) features. It should be understood that there is a trade-off between better control over the wire termination (plug) or via layout, implying that vias and wire terminations are placed in more restricted locations.

為了提供針對文中所述之實施例的背景,為了致能圖案化較緊密的節距特徵於半導體製造中,則光柵及插塞或光柵及切割方式被應用於更多層。隨著特徵尺寸持續縮小,用以強韌地圖案化切割及插塞之能力可能限制了擴縮及產量。切割及插塞特徵通常係藉由一種具有主要為二維(2D)特徵的微影操作來直接地界定。此等2D特徵具有比一維(1D)特徵更高得多的變化及非均勻性。To provide context for the embodiments described herein, grating and plugs or grating and dicing are applied to more layers in order to enable patterning of tighter pitch features in semiconductor fabrication. As feature sizes continue to shrink, the ability to robustly pattern dicing and plugging may limit scaling and yield. Cut and plug features are typically defined directly by a lithography operation with predominantly two-dimensional (2D) features. These 2D features have much higher variation and non-uniformity than one-dimensional (1D) features.

參考以下所述之圖20A-20G,於一實施例中,提出用以產生光柵界定之插塞的一種簡化的圖案化製程的概觀。犧牲1D圖案被產生為正交於其被圖案化之層的主要方向。選擇遮罩被接著使用以切割或保存其將最終地被用以切割或保存主要光柵之部分的1D圖案之部分。於主要圖案上之該切割/保存的最後邊緣因此係由1D犧牲光柵之邊緣所界定,具有更好得多的控制及均勻性。圖20A-20G闡明平面視圖(上)及相應的橫斷面視圖(中及下),其表示一種製造光柵為基的插塞及切割以供後段製程(BEOL)互連之特徵端形成的方法中之各個操作,依據本發明之實施例。20A-20G described below, in one embodiment, an overview of a simplified patterning process for producing grating-defined plugs is presented. The sacrificial 1D pattern is created orthogonal to the principal direction of the layer in which it is patterned. The selection mask is then used to cut or save the portion of the 1D pattern which will ultimately be used to cut or save the portion of the main raster. The final edge of the cut/save on the main pattern is thus defined by the edges of the 1D sacrificial grating, with much better control and uniformity. 20A-20G illustrate plan views (top) and corresponding cross-sectional views (middle and bottom) showing a method of fabricating grating-based plugs and dicing for feature end formation for back-end-of-line (BEOL) interconnects Each of the operations is in accordance with the embodiments of the present invention.

參考圖20A,開始點結構2000被提供為用以製造新金屬化層之開始點。開始點結構2000包括層間電介質(ILD)材料層2002,其具有第一硬遮罩層2004形成於其上。第二硬遮罩層2006被形成於第一硬遮罩層2004上。第二硬遮罩層2006具有光柵圖案,其可被視為主要地一維(1D)光柵圖案。於一實施例中,第二硬遮罩2006之光柵圖案被最終地用以界定其將被圖案化之最後層的1D位置但尚未具有被圖案化於其中之特徵位置的末端。第一硬遮罩層2004及/或第二硬遮罩層2006可被製造自一種材料,諸如(但不限定於)氮化矽(SiN)、氧化矽(SiO2 )、氮化鈦(TiN)、或矽(Si)。於一實施例中,第一硬遮罩層2004及第二硬遮罩層2006被製造自彼此不同的材料。Referring to Figure 20A, a starting point structure 2000 is provided as a starting point for fabricating a new metallization layer. The starting point structure 2000 includes an interlayer dielectric (ILD) material layer 2002 having a first hard mask layer 2004 formed thereon. The second hard mask layer 2006 is formed on the first hard mask layer 2004 . The second hard mask layer 2006 has a grating pattern, which can be regarded as a predominantly one-dimensional (1D) grating pattern. In one embodiment, the grating pattern of the second hard mask 2006 is ultimately used to define the 1D positions of the last layer it will be patterned but ends that do not yet have feature positions patterned therein. The first hard mask layer 2004 and/or the second hard mask layer 2006 may be fabricated from a material such as, but not limited to, silicon nitride (SiN), silicon oxide (SiO 2 ), titanium nitride (TiN) ), or silicon (Si). In one embodiment, the first hard mask layer 2004 and the second hard mask layer 2006 are fabricated from different materials from each other.

參考圖20B,第三硬遮罩層2008被形成於圖20A之結構上。於一實施例中,第三硬遮罩層2008具有光柵圖案,其可被視為主要地一維(1D)光柵圖案,正交於第二硬遮罩層2006之1D光柵圖案。第三硬遮罩層2008可被製造自一種材料,諸如(但不限定於)氮化矽(SiN)、氧化矽(SiO2 )、氮化鈦(TiN)、或矽(Si)。於一實施例中,第三硬遮罩層2008被製造自一種不同於第一硬遮罩層2004及第二硬遮罩層2006之材料的材料。應理解:上述硬遮罩層之任一者可實際上包括複數子層,例如,用以提供增進的蝕刻選擇性。Referring to FIG. 20B, a third hard mask layer 2008 is formed on the structure of FIG. 20A. In one embodiment, the third hard mask layer 2008 has a grating pattern, which can be viewed as a predominantly one-dimensional (1D) grating pattern, orthogonal to the ID grating pattern of the second hard mask layer 2006 . The third hard mask layer 2008 may be fabricated from a material such as, but not limited to, silicon nitride (SiN), silicon oxide ( SiO2 ), titanium nitride (TiN), or silicon (Si). In one embodiment, the third hard mask layer 2008 is fabricated from a different material than that of the first hard mask layer 2004 and the second hard mask layer 2006 . It should be understood that any of the above-described hard mask layers may actually include a plurality of sub-layers, eg, to provide enhanced etch selectivity.

於一實施例中,第三硬遮罩層2008之光柵圖案及第二硬遮罩層2006之光柵圖案一起界定針對金屬線金屬化層之所有容許的線端位置。於一此類實施例中,第三硬遮罩層2008之光柵圖案及第二硬遮罩層2006之光柵圖案一起界定其中該些光柵圖案之線重疊的位置上之線端位置。於另一此類實施例中,第三硬遮罩層2008之光柵圖案及第二硬遮罩層2006之光柵圖案一起界定其中間隔被暴露於該些光柵圖案的線之間的位置上之線端位置。In one embodiment, the grating pattern of the third hard mask layer 2008 and the grating pattern of the second hard mask layer 2006 together define all allowed line end positions for the metal line metallization layers. In one such embodiment, the grating pattern of the third hard mask layer 2008 and the grating pattern of the second hard mask layer 2006 together define line end locations at locations where the lines of the grating patterns overlap. In another such embodiment, the grating pattern of the third hard mask layer 2008 and the grating pattern of the second hard mask layer 2006 together define lines in which spaces are exposed at locations between the lines of the grating patterns end position.

參考圖20C,微影圖案化遮罩2010之區被形成於圖20B之結構上。微影圖案化遮罩2010之區可被形成自光阻層或多層、或類似的微影圖案化遮罩。於一實施例中,微影圖案化遮罩2010之區提供切割/保存區之圖案於其形成自第二硬遮罩層2006及第三硬遮罩層2008之犧牲光柵上。接著,於一實施例中,微影製程被用以選擇犧牲光柵之(切割或保存)部分,其將最終地界定金屬線之主要圖案的末端位置。於一此類實施例中,193nm或EUV微影被使用,連同抗蝕劑圖案之蝕刻轉移入下方層,在蝕刻犧牲光柵圖案之前。於一實施例中,微影製程涉及抗蝕劑層之多重曝光或沈積/蝕刻/沈積重複處理。應理解:遮蔽區可被稱為切割或保存位置,其中介於光柵之間的正交光柵重疊區或間隔被用以界定插塞(或可能通孔)位置。Referring to FIG. 20C, regions of lithography-patterned mask 2010 are formed on the structure of FIG. 20B. The regions of the lithographically patterned mask 2010 can be formed from photoresist layers or layers, or similar lithographically patterned masks. In one embodiment, areas of the lithographically patterned mask 2010 provide a pattern of cut/save areas on the sacrificial gratings formed from the second hard mask layer 2006 and the third hard mask layer 2008. Next, in one embodiment, a lithography process is used to select (cut or save) portions of the sacrificial grating that will ultimately define the end positions of the main pattern of metal lines. In one such embodiment, 193 nm or EUV lithography is used, along with etching of the resist pattern to transfer into the underlying layers, before etching the sacrificial grating pattern. In one embodiment, the lithography process involves multiple exposures or repeated deposition/etching/deposition processes of the resist layer. It should be understood that masked regions may be referred to as cut or save locations, where orthogonal grating overlap regions or spaces between gratings are used to define plug (or possibly via) locations.

參考圖20D,使用圖20C之結構的微影圖案化遮罩2010之區為遮罩,則第三硬遮罩層2008被選擇性蝕刻以形成圖案化硬遮罩層2012。亦即,犧牲光柵之一部分被蝕刻以佔據微影圖案化遮罩2010之區的圖案之部分,其係保護第三硬遮罩層2008之部分自蝕刻製程。於一實施例中,其在蝕刻製程中被移除之第三硬遮罩層2008的部分不是最後目標設計的部分。於一實施例中,微影圖案化遮罩2010之該些區被移除在形成圖案化硬遮罩層2012之後,如圖20D中所描繪。Referring to FIG. 20D , the area of the lithography patterned mask 2010 using the structure of FIG. 20C is a mask, and the third hard mask layer 2008 is selectively etched to form the patterned hard mask layer 2012 . That is, a portion of the sacrificial grating is etched to occupy a portion of the pattern in the area of the lithography-patterned mask 2010, which protects part of the third hard mask layer 2008 from the etch process. In one embodiment, the portion of the third hard mask layer 2008 that is removed during the etch process is not part of the final target design. In one embodiment, the regions of the lithographically patterned mask 2010 are removed after forming the patterned hard mask layer 2012, as depicted in Figure 20D.

參考圖20E,由圖20D之結構的第二硬遮罩層2006及圖案化硬遮罩層2012所形成的組合圖案被轉移入第一硬遮罩層2004及轉移入ILD材料層2002,例如,藉由選擇性蝕刻製程。圖案化係形成圖案化ILD層2014及圖案化硬遮罩層2016。Referring to FIG. 20E, the combined pattern formed by the second hard mask layer 2006 and the patterned hard mask layer 2012 of the structure of FIG. 20D is transferred into the first hard mask layer 2004 and into the ILD material layer 2002, eg, by selective etching. The patterning forms a patterned ILD layer 2014 and a patterned hard mask layer 2016 .

參考圖20F,圖20E之結構的圖案化硬遮罩層2012及第二硬遮罩層2006(亦即,犧牲光柵)被接著移除。圖案化硬遮罩層2016可被留存於此階段,如圖20F中所描繪,或可被移除。選擇性濕式或乾式處理技術可被利用於圖案化硬遮罩層2012及第二硬遮罩層2006(及,可能地,圖案化硬遮罩層2016)之移除。應理解:圖20F之所得結構可後續地被使用為金屬填充之開始點,具有首先移除餘留圖案化硬遮罩層2016之選擇。何者將為金屬特徵之末端位置(線端)係由其被轉移入ILD材料層2002之1D犧牲光柵的邊緣所界定,而因此被良好地控制。Referring to FIG. 20F, the patterned hard mask layer 2012 and the second hard mask layer 2006 (ie, the sacrificial grating) of the structure of FIG. 20E are then removed. The patterned hardmask layer 2016 may be left at this stage, as depicted in Figure 20F, or may be removed. Selective wet or dry processing techniques can be utilized for the removal of the patterned hard mask layer 2012 and the second hard mask layer 2006 (and, possibly, the patterned hard mask layer 2016). It should be understood that the resulting structure of FIG. 20F can subsequently be used as a starting point for metal fill, with the option of removing the remaining patterned hard mask layer 2016 first. The end locations (line ends) of which will be metal features are defined by the edges of the 1D sacrificial gratings which are transferred into the ILD material layer 2002 and are therefore well controlled.

參考圖20G,金屬填充製程被履行於圖20F之結構上以形成金屬線2018於圖案化ILD層2014之開口中。金屬線具有由圖案化ILD層2014中所形成之連續性的中斷所形成的線端。於一實施例中,金屬填充製程係藉由沈積並接著平坦化一或更多金屬層於圖案化ILD層2014之上來履行。圖案化硬遮罩層2016可被留存於金屬沈積製程期間並接著被移除於平坦化製程期間,如圖20F及20G中所描繪。然而,於其他實施例中,圖案化硬遮罩層2016被移除在金屬填充製程之前。於又其他實施例中,圖案化硬遮罩層2016被留存於最後結構中。再次參考圖20G,應理解:金屬線2018可被形成於下方特徵(諸如顯示為範例之導電通孔2020)之上。Referring to FIG. 20G , a metal fill process is performed on the structure of FIG. 20F to form metal lines 2018 in the openings of the patterned ILD layer 2014 . The metal lines have line ends formed by discontinuities in the continuity formed in the patterned ILD layer 2014 . In one embodiment, the metal filling process is performed by depositing and then planarizing one or more metal layers over the patterned ILD layer 2014 . The patterned hard mask layer 2016 may be retained during the metal deposition process and then removed during the planarization process, as depicted in Figures 20F and 20G. However, in other embodiments, the patterned hard mask layer 2016 is removed before the metal fill process. In yet other embodiments, the patterned hard mask layer 2016 is left in the final structure. Referring again to FIG. 20G, it should be understood that metal lines 2018 may be formed over underlying features, such as conductive vias 2020 shown by way of example.

所得結構(諸如與圖20G關聯所述者)可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖20G之結構可代表積體電路中之最後金屬互連層。應理解其上述製程操作可被施行以替代的順序,不是每一操作均需被執行及/或額外的製程操作可被執行。於一實施例中,由於傳統微影/雙金屬鑲嵌圖案化(其需另被容許)之偏差不會是文中所述之所得結構的因素。應理解:上述範例已集中在線端/插塞/切割形成或保留。然而,於其他實施例中,類似的方式可被用以形成通孔/接點於金屬線層之上或之下。亦應理解:於後續製造操作中,電介質線可被移除以提供介於所得金屬線之間的空氣間隙。The resulting structures, such as those described in connection with Figure 20G, can then be used as the basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the structure of FIG. 20G may represent the final metal interconnect layer in an integrated circuit. It should be understood that the above-described process operations may be performed in alternate orders, not every operation needs to be performed and/or additional process operations may be performed. In one embodiment, variations due to conventional lithography/damascene patterning (which would otherwise be tolerated) do not factor into the resulting structures described herein. It should be understood that the above examples have focused on wire end/plug/cut formation or retention. However, in other embodiments, a similar approach can be used to form vias/contacts above or below the metal line layer. It should also be understood that in subsequent fabrication operations, the dielectric lines may be removed to provide air gaps between the resulting metal lines.

再次參考圖20A-20G,於一實施例中,用以產生光柵界定插塞之圖案化製程已被描述。此一實施例之優點可包括端至端特徵之較佳尺寸控制,其係減少了於最差情況製程變化之條件下所另觀察到的端至端短路(生產失敗)之機率。端至端特徵之增進的尺寸控制係提供於最差情況製程變化(針對通孔座落及涵蓋)下之更多區域。因此,於一實施例中,增進的電連接可被達成從層至層,具有增加的產量及產品性能。端至端特徵之增進的尺寸控制可致能較小的端至端寬度,而因此,較佳的產品密度(每功能之成本)可被達成。Referring again to Figures 20A-20G, in one embodiment, a patterning process for creating grating-defining plugs has been described. Advantages of such an embodiment may include better dimensional control of end-to-end features, which reduces the chance of end-to-end shorts (production failures) otherwise observed under worst-case process variation conditions. Improved dimensional control of end-to-end features provides more area under worst-case process variation (for via seating and coverage). Thus, in one embodiment, improved electrical connections can be achieved from layer to layer with increased throughput and product performance. Improved dimensional control of end-to-end features may enable smaller end-to-end widths, and thus, better product density (cost per function) may be achieved.

於實施例中,本發明之實施例的優點在於其所有線端位置係由單一微影操作所界定。例如,當插塞/切割節距變為極小時,常見的解決方式是使用具有額外處理之多通微影以產生複合插塞/切割圖案。反之,於文中所述之實施例中,特徵末端位置為多數微影操作之功能,而因此,具有比當單一微影操作被用以界定特徵末端(如利用文中所述之實施例的情況)時更大的變化。In one embodiment, an advantage of embodiments of the present invention is that all line end positions are defined by a single lithography operation. For example, when the plug/cut pitch becomes extremely small, a common solution is to use multi-pass lithography with additional processing to produce composite plug/cut patterns. Conversely, in the embodiments described herein, feature end position is a function of the majority of lithography operations and, therefore, has a greater advantage than when a single lithography operation is used to define the feature ends (as is the case with the embodiments described herein) greater changes.

依據本發明之實施例,描述線端切割方式。文中所述之一或更多實施例係有關用於圖案化金屬線端之技術。實施例可包括接點製造、金屬鑲嵌處理、雙金屬鑲嵌處理、互連製造、及金屬線溝槽圖案化之一或更多者的形態。According to an embodiment of the present invention, the wire end cutting method is described. One or more of the embodiments described herein relate to techniques for patterning metal line terminations. Embodiments may include the form of one or more of contact fabrication, damascene processing, dual damascene processing, interconnect fabrication, and metal line trench patterning.

為了提供背景,於半導體製造之先進節點中,低階互連係藉由線光柵、線端、及通孔之分離圖案化製程而被產生。複合圖案之保真度傾向於隨著線端上之通孔侵佔而降低,且反之亦然。文中所述之實施例係提供一種亦已知為插塞製程之線端製程,其係消除相關的近似規則。實施例可容許通孔被置於線端上且大型通孔包覆線端。To provide context, in advanced nodes of semiconductor fabrication, low-level interconnects are created by separate patterning processes of wire gratings, wire terminations, and vias. The fidelity of composite patterns tends to decrease with via encroachment on the line ends, and vice versa. The embodiments described herein provide an end-of-line process, also known as a plug process, that eliminates the associated approximation rules. Embodiments may allow vias to be placed on the wire ends and large vias to wrap around the wire ends.

為了提供進一步背景,圖21A闡明一種傳統半導體裝置之金屬化層的平面視圖及沿著該平面視圖之a-a’軸所取的相應橫斷面視圖。圖21B闡明使用目前已知的處理方案所製造之線端或插塞的橫斷面視圖。圖21C闡明使用目前已知的處理方案所製造之線端或插塞的另一橫斷面視圖。To provide further context, Figure 21A illustrates a plan view of a metallization layer of a conventional semiconductor device and a corresponding cross-sectional view taken along the a-a' axis of the plan view. 21B illustrates a cross-sectional view of a wire end or plug fabricated using currently known processing schemes. Figure 21C illustrates another cross-sectional view of a wire end or plug fabricated using currently known processing schemes.

參考圖21A,金屬化層2100包括形成於電介質層2104中之金屬線2102。金屬線2102可被耦合至下方通孔2103。電介質層2104可包括線端或插塞區2105。參考圖21B,電介質層2104之傳統線端或插塞區2105可藉由圖案化電介質層2104上之硬遮罩層2110並接著蝕刻電介質層2104之暴露部分來製造。電介質層2104之暴露部分可被蝕刻至適以形成線溝槽2106之深度或者被進一步蝕刻至適以形成通孔溝槽2108之深度。參考圖21C,鄰接線端或插塞2105之相反側壁的兩個通孔可被製造於單一大型曝光2116中以最終地形成線溝槽2112及通孔溝槽2114。Referring to FIG. 21A , metallization layer 2100 includes metal lines 2102 formed in dielectric layer 2104 . Metal lines 2102 may be coupled to lower vias 2103 . Dielectric layer 2104 may include line termination or plug regions 2105 . Referring to FIG. 21B , conventional terminal or plug regions 2105 of the dielectric layer 2104 may be fabricated by patterning the hard mask layer 2110 on the dielectric layer 2104 and then etching the exposed portions of the dielectric layer 2104 . Exposed portions of dielectric layer 2104 may be etched to a depth suitable for forming line trenches 2106 or further etched to a depth suitable for forming via trenches 2108 . Referring to FIG. 21C, two vias adjacent opposite sidewalls of the terminal or plug 2105 can be fabricated in a single large exposure 2116 to finally form the line trench 2112 and the via trench 2114.

然而,再次參考圖21A-21C,保真度問題及/或硬遮罩侵蝕問題可能導致不完美的圖案化狀態。反之,文中所述之一或更多實施例包括一種涉及線端電介質(插塞)之建構(在溝槽及通孔圖案化製程之後)的製程流之實施方式。於一範例處理方案中,圖21D-21J闡明橫斷面視圖,其表示一種用以圖案化後段製程(BEOL)互連之金屬線端的製程中之各個操作,依據本發明之實施例。However, referring again to Figures 21A-21C, fidelity issues and/or hard mask erosion issues may result in imperfect patterned states. Rather, one or more of the embodiments described herein include an implementation of a process flow involving the construction of line-terminated dielectrics (plugs) after trench and via patterning processes. In an example processing scheme, Figures 21D-21J illustrate cross-sectional views representing various operations in a process for patterning metal line terminals of a back end of line (BEOL) interconnect, in accordance with embodiments of the present invention.

參考圖21D,一種製造用於半導體晶粒之互連結構的金屬化層之方法包括形成線溝槽2128於一形成在下方金屬化層2120之上的層間電介質(ILD)材料層2126的上部分(於下部分2130之上)中。下方金屬化層2120包括配置於電介質層2124中之金屬線2122。Referring to FIG. 21D, a method of fabricating a metallization layer for an interconnect structure of a semiconductor die includes forming line trenches 2128 in an upper portion of an interlayer dielectric (ILD) material layer 2126 formed over the underlying metallization layer 2120 (above lower section 2130). The lower metallization layer 2120 includes metal lines 2122 disposed in the dielectric layer 2124 .

參考圖21E,通孔溝槽2132A及2132B被形成於ILD材料層2126之下部分2130中以形成ILD材料層2126之圖案化下部分2130’。當作範例實施例,通孔溝槽2132A係暴露下方金屬化層2120之兩金屬線2122,而通孔溝槽2132B係暴露下方金屬化層2120之一金屬線2122。21E, via trenches 2132A and 2132B are formed in the lower portion 2130 of the ILD material layer 2126 to form a patterned lower portion 2130' of the ILD material layer 2126. As an example embodiment, via trench 2132A exposes two metal lines 2122 of the underlying metallization layer 2120 , while via trench 2132B exposes one metal line 2122 of the underlying metallization layer 2120 .

參考圖21F,犧牲材料2134(諸如矩陣材料)被形成於ILD材料層(圖21F中所示之部分2130’)之上以及於線溝槽2128與通孔溝槽2132A和2132B中。於一實施例中,圖案化硬遮罩層2136被形成於犧牲材料2134上,如圖21F中所描繪。Referring to Figure 21F, a sacrificial material 2134, such as a matrix material, is formed over the layer of ILD material (portion 2130' shown in Figure 21F) and in line trenches 2128 and via trenches 2132A and 2132B. In one embodiment, a patterned hard mask layer 2136 is formed on the sacrificial material 2134, as depicted in Figure 21F.

參考圖21G,犧牲材料2134被圖案化以形成開口(圖21G之左手邊開口),其係暴露介於與圖21E之通孔溝槽2132A關聯的下方金屬化層2120之兩金屬線2122間的下金屬化層2120之部分。於所示之範例實施例中,犧牲材料2134被進一步圖案化以形成開口(圖21G之右手邊開口),其係暴露鄰接圖2E之通孔溝槽2132B的ILD材料層之圖案化下部分2130’的部分。於一實施例中,犧牲材料2134係藉由將圖案化硬遮罩2136之圖案轉移至犧牲材料2134(藉由蝕刻製程)而被圖案化。Referring to FIG. 21G, sacrificial material 2134 is patterned to form openings (left-hand opening of FIG. 21G) that expose between the two metal lines 2122 of the underlying metallization layer 2120 associated with the via trenches 2132A of FIG. 21E Portion of lower metallization layer 2120. In the example embodiment shown, the sacrificial material 2134 is further patterned to form openings (the right-hand side opening of FIG. 21G ) that expose the patterned lower portion 2130 of the ILD material layer adjacent to the via trench 2132B of FIG. 2E 'part. In one embodiment, the sacrificial material 2134 is patterned by transferring the pattern of the patterned hardmask 2136 to the sacrificial material 2134 (by an etch process).

參考圖21H,犧牲材料2134(現在顯示為已圖案化及已填充犧牲材料2134’)之開口被填充以電介質材料2138。於一實施例中,犧牲材料2134之開口被填充以電介質材料2138,其係使用一種選自由原子層沈積(ALD)及化學氣相沈積(CVD)所組成之群組的沈積製程。於一實施例中,犧牲材料2134之開口被填充以第一電介質材料組成之電介質材料2138。於一此類實施例中,ILD材料層2126包括由與第一電介質材料組成不同的材料所構成的第二電介質材料。然而,於另一此類實施例中,ILD材料層2126係由第一電介質材料所組成。Referring to FIG. 21H, the openings of sacrificial material 2134 (now shown patterned and filled with sacrificial material 2134') are filled with dielectric material 2138. In one embodiment, the openings of sacrificial material 2134 are filled with dielectric material 2138 using a deposition process selected from the group consisting of atomic layer deposition (ALD) and chemical vapor deposition (CVD). In one embodiment, the openings of the sacrificial material 2134 are filled with a dielectric material 2138 consisting of a first dielectric material. In one such embodiment, the ILD material layer 2126 includes a second dielectric material composed of a different material than the first dielectric material. However, in another such embodiment, the ILD material layer 2126 is composed of a first dielectric material.

參考圖21I,已填充犧牲材料2134’被移除以提供電介質插塞2140A及2140B。於所示之範例實施例中,電介質插塞2140A被配置於下方金屬化層2120的兩金屬線2122之間的下金屬化層2120之部分上。電介質插塞2140A係相鄰於通孔溝槽2132A和線溝槽2128’,以及(於圖21I所示之情況下)介於基本上對稱的通孔溝槽2132A與線溝槽2128’之間。電介質插塞2140B被配置於ILD材料層2126之圖案化下部分2130’的部分上。電介質插塞2140係相鄰於通孔溝槽2142B及相應的線溝槽(電介質插塞2140B之右手邊)。於一實施例中,圖21H之結構係接受一種平坦化製程,其係用以移除電介質材料2138之超載區(於溝槽之任一側上的表面之上及上方的區)、用以移除圖案化硬遮罩2136、及用以減少犧牲材料2134’之高度和其中的電介質材料2138之部分。犧牲材料2134’接著係藉由使用選擇性濕式或乾式處理蝕刻技術而被移除。Referring to Figure 21I, filled sacrificial material 2134' is removed to provide dielectric plugs 2140A and 2140B. In the example embodiment shown, the dielectric plug 2140A is disposed on the portion of the lower metallization layer 2120 between the two metal lines 2122 of the lower metallization layer 2120 . Dielectric plug 2140A is adjacent to via trench 2132A and line trench 2128', and (in the case shown in FIG. 21I) between substantially symmetrical via trench 2132A and line trench 2128' . Dielectric plugs 2140B are disposed over portions of the patterned lower portion 2130' of the layer 2126 of ILD material. Dielectric plug 2140 is adjacent to via trench 2142B and the corresponding line trench (the right hand side of dielectric plug 2140B). In one embodiment, the structure of Figure 21H is subjected to a planarization process that is used to remove overloaded regions of dielectric material 2138 (regions on and over the surface on either side of the trench) for The patterned hard mask 2136 is removed, along with the portion of the dielectric material 2138 used to reduce the height of the sacrificial material 2134'. The sacrificial material 2134' is then removed by using selective wet or dry process etching techniques.

參考圖21J,線溝槽2128’及通孔溝槽2132A和2132B被填充以導電材料。於一實施例中,以導電材料填充線溝槽2128’及通孔溝槽2132A和2132B係形成金屬線2142及導電通孔2144於圖案化電介質層2130’中。於範例實施例中,參考插塞2140A,第一金屬線2142及第一導電通孔2144係直接地相鄰於電介質插塞2140A之左手邊側壁。第二金屬線2142及第二導電通孔2144係直接地相鄰於電介質插塞2140A之右手邊側壁。參考插塞2140B,第一金屬線2142係直接地相鄰於電介質插塞2140B之右手邊側壁,而ILD層之圖案化下部分2130’的下方部分係直接地相鄰於第一導電通孔2144。然而,於電介質插塞2140B之左手邊上,僅有金屬線2142(而非相關的導電通孔)與電介質插塞2140B關聯。於一實施例中,金屬填充製程係藉由沈積並接著平坦化一或更多金屬層於圖2I的結構之上來履行。Referring to Figure 21J, line trenches 2128' and via trenches 2132A and 2132B are filled with conductive material. In one embodiment, line trenches 2128' and via trenches 2132A and 2132B are filled with conductive material to form metal lines 2142 and conductive vias 2144 in patterned dielectric layer 2130'. In an exemplary embodiment, referring to plug 2140A, first metal line 2142 and first conductive via 2144 are directly adjacent to the left-hand sidewall of dielectric plug 2140A. The second metal line 2142 and the second conductive via 2144 are directly adjacent to the right-hand sidewall of the dielectric plug 2140A. Referring to the plug 2140B, the first metal line 2142 is directly adjacent to the right hand sidewall of the dielectric plug 2140B, and the lower portion of the patterned lower portion 2130' of the ILD layer is directly adjacent to the first conductive via 2144 . However, on the left-hand side of dielectric plug 2140B, only metal lines 2142 (and not the associated conductive vias) are associated with dielectric plug 2140B. In one embodiment, the metal filling process is performed by depositing and then planarizing one or more metal layers over the structure of FIG. 2I.

再次參考圖21J,數個不同的實施例可使用圖示來展示。例如,於一實施例中,圖21J之結構係表示最後金屬化層結構。於另一實施例中,電介質插塞2140A和2140B被移除以提供空氣間隙結構。於另一實施例中,電介質插塞2140A和2140B被替換以另一電介質材料。於另一實施例中,電介質插塞2140A和2140B可為犧牲圖案,其被最終地轉移至另一下方層間電介質材料層。Referring again to FIG. 21J, several different embodiments may be shown using the diagram. For example, in one embodiment, the structure of Figure 21J represents the final metallization layer structure. In another embodiment, dielectric plugs 2140A and 2140B are removed to provide air gap structures. In another embodiment, the dielectric plugs 2140A and 2140B are replaced with another dielectric material. In another embodiment, the dielectric plugs 2140A and 2140B may be sacrificial patterns that are ultimately transferred to another underlying interlayer dielectric material layer.

於範例實施例中,再次參考圖21J(及先前的處理操作),用於半導體晶粒之互連結構的金屬化層包括金屬線2142,其係配置於層間電介質(ILD)材料層2126之溝槽2128’中。ILD材料層2126係由第一電介質材料所組成。導電通孔2144被配置於ILD2126材料層中,在金屬線2142下方並電連接至金屬線2142。電介質插塞2140A(或2140B)係直接地相鄰於金屬線2142及導電通孔2144。第二金屬線2142及導電通孔2144亦可直接地相鄰於電介質插塞(例如,電介質插塞2140A)。於一實施例中,電介質插塞2140A(或2140B)係由不同於第一電介質材料之第二電介質材料所組成。In an exemplary embodiment, referring again to FIG. 21J (and previous processing operations), the metallization layers used for the interconnect structure of the semiconductor die include metal lines 2142 disposed in trenches of the interlayer dielectric (ILD) material layer 2126 in slot 2128'. The ILD material layer 2126 is composed of a first dielectric material. Conductive vias 2144 are disposed in the ILD 2126 material layer below and electrically connected to the metal lines 2142 . Dielectric plug 2140A (or 2140B) is directly adjacent to metal line 2142 and conductive via 2144 . The second metal lines 2142 and conductive vias 2144 may also be directly adjacent to a dielectric plug (eg, dielectric plug 2140A). In one embodiment, the dielectric plug 2140A (or 2140B) is composed of a second dielectric material different from the first dielectric material.

應理解:以電介質材料填充犧牲材料2134之開口可導致約略於所得電介質插塞之中心的電介質材料中之接縫的形成。例如,圖21K闡明一種半導體晶粒之互連結構的金屬化層之橫斷面視圖,該半導體晶粒包括具有接縫於其中之電介質線端或插塞,依據本發明之實施例。It will be appreciated that filling the openings of the sacrificial material 2134 with dielectric material can result in the formation of seams in the dielectric material approximately in the center of the resulting dielectric plug. For example, FIG. 21K illustrates a cross-sectional view of a metallization layer of an interconnect structure of a semiconductor die that includes a dielectric line end or plug having a seam therein, in accordance with an embodiment of the present invention.

參考圖21K,半導體晶粒之互連結構的金屬化層包括金屬線2140,其係配置於層間電介質(ILD)材料層之溝槽中(所示之下部分2130’)。導電通孔2144被配置於ILD材料層2130’中,在金屬線2142下方並電連接至金屬線2142。電介質插塞2152A和2152B係直接地相鄰於金屬線2142及導電通孔2144。電介質插塞2152A和2152B各包括約略於電介質插塞之中心的接縫2150,例如,可有助於藉由化學氣相沈積(CVD)或原子層沈積(ALD)之電介質插塞的沈積形成。Referring to Figure 21K, the metallization layer of the interconnect structure of the semiconductor die includes metal lines 2140 disposed in trenches (lower portion 2130' shown) in a layer of interlayer dielectric (ILD) material. Conductive vias 2144 are disposed in the ILD material layer 2130' below and electrically connected to the metal lines 2142. Dielectric plugs 2152A and 2152B are directly adjacent to metal lines 2142 and conductive vias 2144 . Dielectric plugs 2152A and 2152B each include a seam 2150 approximately in the center of the dielectric plug, which may facilitate deposition of the dielectric plug by chemical vapor deposition (CVD) or atomic layer deposition (ALD), for example.

應理解:線端或插塞可與其不具有緊鄰電介質插塞之下方通孔的金屬線相關聯。例如,圖21L闡明一種半導體晶粒之互連結構的金屬化層之橫斷面視圖,該半導體晶粒包括並未緊鄰導電通孔之電介質線端或插塞,依據本發明之實施例。參考圖21L,電介質插塞2152係與其不具有緊鄰電介質插塞2152(且於相關的圖案化電介質層2154’上方)之下方通孔(諸如通孔2144)的金屬線2142相關聯。It should be understood that a wire end or plug may be associated with a metal line that does not have a via immediately below the dielectric plug. For example, FIG. 21L illustrates a cross-sectional view of a metallization layer of an interconnect structure of a semiconductor die that includes dielectric lines or plugs that are not immediately adjacent conductive vias, in accordance with embodiments of the present invention. Referring to Figure 21L, the dielectric plug 2152 is associated with a metal line 2142 that does not have a via (such as via 2144) immediately below the dielectric plug 2152 (and above the associated patterned dielectric layer 2154').

所得結構(諸如與圖21J、圖21K或圖21L關聯所述者)可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖21J、圖21K或圖21L之結構可代表積體電路中之最後金屬互連層。於一實施例中,由於傳統微影/雙金屬鑲嵌圖案化(其需另被容許)之偏差係針對文中所述之所得結構而被減輕。亦應理解:於後續製造操作中,電介質層可被移除以提供介於所得金屬線之間的空氣間隙。The resulting structures, such as those described in connection with Figures 21J, 21K, or 21L, can then be used as the basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the structures of Figure 21J, Figure 21K, or Figure 21L may represent the last metal interconnect layer in an integrated circuit. In one embodiment, the bias due to conventional lithography/damascene patterning (which would otherwise be tolerated) is mitigated for the resulting structures described herein. It should also be understood that in subsequent fabrication operations, the dielectric layer may be removed to provide air gaps between the resulting metal lines.

依據本發明之實施例,預形成通孔及插塞之自對準蝕刻被描述。文中所述之一或更多實施例係有關自對準通孔及插塞圖案化。文中所述之程序的自對準形態可基於一種定向自聚合(DSA)機制,如底下更詳細地描述者。然而,應理解其選擇性生長機制可被利用以取代(或結合與)DSA為基的方式。於一實施例中,文中所述之程序係致能後段製程特徵製造之自對準金屬化的實現。In accordance with embodiments of the present invention, self-aligned etching of pre-formed vias and plugs is described. One or more embodiments described herein relate to self-aligned via and plug patterning. The self-aligned aspect of the procedures described herein may be based on a directed self-aggregation (DSA) mechanism, as described in more detail below. However, it should be understood that its selective growth mechanism can be exploited in a DSA-based manner. In one embodiment, the procedures described herein enable the realization of self-aligned metallization for back-end-of-process feature fabrication.

文中所述之實施例可有關於預形成通孔或插塞(或兩者)之自對準等向蝕刻處理。例如,處理方案可涉及金屬化層(諸如半導體結構之後段製程金屬化層)中之每一可能通孔及插塞的預形成。微影被接著利用以選擇欲打開/關閉(例如,保存/移除)之特定的通孔及/或插塞位置。文中所述之實施例的實施方式可涉及此一蝕刻方案之使用,以形成針對金屬化堆疊中之每一相應通孔/金屬層的光桶配置中之所有通孔/插塞。如將被理解者:通孔可被形成在不同於插塞所被形成之層的層中(例如,後者被形成於其垂直地於通孔層之間的金屬線層中),或者插塞及通孔可被形成於相同層中。Embodiments described herein may relate to self-aligned isotropic etch processes for pre-forming vias or plugs (or both). For example, the processing scheme may involve the pre-formation of every possible via and plug in a metallization layer, such as a back-end-of-process metallization layer of a semiconductor structure. Lithography is then utilized to select specific via and/or plug locations to open/close (eg, save/remove). Implementations of the embodiments described herein may involve the use of such an etch scheme to form all vias/plugs in a photobarrel configuration for each respective via/metal layer in the metallization stack. As will be understood: vias may be formed in a different layer than the layer in which the plugs are formed (eg, the latter are formed in metal line layers perpendicular to the via layers), or the plugs and vias can be formed in the same layer.

文中所述之一或更多實施例係提供針對圖案化之更有效率的方式,藉由最大化重疊製程窗、最小化所需圖案之大小及形狀、及增加用以圖案化孔或插塞之微影製程的效率。於更特定的實施例中,用以打開預形成通孔或插塞位置之圖案可被形成為相當小,致能微影製程之重疊容限的增加。圖案特徵可由均勻大小所製,其可減少直接寫入電子束之掃描時間及/或利用光學微影之光學近似校正(OPC)複雜度。圖案特徵亦可被形成為淺的,其可增進圖案化解析度。後續履行的蝕刻製程可為一種等向化學選擇性蝕刻。此一蝕刻製程減輕了另相關的輪廓及關鍵尺寸,並減輕了通常與乾式蝕刻方式相關的各向異性問題。此一蝕刻製程亦相對便宜得多(從設備及產量之觀點),相較於其他的選擇性移除方式。One or more of the embodiments described herein provide a more efficient way to pattern by maximizing overlapping process windows, minimizing the size and shape of the desired pattern, and increasing the number of holes or plugs used to pattern the efficiency of the lithography process. In more specific embodiments, the patterns used to open pre-formed via or plug locations can be formed to be relatively small, enabling increased overlap tolerance for the lithography process. The pattern features can be made of uniform size, which can reduce the scan time of the direct-write electron beam and/or the complexity of Optical Proximity Correction (OPC) using optical lithography. Pattern features can also be formed shallow, which can improve patterning resolution. The etching process performed subsequently may be an isotropic chemical selective etching. Such an etch process alleviates the otherwise associated profile and critical dimensions, and alleviates anisotropy problems typically associated with dry etch methods. This etch process is also relatively much cheaper (from an equipment and yield point of view) compared to other selective removal methods.

當作範例一般性處理方案,圖22A-22G闡明其表示一種涉及預形成通孔或插塞位置之自對準等向蝕刻的方法中之各個操作的積體電路層之部分,依據本發明之實施例。於各所述操作之各闡明中,平面視圖被顯示於左手邊,而相應的橫斷面視圖被顯示於右手邊。這些視圖將於文中被稱為相應的橫斷面視圖及平面視圖。As an example general processing scheme, FIGS. 22A-22G illustrate portions of integrated circuit layers that represent various operations in a method involving self-aligned isotropic etching of pre-formed vias or plug locations, in accordance with the present invention. Example. In each illustration of the described operations, the plan view is shown on the left hand side and the corresponding cross-sectional view is shown on the right hand side. These views will be referred to herein as the corresponding cross-sectional and plan views.

圖22A闡明接續於基底或層2202中之孔/溝槽2204的預圖案化後之開始結構的平面視圖及相應橫斷面視圖(沿著a-a’軸所取)。於一實施例中,基底或層2202為層間電介質(ILD)材料層。22A illustrates a plan view and corresponding cross-sectional view (taken along the a-a' axis) of the starting structure after pre-patterning of holes/trenches 2204 in substrate or layer 2202. In one embodiment, the substrate or layer 2202 is an interlayer dielectric (ILD) material layer.

雖為了簡化而未描繪,應理解:孔/溝槽2204可暴露下方特徵,諸如下方金屬線。再者,於一實施例中,開始結構可被圖案化以一種光柵狀圖案,其具有以恆定節距所間隔並具有恆定寬度的孔/溝槽2204。圖案(例如)可藉由節距減半或節距減為四分之一等等方式來製造。於其通孔層被製造之情況下,某些孔/溝槽2204可與下方較低階金屬化線相關聯。Although not depicted for simplicity, it is understood that the holes/trenches 2204 may expose underlying features, such as underlying metal lines. Also, in one embodiment, the starting structure may be patterned in a grating-like pattern with holes/trenches 2204 of constant width spaced at a constant pitch. Patterns, for example, can be fabricated by halving the pitch or reducing the pitch by a quarter, and so on. With their via layers fabricated, some of the holes/trenches 2204 may be associated with lower level metallization lines below.

圖22B闡明接續於以犧牲或永久佔位材料2206填充孔/溝槽2204後圖22A之結構的平面視圖及相應橫斷面視圖(沿著b-b’軸所取)。於其使用永久佔位材料之情況下,ILD材料可被用以填充孔/溝槽2204。於其使用犧牲佔位材料之情況下,可提供在設計選擇上之更多彈性。例如,於一實施例中,可使用一種將不會另適於最後結構中之保留的材料,諸如結構上弱的聚合物或軟的光阻材料。如圖22B之橫斷面視圖中所描繪,於孔/溝槽2204中之犧牲或永久佔位材料2206的少量凹陷2208之形成可被包括以協助後續處理。於一實施例中,犧牲或永久佔位材料2206為一種旋塗式電介質材料。22B illustrates a plan view and corresponding cross-sectional view (taken along the b-b' axis) of the structure of FIG. 22A subsequent to filling of holes/trenches 2204 with sacrificial or permanent placeholder material 2206. ILD material may be used to fill holes/trenches 2204 in the case where it uses permanent placeholder material. In the case of its use of sacrificial placeholder materials, more flexibility in design choices can be provided. For example, in one embodiment, a material that would not otherwise be suitable for retention in the final structure may be used, such as a structurally weak polymer or a soft photoresist. As depicted in the cross-sectional view of Figure 22B, the formation of a small amount of recesses 2208 of sacrificial or permanent placeholder material 2206 in the holes/trenches 2204 may be included to assist in subsequent processing. In one embodiment, the sacrificial or permanent placeholder material 2206 is a spin-on dielectric material.

圖22C闡明接續於圖案化層2210之形成後的圖22B之結構的平面視圖及相應橫斷面視圖(沿著c-c’軸所取)。於一實施例中,圖案化層2210為光敏材料,諸如正色調光阻層。於另一實施例中,圖案化層2210為抗反射塗佈材料。於一實施例中,圖案化層2210包括材料層之堆疊,包括一或更多光敏材料層及/或一或更多抗反射塗佈材料層。22C illustrates a plan view and corresponding cross-sectional view (taken along the c-c' axis) of the structure of FIG. 22B following the formation of patterned layer 2210. In one embodiment, the patterned layer 2210 is a photosensitive material, such as a positive tone photoresist layer. In another embodiment, the patterned layer 2210 is an anti-reflection coating material. In one embodiment, the patterned layer 2210 includes a stack of material layers, including one or more layers of photosensitive material and/or one or more layers of anti-reflective coating material.

圖22D闡明接續於圖案化層2210之圖案化以形成開口2212於圖案化層2210中後的圖22C之結構的平面視圖及相應橫斷面視圖(沿著d-d’軸所取)。參考圖22D,開口2212係暴露犧牲或永久佔位材料2206之下方部分。特別地,開口2212僅在其中通孔或插塞被選定為待形成之孔/溝槽2204上暴露犧牲或永久佔位材料2206之下方部分。於一實施例中,圖案化層2210中之開口2212係實質上小於已暴露孔/溝槽2204。如上簡短所述,其相對地小於已暴露孔/溝槽2204之開口2212的形成係提供了針對失準問題之顯著增加的容許度。於一實施例中,圖案化層2210為光敏材料,且開口2212係藉由微影製程(諸如正色調微影製程)來形成。22D illustrates a plan view and corresponding cross-sectional view (taken along the d-d' axis) of the structure of FIG. 22C following patterning of patterned layer 2210 to form openings 2212 in patterned layer 2210. Referring to FIG. 22D , openings 2212 expose an underlying portion of sacrificial or permanent placeholder material 2206 . In particular, opening 2212 exposes the lower portion of sacrificial or permanent placeholder material 2206 only over hole/trench 2204 where vias or plugs are selected to be formed. In one embodiment, the openings 2212 in the patterned layer 2210 are substantially smaller than the exposed holes/trenches 2204. As briefly described above, the formation of openings 2212, which are relatively smaller than exposed holes/trenches 2204, provides significantly increased tolerance for misalignment issues. In one embodiment, the patterned layer 2210 is a photosensitive material, and the openings 2212 are formed by a lithography process, such as a positive tone lithography process.

圖22E闡明接續於由開口2212所暴露之位置中的犧牲或永久佔位材料2206之移除以形成再暴露孔/溝槽2214後之圖22D的結構之平面視圖及相應橫斷面視圖(沿著e-e’軸所取)。於一實施例中,犧牲或永久佔位材料2206係藉由等向蝕刻製程來移除。於一此類實施例中,等向蝕刻製程涉及濕式蝕刻劑之應用。濕式蝕刻劑係透過開口2212以存取及蝕刻犧牲或永久佔位材料2206。蝕刻製程為等向的,由於其並未由開口2212所暴露(但可透過開口2212而存取)的材料可被蝕刻至選擇性地形成的再暴露孔/溝槽2214,於針對通孔或插塞形成之所欲位置中。於一實施例中,濕式蝕刻製程係蝕刻犧牲或永久佔位材料2206而不蝕刻(或者不實質上蝕刻)圖案化層2210。22E illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 22D following removal of sacrificial or permanent placeholder material 2206 in locations exposed by openings 2212 to form re-exposed holes/trenches 2214 (along the taken along the e-e' axis). In one embodiment, the sacrificial or permanent placeholder material 2206 is removed by an isotropic etch process. In one such embodiment, the isotropic etch process involves the application of a wet etchant. The wet etchant accesses and etches the sacrificial or permanent placeholder material 2206 through the opening 2212 . The etch process is isotropic in that material not exposed by (but accessible through) openings 2212 can be etched into selectively formed re-exposed holes/trenches 2214 for vias or The plug is formed in the desired position. In one embodiment, the wet etch process etches the sacrificial or permanent placeholder material 2206 without etching (or not substantially etching) the patterned layer 2210 .

於一實施例中,犧牲或永久佔位材料2206為一種旋塗式碳硬遮罩材料,而蝕刻製程為一種TMAH為基的蝕刻製程。於另一實施例中,犧牲或永久佔位材料2206為一種旋塗式底部抗反射塗佈(BARC)材料,而蝕刻製程為一種TMAH為基的蝕刻製程。於另一實施例中,犧牲或永久佔位材料2206為一種旋塗式底部玻璃材料,而蝕刻製程為一種根據有機溶劑、酸或鹼之濕式蝕刻製程。於另一實施例中,犧牲或永久佔位材料2206為一種旋塗式金屬氧化物材料,而蝕刻製程為一種根據市面上可買到的清潔化學物之濕式蝕刻製程。於另一實施例中,犧牲或永久佔位材料2206為一種CVD碳材料,而蝕刻製程為一種根據氧電漿灰的蝕刻製程。In one embodiment, the sacrificial or permanent placeholder material 2206 is a spin-on carbon hardmask material, and the etch process is a TMAH-based etch process. In another embodiment, the sacrificial or permanent placeholder material 2206 is a spin-on bottom anti-reflective coating (BARC) material, and the etch process is a TMAH-based etch process. In another embodiment, the sacrificial or permanent placeholder material 2206 is a spin-on bottom glass material, and the etching process is a wet etching process based on organic solvents, acids or bases. In another embodiment, the sacrificial or permanent placeholder material 2206 is a spin-on metal oxide material and the etch process is a wet etch process according to commercially available cleaning chemistries. In another embodiment, the sacrificial or permanent placeholder material 2206 is a CVD carbon material and the etch process is an etch process based on oxygen plasma ash.

圖22F闡明接續於圖案化層2210之移除後的圖22E之結構的平面視圖及相應橫斷面視圖(沿著f-f’軸所取)。於一實施例中,圖案化層2210為光阻層,而該光阻層係藉由濕式去除或電漿灰化製程而被移除。圖案化層2210之移除係完全地暴露該再曝露孔/溝槽2214。22F illustrates a plan view and corresponding cross-sectional view (taken along the f-f' axis) of the structure of FIG. 22E following removal of patterned layer 2210. In one embodiment, the patterned layer 2210 is a photoresist layer, and the photoresist layer is removed by a wet removal or plasma ashing process. Removal of patterned layer 2210 fully exposes the re-exposure hole/trench 2214.

圖22G闡明接續於以材料層2216填充再曝露孔/溝槽2214及後續平坦化後的圖22F之結構的平面視圖及相應橫斷面視圖(沿著g-g’軸所取)。於一實施例中,材料層2216係用以形成插塞且為一種永久ILD材料。於另一實施例中,材料層116係用以形成導電通孔且為一種金屬填充層。於一此類實施例中,金屬填充層為單一材料層,或者被形成自數個層,包括導電襯裡層及填充層。任何適當的沈積製程(諸如電鍍、化學氣相沈積或物理氣相沈積)可被用以形成此一金屬填充層。於一實施例中,金屬填充層係由導電材料所組成,諸如(但不限定於)Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au或其合金。於其材料層116被平坦化(接續於沈積後)之情況下,化學機械拋光製程可被使用。22G illustrates a plan view and corresponding cross-sectional view (taken along the g-g' axis) of the structure of FIG. 22F subsequent to filling of re-exposed holes/trenches 2214 with material layer 2216 and subsequent planarization. In one embodiment, the material layer 2216 is used to form the plug and is a permanent ILD material. In another embodiment, the material layer 116 is used to form conductive vias and is a metal filling layer. In one such embodiment, the metal fill layer is a single layer of material, or is formed from several layers, including a conductive liner layer and a fill layer. Any suitable deposition process, such as electroplating, chemical vapor deposition, or physical vapor deposition, can be used to form such a metal fill layer. In one embodiment, the metal filling layer is composed of conductive materials such as (but not limited to) Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au or its alloys. With its material layer 116 planarized (following deposition), a chemical mechanical polishing process can be used.

於一實施例中,材料層2216為一種適於形成導電通孔之材料。於一此類實施例中,犧牲或永久佔位材料2206為一種永久佔位材料,諸如永久ILD材料。於另一此類實施例中,犧牲或永久佔位材料2206為一種犧牲佔位材料,其被後續地移除並以一種材料(諸如永久ILD材料)來替換。於另一實施例中,材料層2216為一種適於形成電介質插塞之材料。於一此類實施例中,犧牲或永久佔位材料2206為一種犧牲佔位材料,其被後續地移除或部分地移除以致能金屬線形成。In one embodiment, the material layer 2216 is a material suitable for forming conductive vias. In one such embodiment, the sacrificial or permanent placeholder material 2206 is a permanent placeholder material, such as a permanent ILD material. In another such embodiment, the sacrificial or permanent placeholder material 2206 is a sacrificial placeholder material that is subsequently removed and replaced with a material, such as a permanent ILD material. In another embodiment, the material layer 2216 is a material suitable for forming a dielectric plug. In one such embodiment, the sacrificial or permanent placeholder material 2206 is a sacrificial placeholder material that is subsequently removed or partially removed to enable metal line formation.

應理解:圖22G之所得結構可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖22G之結構可代表積體電路中之最後金屬互連層。再者,應理解:以上範例並未於圖形中包括蝕刻停止或金屬封蓋層,其可另為用於圖案化所需要的。然而,為了清楚瞭解,此等層未被包括於圖形中,因為其不會影響整體概念。It should be understood that the resulting structure of Figure 22G can then be used as the basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the structure of Figure 22G may represent the final metal interconnect layer in an integrated circuit. Furthermore, it should be understood that the above examples do not include an etch stop or metal capping layer in the pattern, which may otherwise be required for patterning. However, for clarity, these layers are not included in the figure as they do not affect the overall concept.

於另一形態中,實施例係有關於一種實施等向乾式蝕刻(連同孔縮小製程)之製程流。於一此類實施例中,一種圖案化方案係提供針孔圖案化於遮罩層中,接續於以有機聚合物填充所有通孔位置後。當作範例處理方案,圖22H-22J闡明其顯示積體電路層之部分的斜角橫斷面視圖,其表示一種涉及預形成通孔位置之自對準等向蝕刻的方法中之各個操作,依據本發明之實施例。In another form, embodiments relate to a process flow for performing isotropic dry etching (along with a hole reduction process). In one such embodiment, one patterning scheme provides pinhole patterning in the mask layer, subsequent to filling all via locations with an organic polymer. As an example processing scheme, Figures 22H-22J illustrate oblique cross-sectional views showing portions of integrated circuit layers representing various operations in a method involving self-aligned isotropic etching of preformed via locations, According to an embodiment of the present invention.

圖22H闡明接續於以佔位材料填充所有可能的通孔位置後之開始結構。參考圖22H,金屬化層2252(諸如金屬化層之ILD層)被形成於基底(未顯示)之上且包括複數金屬線2254於其中。ILD材料(其可為二或更多不同的ILD材料2256及2258)係圍繞其中通孔所可能被形成的位置。犧牲佔位材料2260係佔據其中所有可能通孔所可被形成於金屬線2252之上的位置。遮罩層2262(諸如薄低溫氧化物遮罩層)被形成於下方結構上。應理解:犧牲佔位材料2260並未出現於相鄰特徵之上,其可藉由沈積及平坦化或凹陷製程來完成。Figure 22H illustrates starting the structure after filling all possible via locations with placeholder material. Referring to Figure 22H, a metallization layer 2252, such as an ILD layer of metallization layer, is formed over a substrate (not shown) and includes a plurality of metal lines 2254 therein. ILD material, which can be two or more different ILD materials 2256 and 2258, surrounds locations where vias may be formed. The sacrificial placeholder material 2260 occupies the locations where all possible vias can be formed over the metal lines 2252. A mask layer 2262, such as a thin low temperature oxide mask layer, is formed on the underlying structure. It should be understood that the sacrificial placeholder material 2260 does not appear over adjacent features, which can be accomplished by deposition and planarization or recessing processes.

圖22I闡明接續於遮罩層2262之圖案化以形成開口2264於遮罩層2262中以後之圖22H的結構。參考圖22I,開口2264係暴露犧牲佔位材料2260之下方部分。特別地,開口2264僅在其中通孔被選定為待形成之位置上暴露犧牲佔位材料2260之下方部分。於一實施例中,遮罩層2262中之開口2264係實質上小於已暴露犧牲佔位材料2260。如上簡短所述,其相對地小於已暴露犧牲佔位材料2260之開口2264的形成係提供了針對失準問題之顯著增加的容許度。該製程有效地將通孔位置「縮小」至「針孔」之大小,針對實際通孔位置之選擇及圖案化。於一實施例中,遮罩層2262係藉由以下方式而被圖案化以開口2262:首先由微影製程(諸如正色調微影製程)形成並圖案化遮罩層2262上之光敏材料、及接著由蝕刻製程來圖案化遮罩層2262。22I illustrates the structure of FIG. 22H following patterning of mask layer 2262 to form openings 2264 in mask layer 2262. Referring to FIG. 22I , openings 2264 expose lower portions of sacrificial placeholder material 2260 . In particular, openings 2264 expose the underlying portions of sacrificial placeholder material 2260 only at locations where vias are selected to be formed. In one embodiment, the openings 2264 in the mask layer 2262 are substantially smaller than the exposed sacrificial placeholder material 2260 . As briefly described above, the formation of openings 2264 that are relatively smaller than the exposed sacrificial placeholder material 2260 provides significantly increased tolerance for misalignment issues. This process effectively "shrinks" the via location to the size of a "pinhole" for actual via location selection and patterning. In one embodiment, mask layer 2262 is patterned with openings 2262 by first forming and patterning the photosensitive material on mask layer 2262 by a lithography process, such as a positive tone lithography process, and The mask layer 2262 is then patterned by an etching process.

圖22J闡明接續於將其由開口2264所暴露的位置中之犧牲佔位材料2260移除以形成已暴露通孔位置2266後之圖22I的結構。於一實施例中,犧牲佔位材料2260係藉由等向蝕刻製程而被移除在通孔位置2266上。於一此類實施例中,犧牲佔位材料2260為一種有機聚合物,而等向蝕刻製程為一種等向電漿灰(氧電漿)或濕式清潔製程。22J illustrates the structure of FIG. 22I following removal of sacrificial placeholder material 2260 in the locations exposed by openings 2264 to form exposed via locations 2266. FIG. In one embodiment, the sacrificial placeholder material 2260 is removed over the via locations 2266 by an isotropic etch process. In one such embodiment, the sacrificial placeholder material 2260 is an organic polymer, and the isotropic etching process is an isotropic plasma gray (oxygen plasma) or wet cleaning process.

再次參考圖22J,應理解:後續處理可涉及移除遮罩層2262及以導電通孔材料填充孔/溝槽2266。同時,未由開口2264所暴露(亦即,未被選擇為通孔位置)之餘留的犧牲佔位材料2260可被替換以永久ILD材料。所得結構可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,所得結構可代表積體電路中之最後金屬互連層。Referring again to Figure 22J, it should be understood that subsequent processing may involve removing mask layer 2262 and filling holes/trenches 2266 with conductive via material. At the same time, the remaining sacrificial placeholder material 2260 that is not exposed by the openings 2264 (ie, not selected as via locations) can be replaced with permanent ILD material. The resulting structure can then be used as the basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the resulting structure may represent the final metal interconnect layer in an integrated circuit.

依據本發明之一或更多實施例,如上所述,文中所述之方式可建立於所謂「光桶」之使用,其中每一可能的特徵(例如,通孔或插塞)被預圖案化入基底中。接著,光抗蝕劑被填充入圖案化特徵而微影操作僅被用以選擇選定通孔以供通孔開口形成。光桶方式可容許較大的關鍵尺寸(CD)及/或重疊時之誤差,而同時留存用以選擇有興趣的通孔或插塞之能力。用以選擇特定光桶之微影方式可包括(但可不限定於)193nm浸入式微影(i193)、極紫外線(EUV)及/或電子束直接寫入(EBDW)微影。In accordance with one or more embodiments of the present invention, as described above, the approach described herein may build on the use of so-called "light barrels", where each possible feature (eg, via or plug) is pre-patterned into the base. Next, photoresist is filled into the patterned features and a lithography operation is used only to select selected vias for via opening formation. The light-barrel approach can tolerate larger critical dimension (CD) and/or overlap errors while preserving the ability to select vias or plugs of interest. The lithography method used to select a particular light barrel may include, but may not be limited to, 193 nm immersion lithography (i193), extreme ultraviolet (EUV) and/or electron beam direct writing (EBDW) lithography.

總之,依據本發明之一或更多實施例,DSA方式或減成方式被產生為光敏的。於一觀點中,達成光桶之一種形式,其中微影限制可被放寬且失準容許度可能很高,因為光桶係由非可光解的材料所圍繞。再者,於一實施例中,取代曝光以(例如)30mJ/cm2 ,此一光桶可被曝光以(例如)3mJ/cm2 。通常此將導致極差的CD控制及粗糙度。但於此情況下,CD及粗糙度控制將由光桶幾何所界定,其可被極佳地控制及界定。因此,此一光桶方式可被用以防止成像/劑量取捨,其限制了下一代微影製程之產量。於一實施例中,其未被選擇以移除之光桶材料被最終地留存為半導體結構中之永久ILD部分。於另一實施例中,其未被選擇以移除之光桶材料被最終地交換給半導體結構中之永久ILD部分。In summary, in accordance with one or more embodiments of the present invention, a DSA approach or a subtractive approach is produced to be photosensitive. In one view, a form of photobucket is achieved in which lithography constraints can be relaxed and misalignment tolerances can be high because the photobucket is surrounded by non-photolyzable material. Also, in one embodiment, instead of exposing at, eg, 30 mJ/cm 2 , such a photobarrel can be exposed at, eg, 3 mJ/cm 2 . Typically this will result in very poor CD control and roughness. But in this case, the CD and roughness control will be defined by the light barrel geometry, which can be well controlled and defined. Therefore, this photobucket approach can be used to prevent imaging/dose tradeoffs that limit the throughput of next-generation lithography processes. In one embodiment, the light barrel material that is not selected for removal is ultimately retained as a permanent ILD portion in the semiconductor structure. In another embodiment, the light barrel material, which is not selected for removal, is finally exchanged for the permanent ILD portion in the semiconductor structure.

於一實施例中,光桶「ILD」組成通常是極不同於標準ILD,且(於一實施例中)是高度地自對準於兩方向。更一般地,於一實施例中,如文中所使用之術語光桶係涉及使用超快光抗蝕劑或電子束抗蝕劑或其他光敏材料,如已蝕刻開口中所形成者。於此一實施例中,進入開口之聚合物的熱回填被使用接續於旋塗施加後。於一實施例中,快速光抗蝕劑係藉由從現有的光抗蝕劑材料移除抑制劑來製造。於另一實施例中,光桶係藉由蝕刻回製程及/或微影/縮小/蝕刻製程來形成。應理解:光桶無須被填充以實際的光抗蝕劑,只要該材料作用為光敏開關。於一實施例中,微影被用以暴露其被選擇以供移除之相應的光桶。然而,微影限制可被放寬且失準容許度可能很高,因為光桶係由非可光解的材料所圍繞。於一實施例中,光桶接受極紫外線(EUV)光之曝光以暴露光桶,其中於特定實施例中,EUV係於5-15奈米之範圍中。雖然文中所述之許多實施例係涉及根據聚合物之光桶材料,但於其他實施例中,根據奈米粒子之光桶材料被類似地實施。In one embodiment, the optical barrel "ILD" composition is generally very different from standard ILDs, and (in one embodiment) is highly self-aligned in both directions. More generally, in one embodiment, the term photobucket as used herein refers to the use of ultrafast photoresists or e-beam resists or other photosensitive materials, such as those formed in etched openings. In this embodiment, thermal backfill of the polymer into the opening is used subsequent to spin-on application. In one embodiment, fast photoresists are fabricated by removing inhibitors from existing photoresist materials. In another embodiment, the photobarrel is formed by an etch-back process and/or a lithography/reduction/etch process. It should be understood that the photobucket need not be filled with actual photoresist, so long as the material acts as a photoswitch. In one embodiment, lithography is used to expose the respective photo barrels that are selected for removal. However, lithography constraints can be relaxed and misalignment tolerances can be high because the photobucket is surrounded by non-photolyzable material. In one embodiment, the photobarrel receives exposure to extreme ultraviolet (EUV) light to expose the photobarrel, wherein in particular embodiments, EUV is in the range of 5-15 nm. While many of the embodiments described herein relate to photobarrel materials according to polymers, in other embodiments, photobarrel materials according to nanoparticles are similarly implemented.

依據本發明之實施例,描述一種光桶方式。文中所述之一或更多實施例係有關用於自對準通孔及插塞圖案化之減成方式,以及由此所得之結構。於一實施例中,文中所述之程序係致能後段製程特徵製造之自對準金屬化的實現。對於下一世代通孔及插塞圖案化所預期的重疊問題可由文中所述之一或更多方式來處理。更明確地,一或更多文中所述之實施例涉及使用一種減成方法以使用已蝕刻的溝槽來預形成每一通孔及插塞。接著使用一額外操作以選擇留存哪些通孔及插塞。此等操作可使用光桶來闡明,雖然亦可使用一種更傳統的抗蝕劑曝光及ILD回填方式來執行選擇程序。According to an embodiment of the present invention, a light barrel method is described. One or more embodiments described herein relate to a subtractive approach for self-aligned via and plug patterning, and the resulting structures. In one embodiment, the procedures described herein enable the realization of self-aligned metallization for back-end-of-process feature fabrication. Overlap issues anticipated for the next generation of via and plug patterning may be addressed in one or more of the ways described herein. More specifically, one or more of the embodiments described herein relate to using a subtractive method to preform each via and plug using etched trenches. An additional operation is then used to select which vias and plugs to keep. These operations can be illustrated using photobuckets, although a more traditional resist exposure and ILD backfill approach can also be used to perform the selection process.

於第一形態中,使用通孔第一、插塞第二方式。當作範例,圖23A-23L闡明其表示一種減成自對準通孔及插塞圖案化的方法中之各個操作的積體電路層之部分,依據本發明之實施例。於各所述操作之各圖示中,顯示橫斷面及/或斜角視圖。這些視圖將於文中被稱為相應的橫斷面視圖及斜角視圖。In the first form, the first through hole and the second plug form are used. As an example, FIGS. 23A-23L illustrate portions of an integrated circuit layer that represent operations in a method of subtracting self-aligned vias and plugs patterning, in accordance with embodiments of the present invention. In each illustration of each of the described operations, cross-sectional and/or oblique views are shown. These views will be referred to herein as the corresponding cross-sectional and oblique views.

圖23A闡明接續於層間電介質(ILD)層2302上所形成之第一硬遮罩材料層2304的沈積後(但在圖案化前)之開始結構2300的橫斷面視圖,依據本發明之實施例。參考圖23A,圖案化遮罩2306具有於第一硬遮罩材料層2304上或之上(沿著其側壁)所形成的間隔物2308。23A illustrates a cross-sectional view of the starting structure 2300 after deposition (but before patterning) of the first hard mask material layer 2304 formed on the interlayer dielectric (ILD) layer 2302, in accordance with an embodiment of the present invention . Referring to FIG. 23A, the patterned mask 2306 has spacers 2308 formed on or over the first layer of hard mask material 2304 (along its sidewalls).

圖23B闡明接續於藉由節距加倍的第一硬遮罩層之圖案化後的圖23A之結構,依據本發明之實施例。參考圖23B,圖案化遮罩2306被移除而間隔物2308之所得圖案被轉移(例如,藉由蝕刻製程)至第一硬遮罩材料層2304以形成第一圖案化硬遮罩2310。於一此類實施例中,第一圖案化硬遮罩2310被形成以光柵圖案,如圖23B中所描繪者。於一實施例中,第一圖案化硬遮罩2310之光柵結構為緊密節距光柵結構。於特定此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成(遮罩2306),但該節距可藉由使用間隔物遮罩圖案化而被減半,如圖23A及23B中所描繪者。甚至,雖然未顯示,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,圖23B的第一圖案化硬遮罩2310之光柵狀圖案可具有以恆定節距來分隔並具有恆定寬度之硬遮罩線。23B illustrates the structure of FIG. 23A following patterning of the first hard mask layer by pitch doubling, in accordance with an embodiment of the present invention. Referring to FIG. 23B , the patterned mask 2306 is removed and the resulting pattern of spacers 2308 is transferred (eg, by an etch process) to the first layer of hard mask material 2304 to form a first patterned hard mask 2310 . In one such embodiment, the first patterned hardmask 2310 is formed in a grating pattern, as depicted in Figure 23B. In one embodiment, the grating structure of the first patterned hard mask 2310 is a close-pitch grating structure. In a particular such embodiment, tight pitch cannot be achieved directly by conventional lithography. For example, a pattern according to conventional lithography can be formed first (mask 2306), but the pitch can be halved by using spacer mask patterning, as depicted in Figures 23A and 23B. Even, although not shown, the original pitch can be reduced by a quarter by a second round of spacer mask patterning. Thus, the raster-like pattern of the first patterned hard mask 2310 of FIG. 23B can have hard mask lines of constant width separated by a constant pitch.

圖23C闡明接續於第二圖案化硬遮罩之形成後的圖23B之結構,依據本發明之實施例。參考圖23C,第二圖案化硬遮罩2312被形成為與第一圖案化硬遮罩2310交錯。於一此類實施例中,第二圖案化硬遮罩2312係藉由第二硬遮罩材料層(具有不同於第一硬遮罩材料層2304之組成)之沈積而被形成。第二硬遮罩材料層被接著平坦化(例如,藉由化學機械拋光(CMP))以提供第二圖案化硬遮罩2312。23C illustrates the structure of FIG. 23B following the formation of the second patterned hard mask, in accordance with an embodiment of the present invention. Referring to FIG. 23C , the second patterned hard mask 2312 is formed to be interleaved with the first patterned hard mask 2310 . In one such embodiment, the second patterned hardmask 2312 is formed by deposition of a second layer of hardmask material (having a different composition than the first layer of hardmask material 2304). The second layer of hard mask material is then planarized (eg, by chemical mechanical polishing (CMP)) to provide a second patterned hard mask 2312 .

圖23D闡明接續於硬遮罩蓋層之沈積後的圖23C之結構,依據本發明之實施例。參考圖23D,硬遮罩蓋層2314被形成於第一圖案化硬遮罩2310及第一圖案化硬遮罩2312上。於一此類實施例中,硬遮罩蓋層2314之材料組成及蝕刻選擇性係不同於第一圖案化硬遮罩2310及第一圖案化硬遮罩2312。23D illustrates the structure of FIG. 23C following deposition of a hard mask cap layer, in accordance with an embodiment of the present invention. Referring to FIG. 23D , a hard mask cap layer 2314 is formed on the first patterned hard mask 2310 and the first patterned hard mask 2312 . In one such embodiment, the material composition and etch selectivity of the hard mask capping layer 2314 are different from the first patterned hard mask 2310 and the first patterned hard mask 2312.

圖23E闡明接續於硬遮罩蓋層之沈積後的圖23D之結構,依據本發明之實施例。參考圖23E,圖案化的硬遮罩蓋層2314被形成於第一圖案化硬遮罩2310及第一圖案化硬遮罩2312上。於一此類實施例中,圖案化的硬遮罩蓋層2314被形成以一正交於第一圖案化硬遮罩2310及第一圖案化硬遮罩2312之光柵圖案的光柵圖案,如圖23E中所示。於一實施例中,由圖案化的硬遮罩蓋層2314所形成之光柵結構為緊密節距光柵結構。於此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成,但該節距可藉由使用間隔物遮罩圖案化而被減半。甚至,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,圖23E之圖案化的硬遮罩蓋層2314之光柵狀圖案可具有以恆定節距來分隔並具有恆定寬度之硬遮罩線。Figure 23E illustrates the structure of Figure 23D following deposition of a hard mask cap layer, in accordance with an embodiment of the present invention. Referring to FIG. 23E , a patterned hard mask capping layer 2314 is formed on the first patterned hard mask 2310 and the first patterned hard mask 2312 . In one such embodiment, the patterned hardmask cap layer 2314 is formed with a grating pattern orthogonal to the grating patterns of the first patterned hardmask 2310 and the first patterned hardmask 2312, as shown in FIG. shown in 23E. In one embodiment, the grating structure formed by the patterned hard mask cap layer 2314 is a close pitch grating structure. In this embodiment, tight pitch cannot be achieved directly by conventional lithography. For example, a pattern according to conventional lithography can be formed first, but the pitch can be halved by patterning using a spacer mask. Even, the original pitch can be reduced by a quarter by a second round of spacer mask patterning. Thus, the raster-like pattern of the patterned hard mask cap layer 2314 of FIG. 23E can have hard mask lines of constant width separated by a constant pitch.

圖23F闡明接續於第一圖案化硬遮罩之進一步圖案化及複數光桶之後續形成後的圖23E之結構,依據本發明之實施例。參考圖23F,使用圖案化的硬遮罩蓋層2314為遮罩,第一圖案化硬遮罩2310被進一步圖案化以形成第一圖案化硬遮罩2316。第二圖案化硬遮罩2312未被進一步圖案化於此製程中。之後,圖案化的硬遮罩蓋層2314被移除,且光桶2318被形成於ILD層2302之上的所得開口中。光桶2318(於此階段)代表所得金屬化層中之所有可能的通孔位置。23F illustrates the structure of FIG. 23E following further patterning of the first patterned hardmask and subsequent formation of multiple photobuckets, in accordance with an embodiment of the present invention. Referring to FIG. 23F , using the patterned hard mask cap layer 2314 as a mask, the first patterned hard mask 2310 is further patterned to form the first patterned hard mask 2316 . The second patterned hard mask 2312 is not further patterned in this process. Afterwards, the patterned hard mask cap layer 2314 is removed, and photobuckets 2318 are formed in the resulting openings over the ILD layer 2302. Light barrels 2318 (at this stage) represent all possible via locations in the resulting metallization layer.

圖23G闡明接續於光桶曝光和顯影以留下選定的通孔位置、及後續的通孔開口蝕刻入下方ILD後的圖23F之結構,依據本發明之實施例。參考圖23G,選定的光桶2318被暴露並移除以提供選定的通孔位置2320。通孔位置2320接受選擇性蝕刻製程(諸如選擇性電漿蝕刻製程)以延伸通孔開口入下方ILD層2302,形成圖案化的ILD層2302’。蝕刻對於:剩餘的光桶2318、第一圖案化硬遮罩2316、及第二圖案化硬遮罩2312是選擇性的。23G illustrates the structure of FIG. 23F following photobarrel exposure and development to leave selected via locations, and subsequent via openings etched into the underlying ILD, in accordance with an embodiment of the present invention. Referring to FIG. 23G , selected photo barrels 2318 are exposed and removed to provide selected via locations 2320 . Via locations 2320 are subjected to a selective etch process, such as a selective plasma etch process, to extend the via openings into the underlying ILD layer 2302, forming a patterned ILD layer 2302'. Etching is selective to the remaining photobuckets 2318 , the first patterned hardmask 2316 , and the second patterned hardmask 2312 .

圖23H闡明接續於剩餘光桶之移除、硬遮罩材料之後續形成、及第二複數光桶之後續形成後的圖23G之結構,依據本發明之實施例。參考圖23H,剩餘光桶被移除,例如,藉由選擇性蝕刻製程。所有形成的開口(例如,於光桶2318以及通孔位置2320之移除時所形成的開口)被接著填充以硬遮罩材料2322,諸如碳為基的硬遮罩材料。之後,第一圖案化硬遮罩2316被移除(例如,以一種選擇性蝕刻製程),且所得的開口被填充以第二複數光桶2324。光桶2324(於此階段)代表所得金屬化層中之所有可能的插塞位置。應理解:第二圖案化硬遮罩2312未被進一步圖案化於製程中之此階段。23H illustrates the structure of FIG. 23G following removal of the remaining photo barrels, subsequent formation of hard mask material, and subsequent formation of a second plurality of photo barrels, in accordance with an embodiment of the present invention. Referring to Figure 23H, the remaining photo barrels are removed, eg, by a selective etch process. All openings formed (eg, openings formed upon removal of the light barrels 2318 and via locations 2320) are then filled with a hardmask material 2322, such as a carbon-based hardmask material. Thereafter, the first patterned hard mask 2316 is removed (eg, in a selective etch process), and the resulting openings are filled with a second plurality of photo barrels 2324. Light barrels 2324 (at this stage) represent all possible plug locations in the resulting metallization layer. It should be understood that the second patterned hard mask 2312 is not further patterned at this stage in the process.

圖23I闡明接續於插塞位置選擇後的圖23H之結構,依據本發明之實施例。參考圖23I,來自圖23H之光桶2324被移除自其中將不會形成插塞之位置2326。於其中被選來形成插塞之位置中,光桶2324被留存。於一實施例中,為了形成其中將不會形成插塞之位置2326,使用微影以暴露相應的光桶2324。暴露的光桶可接著藉由顯影劑而被移除。Figure 23I illustrates the structure of Figure 23H following plug location selection, in accordance with an embodiment of the present invention. Referring to Figure 23I, the light barrel 2324 from Figure 23H is removed from a location 2326 where a plug would not be formed. In the position selected to form the plug, the light barrel 2324 is retained. In one embodiment, to form locations 2326 where plugs will not be formed, lithography is used to expose the corresponding photo barrels 2324. The exposed photobucket can then be removed with the developer.

圖23J闡明接續於從通孔及線位置移除最近形成之硬遮罩後的圖23I之結構,依據本發明之實施例。參考圖23J,圖23I中所描繪之硬遮罩材料2322被移除。於一此類實施例中,硬遮罩材料2322係碳為基的硬遮罩材料且係以電漿灰製程來移除。如圖所示,剩餘的特徵包括:圖案化的ILD層2302’、為了插塞形成而留存的光桶2324、及通孔開口2328。雖然未顯示,應理解:於一實施例中,第二硬遮罩層2312亦被留存於此階段。23J illustrates the structure of FIG. 23I subsequent to removal of the most recently formed hard mask from via and line locations, in accordance with an embodiment of the present invention. Referring to Figure 23J, the hard mask material 2322 depicted in Figure 23I is removed. In one such embodiment, the hardmask material 2322 is a carbon-based hardmask material and is removed by a plasma ash process. As shown, the remaining features include: patterned ILD layer 2302' Although not shown, it should be understood that in one embodiment, the second hard mask layer 2312 is also retained at this stage.

圖23K闡明接續於未被插塞形成光桶所保護的位置中之圖案化ILD層之凹陷後的圖23J之結構,依據本發明之實施例。參考圖23K,未被光桶2324所保護之圖案化的ILD層2302’之部分被凹陷以提供金屬線開口2330,除了通孔開口2328之外。23K illustrates the structure of FIG. 23J after recessing of the patterned ILD layer in locations not protected by plug-forming photobarrels, in accordance with an embodiment of the present invention. 23K, portions of the patterned ILD layer 2302' that are not protected by the photobarrel 2324 are recessed to provide metal line openings 2330, in addition to the via openings 2328.

圖23L闡明接續於金屬填充後的圖23K之結構,依據本發明之實施例。參考圖23L,金屬化2332被形成於開口2328及2332中。於一此類實施例中,金屬化2332係藉由金屬填充及拋光回製程來形成。參考圖23L之左手邊部分,其結構係顯示為包括下部分,該下部分包括其中形成有金屬線及通孔(集合地顯示為2332)之圖案化的ILD層2302’。結構之上區2334包括第二圖案化硬遮罩2312以及剩餘(插塞位置)光桶2324。於一實施例中,上區2334被移除(例如,藉由CMP或蝕刻回),在後續製造以前。然而,於一替代實施例中,上區2334被留存於最終結構中。Figure 23L illustrates the structure of Figure 23K following metal filling, in accordance with an embodiment of the present invention. Referring to FIG. 23L, metallization 2332 is formed in openings 2328 and 2332. In one such embodiment, metallization 2332 is formed by a metal fill and polish back process. Referring to the left-hand portion of Figure 23L, the structure is shown to include a lower portion that includes a patterned ILD layer 2302' with metal lines and vias (shown collectively as 2332) formed therein. The over-structure region 2334 includes the second patterned hard mask 2312 and the remaining (plug location) photo barrels 2324 . In one embodiment, the upper region 2334 is removed (eg, by CMP or etch back) prior to subsequent fabrication. However, in an alternative embodiment, the upper region 2334 is left in the final structure.

圖23L之結構可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖23L之結構可代表積體電路中之最後金屬互連層。應理解其上述製程操作可被施行以替代的順序,不是每一操作均需被執行及/或額外的製程操作可被執行。再次參考圖23L,藉由減成方式之自對準製造可被完成於此階段。以類似方式所製造之下一層可能需要再一次完整製程之啟動。替代地,其他方式可被使用於此階段以提供額外互連層,諸如傳統雙或單金屬鑲嵌方式。The structure of Figure 23L can then be used as a basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the structure of Figure 23L may represent the final metal interconnect layer in an integrated circuit. It should be understood that the above-described process operations may be performed in alternate orders, not every operation needs to be performed and/or additional process operations may be performed. Referring again to Figure 23L, self-aligned fabrication by subtractive means can be accomplished at this stage. The next layer fabricated in a similar manner may require another full process start-up. Alternatively, other approaches may be used at this stage to provide additional interconnect layers, such as conventional dual or single damascene approaches.

於第二形態中,使用插塞第一、通孔第二方式。當作範例,圖23M-23S闡明其表示一種減成自對準通孔及插塞圖案化的方法中之各個操作的積體電路層之部分,依據本發明之另一實施例。於各所述操作之各闡明中,平面視圖被顯示於頂部,而相應的橫斷面視圖被顯示於底部。這些視圖將於文中被稱為相應的橫斷面視圖及平面視圖。In the second form, the plug first and the through hole second form are used. As an example, FIGS. 23M-23S illustrate portions of an integrated circuit layer that represent operations in a method of subtracting self-aligned via and plug patterning, according to another embodiment of the present invention. In each illustration of each of the described operations, the plan view is shown at the top and the corresponding cross-sectional view is shown at the bottom. These views will be referred to herein as the corresponding cross-sectional and plan views.

圖23M闡明形成於基底2351之上的開始正交柵格之平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),開始柵格結構2350包括光柵ILD層2352,具有第一硬遮罩層2354配置於其上。第二硬遮罩層2356被配置於第一硬遮罩層2354上且被圖案化以具有一種正交於下方光柵結構之光柵結構。此外,開口2358保持於第二硬遮罩層2356的光柵結構與由ILD層2352和第一硬遮罩層2354所形成的下方光柵之間。Figure 23M illustrates a plan view and corresponding cross-sectional view of a starting orthogonal grid formed over substrate 2351, in accordance with an embodiment of the present invention. Referring to plan views and corresponding cross-sectional views (a) and (b) taken along axes a-a' and bb', respectively, starting grid structure 2350 includes a grating ILD layer 2352 with a first hard mask The cap layer 2354 is disposed thereon. The second hard mask layer 2356 is disposed on the first hard mask layer 2354 and is patterned to have a grating structure orthogonal to the underlying grating structure. Additionally, openings 2358 remain between the grating structure of the second hard mask layer 2356 and the underlying grating formed by the ILD layer 2352 and the first hard mask layer 2354 .

圖23N闡明接續於開口填充及蝕刻回後的圖23M之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),圖23M之開口2358被填充以電介質層2360(諸如氧化矽層)。此一電介質層2360可被形成有沈積的氧化物膜,諸如藉由化學氣相沈積(CVD)、高密度電漿沈積(HDP)、或電介質上旋塗。沈積的材料可能需要蝕刻回以達成圖23N中所示之相對高度,留下上開口2358’。23N illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 23M subsequent to opening fill and etch back, in accordance with an embodiment of the present invention. Referring to the plan view and corresponding cross-sectional views (a) and (b) taken along axes a-a' and bb', respectively, the opening 2358 of FIG. 23M is filled with a dielectric layer 2360 (such as a silicon oxide layer) ). Such a dielectric layer 2360 may be formed with a deposited oxide film, such as by chemical vapor deposition (CVD), high density plasma deposition (HDP), or spin-on-dielectric. The deposited material may need to be etched back to achieve the relative height shown in Figure 23N, leaving the upper opening 2358'.

圖23O闡明接續於光桶填充、曝光及顯影以留下選定插塞位置後的圖23N之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),光桶被形成於圖23N之上開口2358’中。之後,大部分光桶被暴露並移除。然而,選定光桶2362未被暴露而因此留存以提供選定的插塞位置,如圖23O中所示。23O illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 23N following photobarrel filling, exposure, and development to leave selected plug locations, in accordance with an embodiment of the present invention. With reference to plan views and corresponding cross-sectional views (a) and (b) taken along axes a-a' and b-b', respectively, a light barrel is formed in upper opening 2358' of Figure 23N. After that, most of the light barrels were exposed and removed. However, the selected light barrel 2362 is not exposed and thus remains to provide the selected plug location, as shown in FIG. 23O.

圖23P闡明接續於電介質層2360的部分之移除後的圖23O之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),未被光桶2362所覆蓋之電介質層2360的部分被移除。然而,未被光桶2362所覆蓋之電介質層2360的部分保留於圖23P之結構中。於一實施例中,未被光桶2362所覆蓋之電介質層2360的部分係藉由濕式蝕刻製程而被移除。23P illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 23O following removal of portions of dielectric layer 2360, in accordance with an embodiment of the present invention. With reference to the plan views and corresponding cross-sectional views (a) and (b) taken along axes a-a' and bb', respectively, the portion of dielectric layer 2360 not covered by photobarrel 2362 is removed . However, the portion of dielectric layer 2360 not covered by photo barrel 2362 remains in the structure of Figure 23P. In one embodiment, the portion of the dielectric layer 2360 not covered by the photobarrel 2362 is removed by a wet etch process.

圖23Q闡明接續於光桶填充、曝光及顯影以留下選定通孔位置後的圖23P之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),光桶被形成於電介質層2360之部分的移除時所形成的開口中。之後,選定光桶被暴露並移除以提供選定的通孔位置2364,如圖23Q中所示。23Q illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 23P following photobarrel filling, exposure, and development to leave selected via locations, in accordance with an embodiment of the present invention. With reference to the plan views and corresponding cross-sectional views (a) and (b) taken along axes a-a' and bb', respectively, the photobarrel is formed upon removal of the portion of the dielectric layer 2360 formed in the opening. Afterwards, selected photo barrels are exposed and removed to provide selected via locations 2364, as shown in Figure 23Q.

圖23R闡明接續於通孔開口蝕刻入下方ILD後的圖23Q之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),圖23Q之通孔位置2364接受選擇性蝕刻製程(諸如選擇性電漿蝕刻製程)以延伸通孔開口2364至開口2364’,其被形成入下方ILD層2352中。23R illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 23Q following the etching of the via opening into the underlying ILD, in accordance with an embodiment of the present invention. Referring to the respective plan views and corresponding cross-sectional views (a) and (b) taken along axes a-a' and bb', via location 2364 of FIG. 23Q is subjected to a selective etching process such as selective plasma etching process) to extend via opening 2364 to opening 2364', which is formed into the underlying ILD layer 2352.

圖23S闡明接續於第二硬遮罩層及剩餘光桶材料之移除後的圖23R之結構的平面視圖及相應的橫斷面視圖,依據本發明之實施例。參考個別沿著軸a-a’及b-b’所取之平面視圖及相應的橫斷面視圖(a)及(b),第二硬遮罩層2356以及任何剩餘的光桶材料(亦即,尚未被曝光及顯影之光桶材料)被移除。該移除係針對所有其他剩餘特徵有選擇性地被執行。於一此類實施例中,第二硬遮罩層2356為碳基的硬遮罩材料,且該移除係藉由O2 電漿灰製程來履行。再次參考圖23S,此階段所剩餘者為:其中形成有通孔開口2364’之ILD層2352、以及其被保留給插塞位置之電介質層2360的部分(例如,由上方光桶材料所保留)。因此,於一實施例中,圖23S之結構包括以通孔開口(用於後續的金屬填充)圖案化之ILD層2352,其具有用以產生插塞之電介質層2360的位置。剩餘開口2366可被填充以金屬來形成金屬線。應理解其硬遮罩2354可被移除。23S illustrates a plan view and corresponding cross-sectional view of the structure of FIG. 23R following removal of the second hardmask layer and remaining photobucket material, in accordance with an embodiment of the present invention. Referring to the respective plan views and corresponding cross-sectional views (a) and (b) taken along axes a-a' and bb', the second hard mask layer 2356 and any remaining light barrel material (also That is, the photobarrel material that has not been exposed and developed) is removed. The removal is performed selectively for all other remaining features. In one such embodiment, the second hardmask layer 2356 is a carbon-based hardmask material, and the removal is performed by an O2 plasma ash process. Referring again to Figure 23S, what remains at this stage is: ILD layer 2352 with via openings 2364' formed therein, and the portion of dielectric layer 2360 that is reserved for plug locations (eg, reserved by the photobarrel material above) . Thus, in one embodiment, the structure of FIG. 23S includes an ILD layer 2352 patterned with via openings (for subsequent metal fill) with locations for a dielectric layer 2360 to create plugs. The remaining openings 2366 may be filled with metal to form metal lines. It should be understood that its hard mask 2354 can be removed.

因此,一旦以金屬互連材料填充後,圖23S之結構可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,一旦以金屬互連材料填充後,圖23S之結構可代表積體電路中之最後金屬互連層。再次參考圖23S,藉由減成方式之自對準製造可被完成於此階段。以類似方式所製造之下一層可能需要再一次完整製程之啟動。替代地,其他方式可被使用於此階段以提供額外互連層,諸如傳統雙或單金屬鑲嵌方式。Thus, once filled with metal interconnect material, the structure of Figure 23S can then be used as the basis for forming subsequent metal lines/vias and ILD layers. Alternatively, once filled with metal interconnect material, the structure of Figure 23S may represent the final metal interconnect layer in an integrated circuit. Referring again to Figure 23S, self-aligned fabrication by subtractive means can be accomplished at this stage. The next layer fabricated in a similar manner may require another full process start-up. Alternatively, other approaches may be used at this stage to provide additional interconnect layers, such as conventional dual or single damascene approaches.

應理解:與圖23A-23L及23M-23S關聯所描述的方式不一定被履行為形成對準於下方金屬化層之通孔。如此一來,於某些背景中,這些製程方案可被視為涉及針對任何下方金屬化層以由上而下方向盲目射擊。於第三形態中,減成方式提供與下方金屬化層之對準。舉例而言,圖24A-24I闡明其表示一種減成自對準插塞圖案化的方法中之各個操作的積體電路層之部分,依據本發明之另一實施例。於各描述操作之各圖示中,提供一斜角三維橫斷面視圖。It should be understood that the manner described in connection with Figures 23A-23L and 23M-23S is not necessarily performed to form vias aligned with the underlying metallization layers. As such, in some contexts, these process schemes may be viewed as involving a top-down blind shot against any underlying metallization layers. In a third form, the subtractive approach provides alignment with the underlying metallization. For example, FIGS. 24A-24I illustrate portions of integrated circuit layers that represent operations in a method of subtracting self-aligned plug patterning, in accordance with another embodiment of the present invention. In each illustration describing the operation, an oblique three-dimensional cross-sectional view is provided.

圖24A闡明接續於深金屬線製造後之用於減成通孔及插塞製程的開始點結構2400,依據本發明之實施例。參考圖24A,結構2400包括具有中間層間電介質(ILD)線2404之金屬線2402。亦應理解:某些線2402可與下方通孔關聯以便耦合至先前互連層。於一實施例中,金屬線2402係藉由將溝槽圖案化入ILD材料(例如,線2404之ILD材料)來形成。溝槽接著由金屬來填充且(假如需要的話)被平坦化至ILD線2404之頂部。於一實施例中,金屬溝槽及填充製程係涉及高的高寬比特徵。例如,於一實施例中,金屬線高度(h)與金屬線寬度(w)之高寬比約於5-10之範圍中。FIG. 24A illustrates a starting point structure 2400 for a subtractive via and plug process following deep metal line fabrication, in accordance with an embodiment of the present invention. Referring to FIG. 24A , structure 2400 includes metal lines 2402 with interlayer dielectric (ILD) lines 2404 . It should also be understood that certain lines 2402 may be associated with underlying vias for coupling to previous interconnect layers. In one embodiment, metal lines 2402 are formed by patterning trenches into the ILD material (eg, the ILD material of line 2404). The trenches are then filled with metal and, if necessary, planarized to the top of the ILD line 2404. In one embodiment, the metal trench and fill process involves high aspect ratio features. For example, in one embodiment, the aspect ratio of the metal line height (h) to the metal line width (w) is in the range of about 5-10.

圖24B闡明接續於金屬線之凹陷後的圖24A之結構,依據本發明之實施例。參考圖24B,金屬線2402被選擇性地凹陷以提供第一階金屬線2406。凹陷被選擇性地對ILD線2404來執行。該凹陷可藉由透過乾式蝕刻、濕式蝕刻、或其組合之蝕刻來執行。凹陷程度可由第一階金屬線2406之目標厚度來決定,以供使用為後段製程(BEOL)互連結構內之適當的導電互連線。24B illustrates the structure of FIG. 24A following the recess of the metal line, in accordance with an embodiment of the present invention. Referring to FIG. 24B , metal lines 2402 are selectively recessed to provide first-level metal lines 2406 . Recessing is performed selectively on the ILD line 2404 . The recessing can be performed by etching through dry etching, wet etching, or a combination thereof. The degree of recess may be determined by the target thickness of the first level metal lines 2406 for use as appropriate conductive interconnects within a back end of line (BEOL) interconnect structure.

圖24C闡明接續於層間電介質(ILD)層之形成後的圖24B之結構,依據本發明之實施例。參考圖24C,ILD材料層2408被沈積,且(假如需要的話)被平坦化,至凹陷金屬線2406及ILD線2404之上的位準。24C illustrates the structure of FIG. 24B following the formation of an interlevel dielectric (ILD) layer, in accordance with an embodiment of the present invention. Referring to FIG. 24C , a layer 2408 of ILD material is deposited and, if necessary, planarized to a level above recessed metal lines 2406 and ILD lines 2404 .

圖24D闡明接續於硬遮罩層之沈積及圖案化後的圖24C之結構,依據本發明之實施例。參考圖24D,硬遮罩層2410被形成於ILD層2408上。於一此類實施例中,硬遮罩層2410被形成以一正交於第一階金屬線2406/ILD線2404之光柵圖案的光柵圖案,如圖24D中所示。於一實施例中,由硬遮罩層2410所形成之光柵結構為緊密節距光柵結構。於此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成,但該節距可藉由使用間隔物遮罩圖案化而被減半。甚至,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,圖24D的第二硬遮罩層2410之光柵狀圖案可具有以恆定節距來分隔並具有恆定寬度之硬遮罩線。24D illustrates the structure of FIG. 24C following deposition and patterning of a hard mask layer, in accordance with an embodiment of the present invention. Referring to FIG. 24D , a hard mask layer 2410 is formed on the ILD layer 2408 . In one such embodiment, the hard mask layer 2410 is formed with a grating pattern orthogonal to the grating pattern of the first order metal lines 2406/ILD lines 2404, as shown in Figure 24D. In one embodiment, the grating structure formed by the hard mask layer 2410 is a close-pitch grating structure. In this embodiment, tight pitch cannot be achieved directly by conventional lithography. For example, a pattern according to conventional lithography can be formed first, but the pitch can be halved by patterning using a spacer mask. Even, the original pitch can be reduced by a quarter by a second round of spacer mask patterning. Thus, the grating-like pattern of the second hard mask layer 2410 of FIG. 24D may have hard mask lines of constant width separated by a constant pitch.

圖24E闡明接續於使用圖24D之硬遮罩的圖案所界定的溝槽形成後之圖24D的結構,依據本發明之實施例。參考圖24E,ILD層2408之暴露區(亦即,未被2410所保護者)被蝕刻以形成溝槽2412及圖案化的ILD層2414。蝕刻係停止在(且因而暴露)第一階金屬線2406及ILD線2404之頂部表面上。24E illustrates the structure of FIG. 24D following the formation of trenches defined using the pattern of the hardmask of FIG. 24D, in accordance with an embodiment of the present invention. Referring to FIG. 24E , exposed regions of ILD layer 2408 (ie, those not protected by 2410 ) are etched to form trenches 2412 and patterned ILD layer 2414 . The etch stops on (and thus exposes) the top surfaces of the first level metal lines 2406 and ILD lines 2404 .

圖24F闡明接續於所有可能通孔位置中之光桶形成後的圖24E之結構,依據本發明之實施例。參考圖24F,光桶2416被形成於凹陷金屬線2406之暴露部分上方的所有可能通孔位置中。於一實施例中,光桶2416被形成為基本上與ILD線2404之頂部表面共面,如圖24F中所描繪者。此外,再次參考圖24F,硬遮罩層2410可被移除自圖案化的ILD層2414。Figure 24F illustrates the structure of Figure 24E following the formation of photobarrels in all possible via locations, in accordance with an embodiment of the present invention. Referring to FIG. 24F , photo barrels 2416 are formed in all possible via locations over exposed portions of recessed metal lines 2406 . In one embodiment, light barrel 2416 is formed substantially coplanar with the top surface of ILD line 2404, as depicted in Figure 24F. Additionally, referring again to FIG. 24F , the hard mask layer 2410 may be removed from the patterned ILD layer 2414 .

圖24G闡明接續於通孔位置選擇後的圖24F之結構,依據本發明之實施例。參考圖24G,在選擇通孔位置2418時來自圖24F之光桶2416被移除。於其中不被選來形成通孔之位置中,光桶2416被留存。於一實施例中,為了形成通孔位置2418,微影被使用以暴露相應的光桶2416。暴露的光桶可接著藉由顯影劑而被移除。Figure 24G illustrates the structure of Figure 24F following via location selection, in accordance with an embodiment of the present invention. Referring to Figure 24G, the light barrel 2416 from Figure 24F is removed when the via location 2418 is selected. In locations that are not selected to form vias, photobuckets 2416 are retained. In one embodiment, to form the via locations 2418, lithography is used to expose the corresponding photo barrels 2416. The exposed photobucket can then be removed with the developer.

圖24H闡明接續於剩餘光桶之轉換至永久ILD材料後的圖24G之結構,依據本發明之實施例。參考圖24H,光桶2416之材料被修改(例如,藉由在烘烤操作時之交聯)於位置中以形成最後ILD材料2420。於一此類實施例中,交聯係提供烘烤時之溶解度切換。最終的、交聯的材料具有電介質間性質,而因此可被留存於最終金屬化結構中。Figure 24H illustrates the structure of Figure 24G following conversion of the remaining photobuckets to permanent ILD material, in accordance with an embodiment of the present invention. Referring to FIG. 24H, the material of the light barrel 2416 is modified (eg, by cross-linking during a bake operation) in place to form the final ILD material 2420. In one such embodiment, the cross-linking provides solubility switching upon baking. The final, cross-linked material has inter-dielectric properties and thus can be retained in the final metallization structure.

再次參考圖24H,於一實施例中,所得結構包括高達三個不同的電介質材料區(ILD線2404+ILD線2414+交聯光桶2420)於金屬化結構之單一平面2450中。於此一實施例中,ILD線2404、ILD線2414、及交聯光桶2420之兩者或全部係由相同材料所組成。於另一此實施例中,ILD線2404、ILD線2414及交聯光桶2420均由不同的ILD材料所組成。於任一情況下,於一特定實施例中,可在最後結構中觀察到諸如介於ILD線2404與ILD線2414的材料之間的垂直接縫(例如,接縫2497)及/或介於ILD線2404與交聯光桶2420之間的垂直接縫(例如,接縫2498)及/或介於ILD線2414與交聯光桶2420之間的垂直接縫(例如,接縫2499)等區別。Referring again to Figure 24H, in one embodiment, the resulting structure includes up to three distinct regions of dielectric material (ILD line 2404 + ILD line 2414 + cross-linked photobarrel 2420) in a single plane 2450 of the metallization structure. In this embodiment, both or all of ILD line 2404, ILD line 2414, and cross-linked photobarrel 2420 are composed of the same material. In another such embodiment, the ILD line 2404, the ILD line 2414, and the cross-linked photobarrel 2420 are all composed of different ILD materials. In either case, in a particular embodiment, vertical seams (eg, seam 2497) and/or between the materials of ILD wire 2404 and ILD wire 2414 may be observed in the final structure. A vertical seam (eg, seam 2498 ) between the ILD line 2404 and the cross-linked light barrel 2420 and/or a vertical seam (eg, seam 2499 ) between the ILD line 2414 and the cross-linked light barrel 2420 , etc. the difference.

圖24I闡明接續於金屬線及通孔形成後的圖24H之結構,依據本發明之實施例。參考圖24I,金屬線2422及通孔2424被形成於圖24H之開口的金屬填充上。金屬線2422係藉由通孔2424而被耦合至下方金屬線2406。於一實施例中,開口被填充以金屬鑲嵌方式或由下而上填充方式以提供圖24I中所示之結構。因此,於上述方式中用以形成金屬線及通孔之金屬(例如,銅及相關的障壁和種子層)沈積可為典型地用於標準後段製程(BEOL)處理者。於一實施例中,於後續製造操作中,ILD線2414可被移除以提供介於所得金屬線2424之間的空氣間隙。Figure 24I illustrates the structure of Figure 24H following the formation of metal lines and vias, in accordance with an embodiment of the present invention. Referring to Figure 24I, metal lines 2422 and vias 2424 are formed on the metal fill of the opening of Figure 24H. Metal line 2422 is coupled to underlying metal line 2406 by via 2424. In one embodiment, the openings are filled in damascene or bottom-up filling to provide the structure shown in Figure 24I. Accordingly, the deposition of metals (eg, copper and associated barrier and seed layers) used to form metal lines and vias in the above-described manner may be typically used by standard back-end-of-line (BEOL) processors. In one embodiment, in subsequent fabrication operations, the ILD lines 2414 may be removed to provide air gaps between the resulting metal lines 2424 .

圖24I之結構可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖24I之結構可代表積體電路中之最後金屬互連層。再次參考圖24I,藉由減成方式之自對準製造可被完成於此階段。以類似方式所製造之下一層可能需要再一次完整製程之啟動。替代地,其他方式可被使用於此階段以提供額外互連層,諸如傳統雙或單金屬鑲嵌方式。The structure of Figure 24I can then be used as a basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the structure of Figure 24I may represent the final metal interconnect layer in an integrated circuit. Referring again to Figure 24I, self-aligned fabrication by subtractive means can be accomplished at this stage. The next layer fabricated in a similar manner may require another full process start-up. Alternatively, other approaches may be used at this stage to provide additional interconnect layers, such as conventional dual or single damascene approaches.

依據本發明之實施例,描述多色光桶。文中所述之一或更多實施例係有關於使用多色光桶為一種用以處理低於微影節距限制之插塞及通孔製造的方式。文中所述之一或更多實施例係有關用於自對準通孔及插塞圖案化之減成方式,以及由此所得之結構。於一實施例中,文中所述之程序係致能後段製程特徵製造之自對準金屬化的實現。對於下一世代通孔及插塞圖案化所預期的重疊問題可由文中所述之一或更多方式來處理。According to an embodiment of the present invention, a polychromatic light barrel is described. One or more embodiments described herein pertain to the use of polychromatic light barrels as a way to handle plug and via fabrication below lithography pitch limitations. One or more embodiments described herein relate to a subtractive approach for self-aligned via and plug patterning, and the resulting structures. In one embodiment, the procedures described herein enable the realization of self-aligned metallization for back-end-of-process feature fabrication. Overlap issues anticipated for the next generation of via and plug patterning may be addressed in one or more of the ways described herein.

於範例實施例中,以下所述之方式係建立於使用所謂光桶之方式上,其中每一可能特徵(例如,通孔)被再圖案化入基底中。接著,光抗蝕劑被填充入圖案化特徵而微影操作僅被用以選擇選定通孔以供通孔開口形成。於以下所述之特定實施例中,微影操作被用以界定複數「多色光桶」之上的相當大的孔,其可接著藉由特定波長之大量曝光而被打開。多色光桶方式係容許較大的關鍵尺寸(CD)及/或重疊時之誤差,而同時留存用以選擇有興趣的通孔之能力。於一此類實施例中,溝槽被用以含有抗蝕劑本身,而大量曝光之多數波長被用以選擇性地打開有興趣的通孔。In an exemplary embodiment, the approach described below builds on the approach using a so-called photobucket, in which every possible feature (eg, a via) is repatterned into the substrate. Next, photoresist is filled into the patterned features and a lithography operation is used only to select selected vias for via opening formation. In the specific embodiment described below, a lithography operation is used to define relatively large holes over a plurality of "polychromatic light barrels", which can then be opened by bulk exposure of specific wavelengths. The polychromatic light-bucket approach allows for larger critical dimension (CD) and/or overlap errors while retaining the ability to select vias of interest. In one such embodiment, trenches are used to contain the resist itself, and most wavelengths of the bulk exposure are used to selectively open vias of interest.

更明確地,一或更多文中所述之實施例涉及使用一種減成方法以使用已蝕刻的溝槽來預形成每一通孔或通孔開口。接著使用一額外操作以選擇留存哪些通孔及插塞。此等操作可使用光桶來闡明,雖然亦可使用一種更傳統的抗蝕劑曝光及ILD回填方式來執行選擇程序。More specifically, one or more of the embodiments described herein relate to using a subtractive method to preform each via or via opening using etched trenches. An additional operation is then used to select which vias and plugs to keep. These operations can be illustrated using photobuckets, although a more traditional resist exposure and ILD backfill approach can also be used to perform the selection process.

於一範例中,可使用自對準通孔開口方式。當作範例處理方案,圖25A-25H闡明其表示一種使用多色光桶之減成自對準通孔圖案化的方法中之各個操作的積體電路層之部分,依據本發明之實施例。於各所述操作之各圖示中,顯示橫斷面視圖。In one example, a self-aligned via opening approach may be used. As an example processing scheme, FIGS. 25A-25H illustrate portions of integrated circuit layers that represent various operations in a method of subtractive self-aligned via patterning using a multicolor photobucket, in accordance with embodiments of the present invention. In each illustration of each described operation, a cross-sectional view is shown.

圖25A闡明接續於層間電介質(ILD)層2502上所形成之第一硬遮罩材料層2504的沈積後(但在圖案化前)之開始結構2500的橫斷面視圖,依據本發明之實施例。參考圖25A,圖案化遮罩2506具有於第一硬遮罩材料層2504上或之上(沿著其側壁)所形成的間隔物2508。25A illustrates a cross-sectional view of the starting structure 2500 after deposition (but before patterning) of the first hard mask material layer 2504 formed on the interlayer dielectric (ILD) layer 2502, in accordance with an embodiment of the present invention . Referring to FIG. 25A, the patterned mask 2506 has spacers 2508 formed on or over the first layer of hard mask material 2504 (along its sidewalls).

圖25B闡明接續於第一硬遮罩層之第一次圖案化及後續第一顏色光桶填充後的圖25A之結構,依據本發明之實施例。參考圖25B,圖案化遮罩2506及相應間隔物2508被一起使用為遮罩,於用以形成溝槽2510通過第一硬遮罩材料層2504且部分地進入ILD層2502的蝕刻期間。溝槽2510被接著填充以第一顏色光桶2512。25B illustrates the structure of FIG. 25A following the first patterning of the first hard mask layer and subsequent first color photobucket filling, in accordance with an embodiment of the present invention. Referring to FIG. 25B , the patterned mask 2506 and corresponding spacers 2508 are used together as a mask during the etch to form the trenches 2510 through the first hard mask material layer 2504 and partially into the ILD layer 2502 . Trenches 2510 are then filled with first color light barrels 2512.

圖25C闡明接續於第一硬遮罩層之第二次圖案化及後續第二顏色光桶填充後的圖25B之結構,依據本發明之實施例。參考圖25C,圖案化遮罩2506被移除且第二複數溝槽2514被蝕刻通過第一硬遮罩材料層2504且部分地進入ILD層2502,介於間隔物2508之間。之後,溝槽2514被填充以第二顏色光桶材料層2516。25C illustrates the structure of FIG. 25B following a second patterning of the first hard mask layer and subsequent second color photobucket filling, in accordance with an embodiment of the present invention. Referring to FIG. 25C , the patterned mask 2506 is removed and the second plurality of trenches 2514 are etched through the first hard mask material layer 2504 and partially into the ILD layer 2502 , between the spacers 2508 . Afterwards, the trenches 2514 are filled with a layer 2516 of a second color light barrel material.

再次參考圖25C,間隔物2508之負圖案被因此轉移(例如,藉由形成溝槽2510及2514之兩個蝕刻製程)至第一硬遮罩材料層2504。於一此類實施例中,間隔物2508(及因此,溝槽2510及2514)被形成以光柵圖案,如圖25C中所描繪。於一實施例中,光柵圖案為緊密節距光柵圖案。於特定此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被限制於遮罩2506,但該節距可藉由使用負間隔物遮罩圖案化而被減半,如圖25A-25C中所描繪。甚至,雖然未顯示,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,光桶2512及2516之光柵狀圖案(集體地)以恆定節距來分隔並具有恆定寬度。Referring again to FIG. 25C , the negative pattern of spacers 2508 is thus transferred (eg, by two etching processes forming trenches 2510 and 2514 ) to the first layer of hard mask material 2504 . In one such embodiment, spacers 2508 (and thus trenches 2510 and 2514) are formed in a grating pattern, as depicted in Figure 25C. In one embodiment, the grating pattern is a tight pitch grating pattern. In a particular such embodiment, tight pitch cannot be achieved directly by conventional lithography. For example, the pattern according to conventional lithography can be first limited to mask 2506, but the pitch can be halved by mask patterning using negative spacers, as depicted in Figures 25A-25C. Even, although not shown, the original pitch can be reduced by a quarter by a second round of spacer mask patterning. Thus, the raster-like patterns of light barrels 2512 and 2516 are (collectively) separated by a constant pitch and have a constant width.

圖25D闡明接續於用以彼此隔離第一與第二顏色光桶之平坦化後的圖25C之結構,依據本發明之實施例。參考圖25D,第二顏色光桶材料層2516及間隔物2508之頂部部分被平坦化,例如,藉由化學機械拋光(CMP),直到第一顏色光桶2512之頂部表面被暴露,形成離散的第二顏色光桶2518自光桶材料層2516。於一實施例中,第一顏色光桶2512與第二顏色光桶2518之組合係代表後續形成的金屬化結構中之所有可能的通孔位置。25D illustrates the structure of FIG. 25C following planarization to isolate the first and second color photo barrels from each other, in accordance with an embodiment of the present invention. Referring to Figure 25D, the top portions of the second color photobarrel material layer 2516 and spacers 2508 are planarized, eg, by chemical mechanical polishing (CMP), until the top surface of the first color photobarrel 2512 is exposed, forming discrete The second color light barrel 2518 is formed from the light barrel material layer 2516 . In one embodiment, the combination of the first color light barrel 2512 and the second color light barrel 2518 represents all possible via locations in the subsequently formed metallization structure.

圖25E闡明接續於第一顏色光桶之曝光及顯影以留下選定通孔位置後的圖25D之結構,依據本發明之實施例。參考圖25E,第二硬遮罩2520被形成並圖案化於圖25D之結構上。圖案化第二硬遮罩2520顯露選定的第一顏色光桶2512A。選定光桶2512A被暴露至光照射並被移除(亦即,顯影)以提供選定的通孔開口2513A。應理解:有關形成及圖案化硬遮罩層之文中描述涉及(於一實施例中)遮罩形成於稍候的覆蓋硬遮罩之上。遮罩形成可涉及使用適於微影處理之一或更多層。於圖案化一或更多微影層時,圖案係藉由蝕刻製程而被轉移至硬遮罩層以提供圖案化硬遮罩層。Figure 25E illustrates the structure of Figure 25D following exposure and development of the first color photobucket to leave selected via locations, in accordance with an embodiment of the present invention. Referring to FIG. 25E, a second hard mask 2520 is formed and patterned on the structure of FIG. 25D. The patterned second hard mask 2520 reveals the selected first color light bucket 2512A. Selected photo barrels 2512A are exposed to light exposure and removed (ie, developed) to provide selected via openings 2513A. It should be understood that the description herein related to forming and patterning a hard mask layer refers to (in one embodiment) a mask being formed over a later overlying hard mask. Mask formation may involve the use of one or more layers suitable for lithographic processing. When patterning one or more lithographic layers, the pattern is transferred to the hard mask layer by an etching process to provide a patterned hard mask layer.

再次參考圖25E,可能無法僅顯露選定光桶2512A於第二硬遮罩層2520之圖案化時。例如,一或更多相鄰(或附近)第二顏色光桶2518亦可被顯露。這些額外顯露的光桶可能不是最終通孔形成之想要的位置。然而,任何顯露的第二顏色光桶2518(於一實施例中)未被修改,於曝光至其用以圖案化第一顏色光桶2512之群組的照射時。例如,於一實施例中,第一顏色光桶2512易遭受紅色大量曝光2521並可被顯影以移除第一顏色光桶2512之選擇,如圖25E中所示。於該實施例中,第二顏色光桶2518不易遭受紅色大量曝光,而因此,無法被顯影並移除,即使被顯露於紅色大量曝光期間,如圖25E中所示。於一實施例中,藉由具有不同照射遭受性之相鄰光桶,較大的圖案及/或偏差容許度可被提供以放寬另與圖案化第二硬遮罩層2520相關的限制。Referring again to FIG. 25E, it may not be possible to expose only the selected photo barrels 2512A when the second hard mask layer 2520 is patterned. For example, one or more adjacent (or nearby) second color light barrels 2518 may also be revealed. These additional exposed light barrels may not be the desired locations for final via formation. However, any exposed second color photo barrels 2518 (in one embodiment) are not modified when exposed to the illumination it used to pattern the group of first color photo barrels 2512. For example, in one embodiment, the first color photobucket 2512 is subject to a red bulk exposure 2521 and can be developed to remove a selection of the first color photobucket 2512, as shown in Figure 25E. In this embodiment, the second color light barrel 2518 is not susceptible to red bulk exposure, and thus, cannot be developed and removed, even if exposed during red bulk exposure, as shown in Figure 25E. In one embodiment, with adjacent photobarrels having different exposure to exposure, greater pattern and/or deviation tolerances may be provided to relax constraints otherwise associated with patterning the second hard mask layer 2520.

圖25F闡明接續於第二顏色光桶之曝光及顯影以留下額外選定通孔位置後的圖25E之結構,依據本發明之實施例。參考圖25F,第三硬遮罩2522被形成並圖案化於圖25E之結構上。第三硬遮罩2522亦可填充選定通孔開口2513A,如圖25F中所描繪。圖案化第三硬遮罩2522顯露選定的第二顏色光桶2518A及2518B。選定光桶2518A及2518B被暴露至光照射並移除(亦即,顯影)以個別地提供選定的通孔開口2519A及2519B。25F illustrates the structure of FIG. 25E following exposure and development of the second color photobucket to leave additional selected via locations, in accordance with an embodiment of the present invention. Referring to Figure 25F, a third hard mask 2522 is formed and patterned on the structure of Figure 25E. A third hard mask 2522 may also fill selected via openings 2513A, as depicted in Figure 25F. The patterned third hard mask 2522 reveals the selected second color light buckets 2518A and 2518B. Selected photo barrels 2518A and 2518B are exposed to light irradiation and removed (ie, developed) to individually provide selected via openings 2519A and 2519B.

再次參考圖25F,可能無法僅顯露選定光桶2518A及2518B於第三硬遮罩層2522之圖案化時。例如,一或更多相鄰(或附近)第一顏色光桶2512亦可被顯露。這些額外顯露的光桶可能不是最終通孔形成之想要的位置。然而,任何顯露的第一顏色光桶2512(於一實施例中)未被修改,於曝光至其用以圖案化第二顏色光桶2518之群組的照射時。例如,於一實施例中,第二顏色光桶2518易遭受綠色大量曝光2523並可被顯影以移除第二顏色光桶2518之選擇,如圖25F中所示。於該實施例中,第一顏色光桶2512不易遭受綠色大量曝光,而因此,無法被顯影並移除,即使被顯露於綠色大量曝光期間,如圖25F中所示。於一實施例中,藉由具有不同照射遭受性之相鄰光桶,較大的圖案及/或偏差容許度可被提供以放寬另與圖案化第三硬遮罩層2522相關的限制。Referring again to FIG. 25F, it may not be possible to expose only selected photo barrels 2518A and 2518B when the third hard mask layer 2522 is patterned. For example, one or more adjacent (or nearby) first color light barrels 2512 may also be revealed. These additional exposed light barrels may not be the desired locations for final via formation. However, any exposed first color photo barrels 2512 (in one embodiment) are not modified when exposed to the illumination it used to pattern the group of second color photo barrels 2518. For example, in one embodiment, the second color photobucket 2518 is susceptible to green bulk exposure 2523 and can be developed to remove a selection of the second color photobucket 2518, as shown in Figure 25F. In this embodiment, the first color light barrel 2512 is not susceptible to green bulk exposure, and thus, cannot be developed and removed, even if exposed during green bulk exposure, as shown in Figure 25F. In one embodiment, greater pattern and/or deviation tolerances may be provided to relax constraints otherwise associated with patterning the third hard mask layer 2522 by having adjacent photobarrels with different exposure to exposure.

圖25G闡明接續於第三硬遮罩層之移除及蝕刻以形成通孔位置後的圖25F之結構,依據本發明之實施例。參考圖25G,第三硬遮罩層2522被移除。於一此類實施例中,第三硬遮罩層2522係碳為基的硬遮罩層且係藉由灰化製程來移除。接著,通孔開口2519A、2513A及2519B之圖案係經受選擇性蝕刻製程(諸如選擇性電漿蝕刻製程)以延伸通孔開口入更深入下方ILD層2502,形成具有通孔位置2524之通孔圖案化的ILD層2502’。蝕刻對於剩餘的光桶2512和2518以及對於間隔物2508是選擇性的。25G illustrates the structure of FIG. 25F following removal and etching of the third hard mask layer to form via locations, in accordance with an embodiment of the present invention. Referring to Figure 25G, the third hard mask layer 2522 is removed. In one such embodiment, the third hard mask layer 2522 is a carbon-based hard mask layer and is removed by an ashing process. Next, the pattern of via openings 2519A, 2513A, and 2519B is subjected to a selective etching process, such as a selective plasma etching process, to extend the via openings deeper into the underlying ILD layer 2502, forming a via pattern with via locations 2524 The doped ILD layer 2502'. The etching is selective to the remaining photobuckets 2512 and 2518 and to the spacer 2508 .

圖25H闡明在金屬填充前的圖25G之結構,依據本發明之實施例。參考圖25H,所有餘留的第一顏色及第二顏色光桶2512及2518被移除。餘留的第一顏色及第二顏色光桶2512及2518可被直接地移除,或者可首先被曝光並顯影以致能移除。餘留的第一顏色及第二顏色光桶2512及2518之移除係提供金屬線溝槽2526,其部分係耦合至圖案化ILD層2502’中之通孔位置2524。後續製程可包括間隔物2508和硬遮罩層2504之移除、以及金屬線溝槽2526和通孔位置2504之金屬填充。於一此類實施例中,金屬化係藉由金屬填充及拋光回製程來形成。Figure 25H illustrates the structure of Figure 25G prior to metal filling, in accordance with an embodiment of the present invention. Referring to Figure 25H, all remaining first and second color light barrels 2512 and 2518 are removed. The remaining first and second color photobuckets 2512 and 2518 can be removed directly, or can be exposed and developed first to enable removal. Removal of the remaining first and second color photo barrels 2512 and 2518 provides metal line trenches 2526, which are partially coupled to via locations 2524 in the patterned ILD layer 2502'. Subsequent processing may include removal of spacers 2508 and hard mask layer 2504 , and metal filling of metal line trenches 2526 and via locations 2504 . In one such embodiment, the metallization is formed by a metal fill and polish back process.

圖25H之結構(於金屬填充時)可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖25H之結構(於金屬填充時)可代表積體電路中之最後金屬互連層。再次參考圖25H,藉由減成方式之自對準製造可被完成於此階段。以類似方式所製造之下一層可能需要再一次完整製程之啟動。替代地,其他方式可被使用於此階段以提供額外互連層,諸如傳統雙或單金屬鑲嵌方式。The structure of Figure 25H (when metal filled) can then be used as the basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the structure of Figure 25H (when metal filled) may represent the last metal interconnect layer in an integrated circuit. Referring again to Figure 25H, self-aligned fabrication by subtractive means can be accomplished at this stage. The next layer fabricated in a similar manner may require another full process start-up. Alternatively, other approaches may be used at this stage to provide additional interconnect layers, such as conventional dual or single damascene approaches.

再次參考圖25A-25H,可考量數個選項為可用於提供第一顏色光桶2512及第二顏色光桶2518。例如,於一實施例中,兩個不同的正色調有機光抗蝕劑被使用。應理解:於一此類實施例中,具有不同化學結構之材料可被選擇用於第一顏色光桶2512及第二顏色光桶2518以容許待使用之不同的塗佈、光活化及顯影製程。當作範例實施例,傳統193nm微影聚甲基丙烯酸鹽抗蝕劑系統被選擇用於第一顏色光桶2512,而傳統248nm聚羥苯乙烯光抗蝕劑系統被選擇用於第二顏色光桶2518。介於這兩類型樹脂之間的顯著化學差異係容許兩不同的有機鑄造溶劑被使用;此可能是必要的,因為第二顏色光桶2518的材料被塗佈以其已存在之第一顏色光桶2512的材料。用於第一顏色光桶2512之鑄造溶劑不被限制;而針對第二顏色光桶2518,酒精溶劑可被使用,因為其仍可溶解PHS材料但不溶解較無極性的聚甲基丙烯酸鹽。Referring again to Figures 25A-25H, several options may be considered for providing a first color light bucket 2512 and a second color light bucket 2518. For example, in one embodiment, two different positive tone organic photoresists are used. It should be understood that in one such embodiment, materials with different chemical structures may be selected for the first color photobarrel 2512 and the second color photobarrel 2518 to allow for different coating, photoactivation, and development processes to be used . As an example embodiment, a conventional 193 nm lithographic polymethacrylate resist system was selected for the first color photobarrel 2512, and a conventional 248 nm polyhydroxystyrene photoresist system was selected for the second color light. Barrel 2518. The significant chemical difference between these two types of resins allows two different organic casting solvents to be used; this may be necessary because the material of the second color light barrel 2518 is coated with its preexisting first color light Material for barrel 2512. The casting solvent used for the first color light barrel 2512 is not limited; while for the second color light barrel 2518, an alcohol solvent may be used because it can still dissolve the PHS material but not the more apolar polymethacrylates.

當作第一顏色光桶2512之材料的聚甲基丙烯酸鹽樹脂與當作第二顏色光桶2518之材料的聚羥苯乙烯樹脂之組合可(於一實施例中)致能待使用之兩不同的曝光波長。典型的193nm微影聚合物係根據具有193nm吸收光酸產生劑(PAG)之聚甲基丙烯酸鹽,因為聚合物不會強烈地吸收於此波長。另一方面,聚羥苯乙烯可能不適當,因為其強烈地吸收193nm且阻止遍及該膜之PAG的活化。接著,於一實施例中,第一顏色光桶2512之材料可被選擇性地活化並顯影於193nm光子之存在下。為了強調介於第一顏色光桶2512與第二顏色光桶2518之間的光速度差異,可針對各者而調諧諸如193nm之PAG吸收性、PAG載入及光酸強度等因素。此外,強的193nm吸收劑可被加至第二顏色光桶2518(或被選擇性地沈積於第二顏色光桶2518之頂部上)以減少大塊膜內之PAG活化。接續於曝光後,於特定實施例中,第一顏色光桶2512之顯影選擇性地被執行以標準TMAH顯影劑,其中第二顏色光桶2518之最少顯影將發生。The combination of the polymethacrylate resin used as the material of the first color light barrel 2512 and the polyhydroxystyrene resin used as the material of the second color light barrel 2518 can (in one embodiment) enable the two to be used different exposure wavelengths. Typical 193nm lithographic polymers are based on polymethacrylates with 193nm absorbing photoacid generators (PAGs) because polymers do not absorb strongly at this wavelength. On the other hand, polyhydroxystyrene may not be suitable because it absorbs strongly at 193 nm and prevents activation of PAGs throughout the membrane. Next, in one embodiment, the material of the first color photobarrel 2512 can be selectively activated and developed in the presence of 193 nm photons. To accentuate the difference in light speed between the first color light bucket 2512 and the second color light bucket 2518, factors such as PAG absorption at 193 nm, PAG loading, and photoacid intensity can be tuned for each. Additionally, a strong 193 nm absorber can be added to (or selectively deposited on top of the second color photobarrel 2518) to reduce PAG activation within the bulk film. Following exposure, in certain embodiments, development of the first color photobucket 2512 is selectively performed with standard TMAH developer, where minimal development of the second color photobucket 2518 will occur.

於一實施例中,為了選擇性地移除第二顏色光桶2518(在第一顏色光桶2512之存在時),則使用一種第二較低能量波長,其僅活化第二顏色光桶2518中而非第一顏色光桶2512中之PAG。此可被達成以兩種方式。第一,於一實施例中,使用具有不同吸收性特性之PAG。例如,三烴基鋶鹽具有極低的吸收性於諸如248nm之波長,而三芳基鋶具有極高的吸收性。因此,藉由以下方式以達成選擇性:使用三芳基鋶或其他248nm吸收PAG於第二顏色光桶2518中,而使用三烴基鋶或其他非248nm吸收PAG於第一顏色光桶2512中。替代地,敏化劑可被結合入第二顏色光桶2518,其係吸收選擇性地於第二顏色光桶2518中之低能量光子轉移能量至PAG而無活化發生於第一顏色光桶2512中(因為沒有敏化劑存在)。In one embodiment, to selectively remove the second color light barrel 2518 (in the presence of the first color light barrel 2512), a second lower energy wavelength is used that activates only the second color light barrel 2518 instead of the PAG in the first color light barrel 2512. This can be achieved in two ways. First, in one embodiment, PAGs with different absorption properties are used. For example, trihydrocarbyl perionium salts have very low absorption at wavelengths such as 248 nm, while triaryl perionium salts have very high absorption. Thus, selectivity is achieved by using triaryl perionium or other 248 nm absorbing PAGs in the second color light barrel 2518 and using trihydrocarbyl perionium or other non-248 nm absorbing PAGs in the first color light barrel 2512. Alternatively, a sensitizer can be incorporated into the second color photobucket 2518 that absorbs low energy photons selectively in the second color photobucket 2518 and transfers energy to the PAG without activation occurring in the first color photobucket 2512 in (because no sensitizer is present).

於另一實施例中,圖25I闡明針對一種光桶類型的範例雙色調抗蝕劑及針對另一種光桶類型的範例單色調抗蝕劑,依據本發明之實施例。參考圖25I,於一實施例中,雙色調光抗蝕劑系統(PB-1)被用於第一顏色光桶2512之材料。單色調(慢)光抗蝕劑系統(PB-2)被用於第二顏色光桶2518之材料。雙色調光抗蝕劑可被特徵化為具有一種光回應,其係由於系統中所包括之光基產生劑的活化而被有效地關閉於較高劑量。光產生的基係中和了光酸並防止聚合物去保護。於一實施例中,於第一顏色光桶2512之曝光期間,劑量被選擇以致其雙色調抗蝕劑(PB-1)係操作為快速正色調系統,而單色調抗蝕劑(PB-2)尚未接收足夠的光子以供可溶性切換被活化。如此容許PB-1被移除以TMAH顯影劑而不移除PB-2。為了選擇性地移除PB-2而不移除PB-1,較高的劑量被用於第二曝光(亦即,第二顏色光桶2518之曝光)。所選擇的劑量必須活化PB-2中之足夠的PAG以容許TMAH中之溶解並且透過PBG之活化以將PB-2移入負色調回應領域。於此方案中,相同的PAG可被用於PB-1及PB-2且相同的曝光波長可被用於曝光1及2。應理解:PB-1可能需要結合光基產生劑(PBG);然而,很可能其將需要不同類型的聚合物以容許PB-2之塗佈(一旦PB-1已被塗佈)。如上所述,針對PB-1之聚甲基丙烯酸鹽類型抗蝕劑及針對PB-2之PHS類型的使用可滿足此需求。In another embodiment, Figure 25I illustrates an example duotone resist for one photobarrel type and an exemplary monotone resist for another photobarrel type, in accordance with embodiments of the present invention. Referring to FIG. 25I, in one embodiment, a dual tone photoresist system (PB-1) is used for the material of the first color photo barrel 2512. A single tone (slow) photoresist system (PB-2) was used for the second color photo barrel 2518 material. Dual tone photoresists can be characterized as having a photoresponse that is effectively shut down at higher doses due to activation of the photo-based generators included in the system. The light-generated radicals neutralize the photoacid and prevent deprotection of the polymer. In one embodiment, during exposure of the first color photobucket 2512, the dose is selected such that its dual-tone resist (PB-1) operates as a fast positive tone system, while the single-tone resist (PB-2) ) has not received enough photons for soluble switching to be activated. This allows PB-1 to be removed with TMAH developer without removing PB-2. In order to selectively remove PB-2 without removing PB-1, a higher dose is used for the second exposure (ie, the exposure of the second color light barrel 2518). The dose chosen must activate enough PAG in PB-2 to allow dissolution in TMAH and through activation of PBG to move PB-2 into the negative tone response area. In this scheme, the same PAG can be used for PB-1 and PB-2 and the same exposure wavelength can be used for exposures 1 and 2. It is understood that PB-1 may require incorporation of a photo-based generator (PBG); however, it is likely that it will require a different type of polymer to allow coating of PB-2 (once PB-1 has been coated). As mentioned above, the use of a polymethacrylate type resist for PB-1 and a PHS type for PB-2 can satisfy this need.

應理解:個別地針對第一及第二顏色光桶2512及2518之以上指定的材料可被交換,依據本發明之實施例。同時,上述多顏色光桶方式可被稱為1-D。類似的方式可被應用於使用交叉光柵之2-D系統,雖然光桶材料將必須承受來自上述交叉光柵之蝕刻及清潔。其結果將為一種於垂直方向上具有較小通孔/插塞之棋盤類型的圖案,相對於上述方式中的那些。此外,應理解:與圖25A-25H關聯所述之方式不一定被履行為形成對準至下方金屬化層之通孔,雖然其一定可被如此實施。於其他背景中,這些製程方案可被視為涉及針對任何下方金屬化層以由上而下方向盲目射擊。It should be understood that the materials specified above for the first and second color light barrels 2512 and 2518 individually may be exchanged in accordance with embodiments of the present invention. Meanwhile, the above-described multi-color light barrel approach may be referred to as 1-D. A similar approach can be applied to 2-D systems using cross gratings, although the light barrel material will have to withstand etching and cleaning from the cross gratings described above. The result would be a checkerboard type pattern with smaller vias/plugs in the vertical direction, relative to those in the above-described approach. Furthermore, it should be understood that the manner described in connection with Figures 25A-25H is not necessarily performed to form vias aligned to the underlying metallization layer, although it may certainly be implemented as such. In other contexts, these process schemes can be viewed as involving blind shooting in a top-down direction against any underlying metallization layers.

依據本發明之實施例,描述用於導電片之光桶。According to an embodiment of the present invention, a light barrel for a conductive sheet is described.

舉例而言,圖26A闡明傳統後段製程(BEOL)金屬化層之平面視圖。參考圖26A,傳統BEOL金屬化層2600被顯示有導電線或路由2604配置於層間電介質層2602中。金屬線可一般彼此平行地延伸並可包括切割、中斷或插塞2606於導電線2604之一或更多者的連續中。為了電耦合平行金屬線之二或更多者,上或下層路由2608被包括於先前或下一金屬化層中。此上或下層路由2608可包括一耦合導電通孔2612之導電線2610。應理解:因為上或下層路由2608被包括於先前或下一金屬化層中,所以上或下層路由2608可消耗其包括金屬化層之半導體結構的垂直不動產。For example, Figure 26A illustrates a plan view of a conventional back end of line (BEOL) metallization layer. Referring to FIG. 26A, a conventional BEOL metallization layer 2600 is shown with conductive lines or routings 2604 disposed in the interlayer dielectric layer 2602. The metal lines may extend generally parallel to each other and may include cuts, breaks or plugs 2606 in the continuation of one or more of the conductive lines 2604. To electrically couple two or more of the parallel metal lines, upper or lower level routing 2608 is included in the previous or next metallization layer. The upper or lower level routing 2608 may include a conductive line 2610 coupled to a conductive via 2612. It should be understood that because the upper or lower layer routing 2608 is included in the previous or next metallization layer, the upper or lower layer routing 2608 may consume vertical real estate of the semiconductor structure in which it includes the metallization layer.

反之,圖26B闡明後段製程(BEOL)金屬化層之平面視圖,該金屬化層具有導電片以耦合該金屬化層之金屬線,依據本發明之實施例。參考圖26B,BEOL金屬化層2650被顯示有導電線或路由2654配置於層間電介質層2652中。金屬線可一般彼此平行地延伸並可包括切割、中斷或插塞2656於導電線2654之一或更多者的連續中。為了電耦合平行金屬線之二或更多者,導電片158被包括於金屬化層2650中。應理解:因為導電片2658被包括於如導電線2654之相同金屬化層中,所以其包括金屬化層之半導體結構的垂直不動產之導電片2658消耗可被減少,相對於圖26A之結構。In contrast, FIG. 26B illustrates a plan view of a back end of line (BEOL) metallization layer having conductive pads to couple the metal lines of the metallization layer, in accordance with an embodiment of the present invention. Referring to FIG. 26B, a BEOL metallization layer 2650 is shown with conductive lines or routings 2654 disposed in an interlayer dielectric layer 2652. The metal lines may extend generally parallel to each other and may include cuts, breaks, or plugs 2656 in the continuation of one or more of the conductive lines 2654. Conductive sheet 158 is included in metallization layer 2650 in order to electrically couple two or more of the parallel metal lines. It will be appreciated that because the conductive pad 2658 is included in the same metallization layer as the conductive line 2654, the vertical real estate conductive pad 2658 consumption of the semiconductor structure including the metallization layer can be reduced relative to the structure of Figure 26A.

文中所述之一或更多實施例係有關用於金屬鑲嵌插塞及片圖案化之光桶方式。此等圖案化方案可被實施以致能雙向間隔物為基的互連。實施方式可特別地適於電連接金屬化層之兩平行線,其中兩金屬線係使用一種間隔物為基的方式來製造,該間隔物為基的方式另可限制在相同金屬化層中的兩相鄰線之間的導電連接之包括。通常,一或更多實施例係有關一種方式,其係利用一種金屬鑲嵌技術以形成導電片及介於金屬之間的非導電間隔或中斷(插塞)。One or more embodiments described herein relate to a photobucket approach for damascene plug and wafer patterning. Such patterning schemes can be implemented to enable bidirectional spacer-based interconnects. Embodiments may be particularly suitable for electrically connecting two parallel lines of metallization layers, wherein the two metal lines are fabricated using a spacer-based approach that may otherwise be restricted to the same metallization layer. Including the conductive connection between two adjacent lines. Generally, one or more embodiments relate to an approach that utilizes a damascene technique to form conductive sheets and non-conductive spaces or breaks (plugs) between metals.

更明確地,文中所述之一或更多實施例涉及使用一種金屬鑲嵌方法以形成片及插塞。一開始,每一可能的片及插塞位置係首先圖案化於硬遮罩層中。接著使用一額外操作以選擇留存哪些片及插塞位置。該些位置被接著轉移入下方層間電介質層。此等操作可使用光桶來闡明。於特定實施例中,一種用於通孔、插塞、及片之金屬鑲嵌圖案化的方法被提供以自對準,使用光桶方式及選擇性硬遮罩。More specifically, one or more of the embodiments described herein relate to the use of a damascene method to form sheets and plugs. Initially, each possible patch and plug location is first patterned in the hard mask layer. An additional operation is then used to select which slices and plug locations to keep. These locations are then transferred into the underlying interlevel dielectric layer. Such operations can be illustrated using light barrels. In certain embodiments, a method for damascene patterning of vias, plugs, and sheets is provided for self-alignment, using a photobucket approach and selective hardmasking.

依據本發明之實施例,光桶圖案化被用於以一種自對準方式來製造插塞及片。一般性概述製程流可涉及(1)交叉光柵之製造,接續以(2)用於插塞界定及改變光抗蝕劑至一種可承受下游處理之「硬」材料的光桶化,接續以(3)藉由以可填充材料背填、凹陷該可填充材料、及移除原始交叉光柵之光柵色調反轉,接續以(4)用於「片」界定之光桶化,接續以(5)將該圖案蝕刻轉移入下方層間電介質(ILD)層並拋光掉額外的硬遮罩材料。應理解:雖然一般性製程流不包括通孔,但是於一實施例中,文中所述之方式可被實施以延伸至使用相同自對準光柵之多通的插塞、通孔、及片。In accordance with embodiments of the present invention, light barrel patterning is used to fabricate plugs and sheets in a self-aligned manner. General Overview A process flow may involve (1) the fabrication of cross gratings, followed by (2) photobarrelization for plug definition and changing the photoresist to a "hard" material that can withstand downstream processing, followed by ( 3) By backfilling with refillable material, recessing the refillable material, and removing the raster tone inversion of the original cross grating, followed by (4) for "slice" defined photobucketing, followed by (5) The pattern etch is transferred into the underlying interlevel dielectric (ILD) layer and the additional hard mask material is polished away. It should be understood that although the general process flow does not include vias, in one embodiment, the approaches described herein can be implemented to extend to multi-pass plugs, vias, and pads using the same self-aligned grating.

舉例而言,圖27A-27K闡明斜角橫斷面視圖,其表示一種製造後段製程(BEOL)金屬化層之方法中的各個操作,該金屬化層具有導電片以耦合該金屬化層之金屬線,依據本發明之實施例。For example, FIGS. 27A-27K illustrate oblique cross-sectional views representing various operations in a method of fabricating a back end of line (BEOL) metallization layer having conductive sheets to couple the metal of the metallization layer line, according to an embodiment of the present invention.

參考圖27A,於交叉光柵圖案化方案中之第一操作被履行於層間電介質(ILD)層2702之上,其被形成於基底2700之上。覆蓋硬遮罩2704被首先形成於ILD層2702上。第一光柵硬遮罩2706被形成沿著覆蓋硬遮罩2704之上的第一方向。於一實施例中,第一光柵硬遮罩2706被形成以光柵圖案,如圖27A中所描繪。於一實施例中,第一光柵硬遮罩2706之光柵結構為緊密節距光柵結構。於特定此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成,但該節距可藉由使用間隔物遮罩圖案化而被減半。甚至,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,圖27A的第一光柵硬遮罩2706之光柵狀圖案可具有以恆定節距來緊密分隔並具有恆定寬度之硬遮罩線。Referring to FIG. 27A , the first operation in the cross grating patterning scheme is performed over an interlayer dielectric (ILD) layer 2702 , which is formed over a substrate 2700 . Overlay hardmask 2704 is first formed on ILD layer 2702. A first grating hard mask 2706 is formed along a first direction overlying the hard mask 2704 . In one embodiment, the first grating hardmask 2706 is formed in a grating pattern, as depicted in Figure 27A. In one embodiment, the grating structure of the first grating hard mask 2706 is a tight-pitch grating structure. In a particular such embodiment, tight pitch cannot be achieved directly by conventional lithography. For example, a pattern according to conventional lithography can be formed first, but the pitch can be halved by patterning using a spacer mask. Even, the original pitch can be reduced by a quarter by a second round of spacer mask patterning. Thus, the raster-like pattern of the first grating hardmask 2706 of FIG. 27A can have hardmask lines of constant width that are closely spaced with a constant pitch.

參考圖27B,於交叉光柵圖案化方案中之第二操作被履行於層間電介質(ILD)層2702之上。第二光柵硬遮罩2708被形成沿著覆蓋硬遮罩2704之上的第二方向。第二方向係正交於第一方向。第二光柵硬遮罩2708具有上覆硬遮罩2710。於一實施例中,第二光柵硬遮罩2710被製造於一種使用上覆硬遮罩2710之圖案化製程中。第二光柵硬遮罩2708之連續性係由第一光柵硬遮罩2706之線所中斷,而如此一來,第一光柵硬遮罩2706之部分係延伸於上覆硬遮罩2710之下。於一實施例中,第二光柵硬遮罩2708被形成為與第一光柵硬遮罩2706交錯。於一此類實施例中,第二光柵硬遮罩2708係藉由第二硬遮罩材料層(具有不同於第一光柵硬遮罩2706之組成)之沈積而被形成。第二硬遮罩材料層被接著平坦化(例如,藉由化學機械拋光(CMP)),並接著使用上覆硬遮罩2710而被圖案化,以提供第二光柵硬遮罩2708。如同針對第一光柵硬遮罩2706之情況,於一實施例中,第二光柵硬遮罩2708之光柵結構為緊密節距光柵結構。於特定此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成,但該節距可藉由使用間隔物遮罩圖案化而被減半。甚至,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,圖27A的第二光柵硬遮罩2708之光柵狀圖案可具有以恆定節距來緊密分隔並具有恆定寬度之硬遮罩線。Referring to FIG. 27B , a second operation in the cross grating patterning scheme is performed over an interlayer dielectric (ILD) layer 2702 . A second grating hard mask 2708 is formed along the second direction overlying the hard mask 2704 . The second direction is orthogonal to the first direction. The second grating hardmask 2708 has an overlying hardmask 2710. In one embodiment, the second grating hardmask 2710 is fabricated in a patterning process using an overlying hardmask 2710. The continuity of the second raster hardmask 2708 is interrupted by the lines of the first raster hardmask 2706 , and as such, portions of the first raster hardmask 2706 extend below the overlying hardmask 2710 . In one embodiment, the second grating hardmask 2708 is formed to be interleaved with the first grating hardmask 2706 . In one such embodiment, the second grating hardmask 2708 is formed by deposition of a second layer of hardmask material (having a different composition than the first grating hardmask 2706). The second layer of hard mask material is then planarized (eg, by chemical mechanical polishing (CMP)), and then patterned using an overlying hard mask 2710 to provide a second grating hard mask 2708 . As was the case for the first grating hardmask 2706, in one embodiment, the grating structure of the second grating hardmask 2708 is a tight-pitch grating structure. In a particular such embodiment, tight pitch cannot be achieved directly by conventional lithography. For example, a pattern according to conventional lithography can be formed first, but the pitch can be halved by patterning using a spacer mask. Even, the original pitch can be reduced by a quarter by a second round of spacer mask patterning. Thus, the grating-like pattern of the second grating hardmask 2708 of FIG. 27A can have hardmask lines of constant width that are closely spaced with a constant pitch.

參考圖27C,插塞光桶圖案化方案被履行為第一光桶化製程。於一實施例中,光桶2712被形成於第一光柵硬遮罩2706與第二光柵硬遮罩2708之間的所有已暴露開口上。於一實施例中,通孔圖案化製程被選擇性地履行在插塞光桶圖案化製程之前。通孔圖案化可為直接圖案化或者可涉及分離的光桶化製程。Referring to FIG. 27C, the plug photobarrel patterning scheme is performed as the first photobarrel process. In one embodiment, light barrels 2712 are formed over all exposed openings between the first grating hardmask 2706 and the second grating hardmask 2708. In one embodiment, the via patterning process is selectively performed before the plug photo barrel patterning process. Via patterning may be direct patterning or may involve a separate photobarrel process.

參考圖27D,光桶2712之選定者被移除,而同時其他光桶2712被留存,例如,藉由使選定光桶2712不曝光至一種用以打開所有其他光桶2712的微影及顯影製程。圖27A之覆蓋硬遮罩2704的暴露部分被接著蝕刻以提供第一次圖案化硬遮罩2714。留存的光桶2712(於此階段)代表最後金屬化層中之插塞位置。亦即,於第一光桶製程中,光桶被移除自其中所將不形成插塞的位置。於一實施例中,為了形成其中將不會形成插塞之位置,使用微影以暴露相應的光桶。暴露的光桶可接著藉由顯影劑而被移除。Referring to Figure 27D, selected ones of the photo barrels 2712 are removed while other photo barrels 2712 are retained, eg, by leaving the selected photo barrels 2712 unexposed to a lithography and development process that opens all other photo barrels 2712 . The exposed portion of FIG. 27A that covers the hardmask 2704 is then etched to provide the first patterned hardmask 2714. The remaining photobuckets 2712 (at this stage) represent the plug locations in the final metallization layer. That is, in the first photobarrel process, the photobarrel is removed from the position where the plug will not be formed. In one embodiment, to form locations where plugs will not be formed, lithography is used to expose the corresponding photo barrels. The exposed photobucket can then be removed with the developer.

參考圖27E,光柵色調反轉製程被履行。於一實施例中,電介質區2716被形成於圖27D之結構的所有暴露區中。於一實施例中,電介質區2716係藉由電介質層之沈積並蝕刻回而被形成,以形成電介質區2716。Referring to Figure 27E, the raster tone inversion process is performed. In one embodiment, dielectric regions 2716 are formed in all exposed regions of the structure of Figure 27D. In one embodiment, the dielectric regions 2716 are formed by deposition of a dielectric layer and etching back to form the dielectric regions 2716 .

參考圖27F,未由上覆硬遮罩2710所覆蓋的第一光柵硬遮罩2706之部分被接著移除以僅留下在上覆硬遮罩2710下方所餘留的第一光柵硬遮罩2706之部分2706’。Referring to FIG. 27F , the portion of the first grating hardmask 2706 not covered by the overlying hardmask 2710 is then removed to leave only the first grating hardmask remaining under the overlying hardmask 2710 Part 2706' of 2706.

參考圖27G,片光桶圖案化方案被履行為第二光桶化製程。於一實施例中,光桶2718被形成於第一光柵硬遮罩2706之暴露部分的移除時所形成之所有已暴露開口中。Referring to FIG. 27G, the sheet photobarrel patterning scheme is performed as a second photobarrel process. In one embodiment, light barrels 2718 are formed in all exposed openings formed upon removal of exposed portions of the first grating hardmask 2706.

參考圖27H,光桶2718之選定者被移除,而同時其他光桶2718被留存,例如,藉由使光桶2718不曝光至一種用以打開其他光桶的微影及顯影製程。圖27D-27G之第一次圖案化硬遮罩2714的暴露部分被接著蝕刻以提供第二次圖案化硬遮罩2715。留存的光桶2718(於此階段)代表其中導電片所將不會在最後金屬化層中之位置。亦即,於第二光桶製程中,光桶被移除自其中導電片所將最終地被形成的位置。於一實施例中,為了形成其中導電片所將被形成之位置,使用微影以暴露相應的光桶。暴露的光桶可接著藉由顯影劑而被移除。Referring to Figure 27H, selected ones of the photo barrels 2718 are removed while the other photo barrels 2718 are retained, eg, by leaving the photo barrels 2718 unexposed to a lithography and development process used to open the other photo barrels. The exposed portions of the first patterned hardmask 2714 of FIGS. 27D-27G are then etched to provide the second patterned hardmask 2715. The remaining photobuckets 2718 (at this stage) represent the locations where the conductive sheet will not be in the final metallization layer. That is, in the second photobarrel process, the photobarrel is removed from the position where the conductive sheet will be finally formed. In one embodiment, to form the locations where the conductive sheets are to be formed, lithography is used to expose the corresponding photo barrels. The exposed photobucket can then be removed with the developer.

參考圖27I,上覆硬遮罩2710、第二光柵硬遮罩2708、及電介質區2716被移除。之後,於上覆硬遮罩2710之移除時所暴露的第二次圖案化硬遮罩2715之部分被移除以提供第三次圖案化硬遮罩2720,第二光柵硬遮罩2708、及電介質區2716被移除。於一實施例中,光桶2712及2718之餘留者被首先硬化(例如,藉由烘烤製程),在移除上覆硬遮罩2710、第二光柵硬遮罩2708、及電介質區2716之前。於此階段,光桶2712之選定者、光桶2718之選定者、及第一光柵硬遮罩2706之留存部分2706’係餘留在第三次圖案化硬遮罩2720之上。於一實施例中,上覆硬遮罩2710、第二光柵硬遮罩2708、及電介質區2716係使用選擇性濕式蝕刻製程而被移除,而於上覆硬遮罩2710之移除時所暴露的第二次圖案化硬遮罩2715之部分係使用乾式蝕刻製程而被移除以提供第三次圖案化硬遮罩2720。Referring to Figure 27I, the overlying hard mask 2710, the second grating hard mask 2708, and the dielectric region 2716 are removed. Afterwards, the portion of the second patterned hard mask 2715 exposed during the removal of the overlying hard mask 2710 is removed to provide the third patterned hard mask 2720, the second grating hard mask 2708, and dielectric region 2716 is removed. In one embodiment, the remainder of the photo barrels 2712 and 2718 is first hardened (eg, by a bake process), before removing the overlying hard mask 2710, the second grating hard mask 2708, and the dielectric region 2716 Before. At this stage, selected ones of photobucket 2712, selected ones of photobucket 2718, and remaining portion 2706' of first raster hardmask 2706 remain over third patterned hardmask 2720. In one embodiment, overlying hardmask 2710, second grating hardmask 2708, and dielectric region 2716 are removed using a selective wet etch process, while overlying hardmask 2710 is removed The exposed portion of the second patterned hard mask 2715 is removed using a dry etch process to provide the third patterned hard mask 2720 .

參考圖27J,第三次圖案化硬遮罩2720之圖案被轉移至ILD層2702之上部分以形成圖案化ILD層2722。於一實施例中,接著,第三次圖案化硬遮罩2720之插塞及片圖案被轉移至ILD層2702以形成圖案化ILD層2722。於一實施例中,蝕刻製程被用以將圖案轉移入ILD層2702中。於一此類實施例中,餘留在第三次圖案化硬遮罩2720之上的光桶2712之選定者、光桶2718之選定者、及第一光柵硬遮罩2706之留存部分2706’被移除或損耗於其用以形成圖案化ILD層2722之蝕刻期間。於另一實施例中,餘留在第三次圖案化硬遮罩2720之上的光桶2712之選定者、光桶2718之選定者、及第一光柵硬遮罩2706之留存部分2706’被移除於其用以形成圖案化ILD層2722之蝕刻以前或以後。Referring to FIG. 27J , the pattern of the third patterned hard mask 2720 is transferred to the upper portion of the ILD layer 2702 to form a patterned ILD layer 2722 . In one embodiment, the plug and sheet patterns of the third patterned hard mask 2720 are then transferred to the ILD layer 2702 to form the patterned ILD layer 2722 . In one embodiment, an etch process is used to transfer the pattern into the ILD layer 2702. In one such embodiment, the selected ones of the photobuckets 2712, the selected ones of the photobuckets 2718, and the remaining portion 2706' of the first raster hardmask 2706 remaining over the third patterned hardmask 2720 is removed or lost during the etch it is used to form the patterned ILD layer 2722. In another embodiment, the selected ones of the photobuckets 2712, the selected ones of the photobuckets 2718, and the remaining portion 2706' of the first raster hardmask 2706 remaining over the third patterned hardmask 2720 are Removed before or after its etch to form patterned ILD layer 2722.

參考圖27K,接續於圖案化ILD層2732之形成後,導電線2724被形成。於一實施例中,導電線2724係使用金屬填充及拋光回製程來形成。於導電線2724之形成期間,耦合兩金屬線2724之導電片2728亦被形成。因此,於一實施例中,介於導電線2724之間的導電耦合(片2728)被形成在如導電線2724之相同時刻、在相同ILD層2722中、以及在如導電線2724之相同平面中。此外,插塞2726可被形成為導電線2724之一或更多者中的斷裂或中斷,如圖27K中所描繪。於一此類實施例中,插塞2726為其被保留於用以形成圖案化ILD層2722之圖案轉移期間的ILD層2702之區。於一實施例中,第三次圖案化硬遮罩2720被移除,如圖27K中所描繪。於一此類實施例中,第三次圖案化硬遮罩2720被移除在形成導電線2724及片2728之後,例如,使用後金屬化化學機械平坦化(CMP)製程。Referring to FIG. 27K, following the formation of the patterned ILD layer 2732, conductive lines 2724 are formed. In one embodiment, the conductive lines 2724 are formed using a metal fill and polish back process. During the formation of the conductive lines 2724, a conductive sheet 2728 coupling the two metal lines 2724 is also formed. Thus, in one embodiment, conductive couplings (sheets 2728 ) between conductive lines 2724 are formed at the same instant as conductive lines 2724 , in the same ILD layer 2722 , and in the same plane as conductive lines 2724 . Additionally, the plugs 2726 may be formed as breaks or interruptions in one or more of the conductive lines 2724, as depicted in Figure 27K. In one such embodiment, the plug 2726 is the area of the ILD layer 2702 that is reserved for the pattern transfer used to form the patterned ILD layer 2722. In one embodiment, the third patterned hard mask 2720 is removed, as depicted in Figure 27K. In one such embodiment, the third patterned hard mask 2720 is removed after forming the conductive lines 2724 and sheets 2728, eg, using a post-metallization chemical mechanical planarization (CMP) process.

再次參考圖27K,於一實施例中,用於半導體結構之後段製程(BEOL)金屬化層包括配置於基底2700之上的層間電介質(ILD)層2722。複數導電線2724被配置於沿著第一方向之ILD層2722中。導電片2728被配置於ILD層2722中。導電片係耦合複數導電線2724之二者,沿著正交於第一方向之第二方向。Referring again to FIG. 27K , in one embodiment, a back end of line (BEOL) metallization layer for semiconductor structures includes an interlayer dielectric (ILD) layer 2722 disposed over substrate 2700 . A plurality of conductive lines 2724 are disposed in the ILD layer 2722 along the first direction. The conductive sheet 2728 is disposed in the ILD layer 2722 . The conductive sheet couples both of the plurality of conductive lines 2724 along a second direction orthogonal to the first direction.

如圖27K中所示之此一配置無法另藉由傳統微影處理(於小節距、小寬度、或兩者)來達成。同時,自對準無法利用傳統製程來達成。再者,如圖27K中所示之配置無法另被達成於其中節距分割方案被用以最終地提供導電線2724之圖案的情況下。Such a configuration as shown in Figure 27K cannot otherwise be achieved by conventional lithography processing (at small pitch, small width, or both). At the same time, self-alignment cannot be achieved using conventional processes. Furthermore, the configuration shown in FIG. 27K cannot otherwise be achieved in situations where a pitch division scheme is used to finally provide a pattern of conductive lines 2724.

於一實施例中,導電片2728與複數導電線之兩者是連續的,而非鄰接的,如圖27K中所描繪。於一實施例中,導電片2728與複數導電線2724之兩者是共面的,如圖27K中所描繪。於一實施例中,BEOL金屬化層進一步包括電介質插塞2726,其係配置於複數導電線2724之一的末端上,如圖27K中所描繪。於一實施例中,電介質插塞2726與ILD層是連續的,而非鄰接的,如圖27K中所描繪。於一實施例中,雖然未顯示,但BEOL金屬化層進一步包括導電通孔,該導電通孔係配置於複數導電線2724之一底下並與其電耦合。In one embodiment, both the conductive sheet 2728 and the plurality of conductive lines are continuous rather than contiguous, as depicted in Figure 27K. In one embodiment, both the conductive sheet 2728 and the plurality of conductive lines 2724 are coplanar, as depicted in Figure 27K. In one embodiment, the BEOL metallization layer further includes a dielectric plug 2726 disposed on the end of one of the plurality of conductive lines 2724, as depicted in Figure 27K. In one embodiment, the dielectric plug 2726 is continuous with the ILD layer, rather than contiguous, as depicted in Figure 27K. In one embodiment, although not shown, the BEOL metallization layer further includes conductive vias disposed under and electrically coupled to one of the plurality of conductive lines 2724 .

圖27K之結構可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖3K之結構可代表積體電路中之最後金屬互連層。參考圖27K,藉由金屬鑲嵌光桶方式之此自對準製造可被繼續以製造下一金屬化層。替代地,其他方式可被使用於此階段以提供額外互連層,諸如傳統雙或單金屬鑲嵌方式。亦應理解:雖然未描繪,導電線2724之一或更多者可被耦合至下方導電通孔,其可使用額外光桶操作而被形成。於一實施例中,當作針對上述二維方式之替代方式,一種一維光柵方式亦可被實施於插塞及片(及可能地通孔)圖案化。此一維方式係提供侷限於僅一方向。如此一來,節距可於一方向為「緊密的」而於一方向為「寬鬆的」。The structure of Figure 27K can then be used as a basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the structure of FIG. 3K may represent the final metal interconnect layer in an integrated circuit. Referring to Figure 27K, this self-aligned fabrication by damascene photobucket approach can be continued to fabricate the next metallization layer. Alternatively, other approaches may be used at this stage to provide additional interconnect layers, such as conventional dual or single damascene approaches. It should also be understood that, although not depicted, one or more of the conductive lines 2724 may be coupled to underlying conductive vias, which may be formed using additional photobucket operations. In one embodiment, as an alternative to the two-dimensional approach described above, a one-dimensional grating approach can also be implemented for plug and wafer (and possibly via) patterning. This one-dimensional approach provides limited to only one direction. As such, the pitch can be "tight" in one direction and "loose" in one direction.

文中所述之一或更多實施例係有關用於減成插塞及片圖案化之光桶方式。此等圖案化方案可被實施以致能雙向間隔物為基的互連。實施方式可特別地適於電連接金屬化層之兩平行線,其中兩金屬線係使用一種間隔物為基的方式來製造,該間隔物為基的方式另可限制在相同金屬化層中的兩相鄰線之間的導電連接之包括。通常,一或更多實施例係有關一種方式,其係利用一種減成技術以形成導電片及介於金屬(插塞)之間的非導電間隔或中斷。One or more embodiments described herein relate to a photobucket approach for subtractive plug and sheet patterning. Such patterning schemes can be implemented to enable bidirectional spacer-based interconnects. Embodiments may be particularly suitable for electrically connecting two parallel lines of metallization layers, wherein the two metal lines are fabricated using a spacer-based approach that may otherwise be restricted to the same metallization layer. Including the conductive connection between two adjacent lines. Generally, one or more embodiments relate to a manner that utilizes a subtractive technique to form conductive sheets and non-conductive spaces or breaks between metals (plugs).

文中所述之一或更多實施例提供一種用以減成地圖案化具有自對準之通孔、切割、及/或片的方式,其係使用光桶化方式及選擇性硬遮罩。實施例可涉及使用所謂的織物圖案化方式於減成圖案化的自對準互連、插塞、及通孔。織物方式可涉及硬遮罩之織物圖案的實施方式,利用各硬遮罩材料之間的蝕刻選擇性。於文中所述之特定實施例中,織物處理方案被實施以減成地圖案化互連、切割、及通孔。One or more embodiments described herein provide a way to subtractively pattern vias, cuts, and/or sheets with self-alignment using photobucketing and selective hardmasking. Embodiments may involve subtractive patterning of self-aligned interconnects, plugs, and vias using so-called fabric patterning. The fabric approach may involve the implementation of the fabric pattern of the hard mask, taking advantage of the etch selectivity between the various hard mask materials. In particular embodiments described herein, fabric treatment schemes are implemented to pattern interconnects, cuts, and vias subtractively.

當作文中所述之一或更多實施例的概要,一般性概要製程流可涉及以下製程序列:(1)使用一種利用彼此間有蝕刻選擇性的四個「顏色」硬遮罩之織物製程流的製造,(2)移除針對通孔之光桶化的硬遮罩類型之第一者,(3)回填第一硬遮罩材料,(4)移除針對切割(或插塞)之光桶化的硬遮罩類型之第二者,(5)回填第二硬遮罩材料,(6)移除針對導電片之光桶化的硬遮罩類型之第三者,(7)減成地蝕刻切割及片之金屬,及(8)硬遮罩移除及以永久ILD材料之後續回填和拋光回。As an overview of one or more of the embodiments described herein, a general overview process flow may involve the following process sequence: (1) Using a fabric process that utilizes four "color" hard masks that are etch selective to each other Fabrication of the flow, (2) removing the first of the hardmask types for photobucketing of the vias, (3) backfilling the first hardmask material, (4) removing the photobucket for dicing (or plugging) The second type of hard mask type that is modified, (5) backfill the second hard mask material, (6) remove the third type of hard mask for light barrelization of the conductive sheet, (7) reduce the ground Etch cut and sheet metal, and (8) hard mask removal and subsequent backfill and polish back with permanent ILD material.

圖28A-28T闡明斜角橫斷面視圖,其表示一種製造後段製程(BEOL)金屬化層之方法中的各個操作,該金屬化層具有導電片以耦合該金屬化層之金屬線,依據本發明之實施例。Figures 28A-28T illustrate oblique cross-sectional views representing various operations in a method of fabricating a back end of line (BEOL) metallization layer having conductive sheets to couple the metal lines of the metallization layer, in accordance with the present invention. Examples of inventions.

參考圖28A,光柵圖案化方案被履行於覆蓋硬遮罩層2802之上,該覆蓋硬遮罩層2802係形成於金屬層2800之上,該金屬層2800係形成於基底(未顯示)之上。第一光柵硬遮罩2804被形成沿著覆蓋硬遮罩2802之上的第一方向。第二光柵硬遮罩2806被形成沿著第一方向並與第一光柵硬遮罩2804交替。於一實施例中,第一光柵硬遮罩2804被形成自一種材料,該材料具有不同於第二光柵硬遮罩2806之材料的蝕刻選擇性。Referring to FIG. 28A, a grating patterning scheme is performed over an overlying hard mask layer 2802 formed over a metal layer 2800 formed over a substrate (not shown) . A first grating hard mask 2804 is formed along a first direction overlying the hard mask 2802 . The second grating hardmask 2806 is formed along the first direction and alternates with the first grating hardmask 2804 . In one embodiment, the first grating hardmask 2804 is formed from a material having a different etch selectivity than the material of the second grating hardmask 2806 .

於一實施例中,第一及第二光柵硬遮罩2804及2806被形成以光柵圖案,如圖28A中所描繪。於一實施例中,第一及第二光柵硬遮罩2804及2806之光柵結構為緊密節距光柵結構。於特定此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成,但該節距可藉由使用間隔物遮罩圖案化而被減半。甚至,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,圖28A的第一及第二光柵硬遮罩2804及2806之光柵狀圖案可具有以恆定節距來緊密分隔並具有恆定寬度之硬遮罩線。In one embodiment, the first and second grating hardmasks 2804 and 2806 are formed in a grating pattern, as depicted in Figure 28A. In one embodiment, the grating structures of the first and second grating hardmasks 2804 and 2806 are close-pitch grating structures. In a particular such embodiment, tight pitch cannot be achieved directly by conventional lithography. For example, a pattern according to conventional lithography can be formed first, but the pitch can be halved by patterning using a spacer mask. Even, the original pitch can be reduced by a quarter by a second round of spacer mask patterning. Thus, the raster-like patterns of the first and second grating hardmasks 2804 and 2806 of FIG. 28A can have hardmask lines of constant width closely spaced with a constant pitch.

參考圖28B,犧牲交叉光柵圖案化製程被履行。上覆硬遮罩2808被形成以光柵圖案,沿著第二方向,正交於第一方向,亦即,正交於第一及第二光柵硬遮罩2804及2806。Referring to Figure 28B, a sacrificial cross grating patterning process is performed. The overlying hardmask 2808 is formed with a grating pattern along the second direction, orthogonal to the first direction, ie, orthogonal to the first and second grating hardmasks 2804 and 2806 .

於一實施例中,上覆硬遮罩2808被形成以緊密節距光柵結構。於特定此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成,但該節距可藉由使用間隔物遮罩圖案化而被減半。甚至,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,圖28B的上覆硬遮罩2808之光柵狀圖案可具有以恆定節距來緊密分隔並具有恆定寬度之硬遮罩線。In one embodiment, the overlying hard mask 2808 is formed as a close pitch grating structure. In a particular such embodiment, tight pitch cannot be achieved directly by conventional lithography. For example, a pattern according to conventional lithography can be formed first, but the pitch can be halved by patterning using a spacer mask. Even, the original pitch can be reduced by a quarter by a second round of spacer mask patterning. Thus, the raster-like pattern of the overlying hardmask 2808 of FIG. 28B can have hardmask lines of constant width closely spaced with a constant pitch.

參考圖28C,織物圖案形成被履行。暴露於上覆硬遮罩2808的光柵之間的第一硬遮罩2804之區被選擇性地蝕刻並替換以第三硬遮罩2810之區。暴露於上覆硬遮罩2808的光柵之間的第二硬遮罩2806之區被選擇性地蝕刻並替換以第四硬遮罩2812之區。於一實施例中,第三光柵硬遮罩2810被形成自一種材料,該材料具有不同於第一硬遮罩2804及第二硬遮罩2806之材料的蝕刻選擇性。於進一步實施例中,第四硬遮罩2812被形成自一種材料,該材料具有不同於第一硬遮罩2804、第二硬遮罩2806、及第三硬遮罩2810之材料的蝕刻選擇性。Referring to Figure 28C, fabric patterning is performed. The regions of the first hard mask 2804 exposed between the gratings of the overlying hard mask 2808 are selectively etched and replaced with regions of the third hard mask 2810. The regions of the second hard mask 2806 exposed between the gratings of the overlying hard mask 2808 are selectively etched and replaced with regions of the fourth hard mask 2812. In one embodiment, the third grating hardmask 2810 is formed from a material having a different etch selectivity than the material of the first hardmask 2804 and the second hardmask 2806 . In a further embodiment, the fourth hardmask 2812 is formed from a material having an etch selectivity that is different from the material of the first hardmask 2804, the second hardmask 2806, and the third hardmask 2810 .

參考圖28D,上覆硬遮罩2808被移除。於一實施例中,上覆硬遮罩2808係使用一種對於第一硬遮罩2804、第二硬遮罩2806、第三硬遮罩2810、及第四硬遮罩2812有選擇性的蝕刻、灰化或清潔製程來移除以留下織物圖案,如圖28D中所示。Referring to Figure 28D, the overlying hard mask 2808 is removed. In one embodiment, the overlying hard mask 2808 uses a selective etch, An ashing or cleaning process is used to remove to leave the fabric pattern as shown in Figure 28D.

圖28E-28H係與通孔圖案化製程相關聯。參考圖28E,第三硬遮罩2810被移除,對於第一硬遮罩2804有選擇性、對於第二硬遮罩2806有選擇性、及對於第四硬遮罩2812有選擇性,以提供其暴露覆蓋硬遮罩2802之部分的開口2814。於一實施例中,第三硬遮罩2810被移除,對於第一硬遮罩2804有選擇性、對於第二硬遮罩2806有選擇性、及對於第四硬遮罩2812有選擇性,使用選擇性蝕刻或清潔製程。28E-28H are associated with the via patterning process. 28E, a third hard mask 2810 is removed, selective to the first hard mask 2804, selective to the second hard mask 2806, and selective to the fourth hard mask 2812, to provide It exposes openings 2814 that cover portions of hardmask 2802. In one embodiment, the third hard mask 2810 is removed, selective to the first hard mask 2804, selective to the second hard mask 2806, and selective to the fourth hard mask 2812, Use selective etching or cleaning processes.

參考圖28F,通孔光桶圖案化方案被履行為第一光桶化製程。於一實施例中,光桶被形成於圖28E之所有暴露開口2814中。光桶之選定者被移除以再暴露開口2814而其他光桶2816被留存,例如,藉由不將光桶2816暴露至一種用以打開第一光桶之所有其他者的微影及顯影製程(於所示之特定情況下,三個光桶被留存而一個被移除)。Referring to FIG. 28F, the through hole photobarrel patterning scheme is performed as the first photobarrelization process. In one embodiment, light barrels are formed in all exposed openings 2814 of Figure 28E. Selected ones of the photobarrels are removed to re-expose the openings 2814 and the other photobarrels 2816 remain, eg, by not exposing photobarrels 2816 to a lithography and development process used to open all others of the first photobarrel (In the particular case shown, three light barrels were retained and one was removed).

參考圖28G,覆蓋硬遮罩2802的暴露部分被接著蝕刻以提供第一次圖案化硬遮罩2820。此外,金屬層2800被蝕刻通過該開口以提供蝕刻溝槽2818於第一次圖案化金屬層2822中。第一次圖案化金屬層2822包括導電通孔2824。在減成金屬蝕刻之後,餘留光桶2816被移除以再暴露相關開口2814。Referring to FIG. 28G , the exposed portion covering the hard mask 2802 is then etched to provide the first patterned hard mask 2820 . Additionally, the metal layer 2800 is etched through the opening to provide etch trenches 2818 in the first patterned metal layer 2822. The first patterned metal layer 2822 includes conductive vias 2824 . After the subtractive metal etch, the remaining photo barrels 2816 are removed to re-expose the associated openings 2814.

參考圖28H,溝槽2818及開口2814被回填以硬遮罩材料。於一實施例中,類似於或相同於第三硬遮罩2810之材料的材料被形成於圖28G之結構上且被平坦化或蝕刻回以提供深硬遮罩區2826及淺硬遮罩區2828。於一實施例中,深硬遮罩區2826及淺硬遮罩區2828係屬於第三材料類型(亦即,第三硬遮罩2810之材料類型)。Referring to Figure 28H, trenches 2818 and openings 2814 are backfilled with hard mask material. In one embodiment, a material similar or identical to that of the third hardmask 2810 is formed over the structure of FIG. 28G and planarized or etched back to provide the deep hardmask region 2826 and the shallow hardmask region 2828. In one embodiment, the deep hard mask region 2826 and the shallow hard mask region 2828 are of a third material type (ie, the material type of the third hard mask 2810).

圖28I-28L係與金屬線切割或插塞形成圖案化製程相關。參考圖28I,第一硬遮罩2804被移除,對於第二硬遮罩2806有選擇性、對於第三材料類型之深硬遮罩區2826和淺硬遮罩區2828有選擇性、及對於第四硬遮罩2812有選擇性,以提供其暴露第一次圖案化硬遮罩2820之部分的開口2830。於一實施例中,第一硬遮罩2804被移除,對於第二硬遮罩2806有選擇性、對於第三材料類型之深硬遮罩區2826和淺硬遮罩區2828有選擇性、及對於第四硬遮罩2812有選擇性,使用選擇性蝕刻或清潔製程。28I-28L relate to wire cutting or plug forming patterning processes. 28I, the first hard mask 2804 is removed, selective to the second hard mask 2806, selective to the deep hard mask area 2826 and shallow hard mask area 2828 of the third material type, and to The fourth hard mask 2812 is selective to provide openings 2830 that expose portions of the first patterned hard mask 2820. In one embodiment, the first hard mask 2804 is removed, selectively to the second hard mask 2806, selectively to the deep hard mask area 2826 and the shallow hard mask area 2828 of the third material type, And to be selective to the fourth hard mask 2812, a selective etching or cleaning process is used.

參考圖28J,切割或插塞光桶圖案化方案被履行為第二光桶化製程。於一實施例中,光桶被形成於圖28I之所有暴露開口2830中。光桶之選定者被移除以再暴露開口2830而其他光桶2832被留存,例如,藉由不將光桶2832暴露至一種用以打開第二光桶之所有其他者的微影及顯影製程(於所示之特定情況下,三個光桶被留存而一個被移除)。移除的光桶(於此階段)代表其中切割或插塞所將會在最後金屬化層中之位置。亦即,於第二光桶製程中,光桶被移除自其中插塞或切割所將最終地被形成的位置。Referring to FIG. 28J, a dicing or plug photobarrel patterning scheme is performed as a second photobarrelization process. In one embodiment, light barrels are formed in all exposed openings 2830 of Figure 28I. Selected ones of the photobarrels are removed to re-expose the openings 2830 and the other photobarrels 2832 remain, eg, by not exposing photobarrels 2832 to a lithography and development process that opens all others for the second photobarrel (In the particular case shown, three light barrels were retained and one was removed). The photobucket removed (at this stage) represents the location where the cut or plug will be in the final metallization layer. That is, in the second photobarrel process, the photobarrel is removed from the location where the plug or cut will finally be formed.

參考圖28K,第一次圖案化硬遮罩2820的暴露部分被接著蝕刻以提供第二次圖案化硬遮罩2834,其具有溝槽2836形成於其中。在該蝕刻之後,餘留光桶2832被移除以再暴露相關開口2830。Referring to Figure 28K, the exposed portions of the first patterned hardmask 2820 are then etched to provide a second patterned hardmask 2834 having trenches 2836 formed therein. After this etch, the remaining photo barrels 2832 are removed to re-expose the associated openings 2830.

參考圖28L,溝槽2834及開口2830被回填以硬遮罩材料。於一實施例中,類似於或相同於第一硬遮罩2804之材料的材料被形成於圖28K之結構上且被平坦化或蝕刻回以提供深硬遮罩區2838及淺硬遮罩區2840。於一實施例中,深硬遮罩區2838及淺硬遮罩區2840係屬於第一材料類型(亦即,第一硬遮罩2804之材料類型)。Referring to Figure 28L, trenches 2834 and openings 2830 are backfilled with hard mask material. In one embodiment, a material similar to or the same as that of the first hardmask 2804 is formed on the structure of FIG. 28K and planarized or etched back to provide the deep hardmask region 2838 and the shallow hardmask region 2840. In one embodiment, the deep hard mask region 2838 and the shallow hard mask region 2840 are of the first material type (ie, the material type of the first hard mask 2804).

參考圖28M,第四硬遮罩2812被移除,對於第一材料類型之深硬遮罩區2838和淺硬遮罩區2840有選擇性、對於第二硬遮罩2806有選擇性、及對於第三材料類型之深硬遮罩區2826和淺硬遮罩區2828有選擇性。於一實施例中,第四硬遮罩2812被移除,對於第一材料類型之深硬遮罩區2838和淺硬遮罩區2840有選擇性、對於第二硬遮罩2806有選擇性、及對於第三材料類型之深硬遮罩區2826和淺硬遮罩區2828有選擇性,使用選擇性蝕刻或清潔製程。深蝕刻製程被履行通過所得開口並完整地通過第二次圖案化硬遮罩2834以形成第三次圖案化硬遮罩2842;及完整地通過第一次圖案化金屬層2822以形成第二次圖案化金屬層2844。雖然未描繪,但於此階段,第二切割或插塞圖案化製程可被履行。Referring to Figure 28M, the fourth hard mask 2812 is removed, selective for the deep hard mask region 2838 and shallow hard mask region 2840 of the first material type, selective for the second hard mask 2806, and for The deep hard mask region 2826 and the shallow hard mask region 2828 of the third material type are optional. In one embodiment, the fourth hard mask 2812 is removed, selectively for the deep hard mask region 2838 and the shallow hard mask region 2840 of the first material type, selectively for the second hard mask 2806, And to be selective to the deep hard mask region 2826 and the shallow hard mask region 2828 of the third material type, a selective etching or cleaning process is used. The etch back process is performed through the resulting opening and fully through the second patterned hardmask 2834 to form the third patterned hardmask 2842; and through the first through the first patterned metal layer 2822 to form the second Patterned metal layer 2844. Although not depicted, at this stage, a second dicing or plug patterning process may be performed.

參考圖28N,與圖28M關聯所形成的深開口被回填以硬遮罩材料。於一實施例中,類似於或相同於第四硬遮罩2812之材料的材料被形成於圖28M之結構上且被平坦化或蝕刻回以提供深硬遮罩區2846。於一實施例中,深硬遮罩區2846係屬於第四材料類型(亦即,第四硬遮罩2812之材料類型)。於一選擇性實施例中,如與圖28S之2899關聯所示,描述於下,ILD層(諸如低k電介質層)可首先被填充並蝕刻回至第二次圖案化金屬層2844之位準。第四類型的硬遮罩材料(亦即,2846之淺版本)被接著形成於ILD層上。Referring to Figure 28N, the deep opening formed in association with Figure 28M is backfilled with hard mask material. In one embodiment, a material similar or identical to that of the fourth hard mask 2812 is formed over the structure of FIG. 28M and planarized or etched back to provide the deep hard mask region 2846. In one embodiment, the deep hard mask region 2846 is of a fourth material type (ie, the material type of the fourth hard mask 2812). In an alternative embodiment, as shown in association with 2899 of FIG. 28S, described below, an ILD layer (such as a low-k dielectric layer) may first be filled and etched back to the level of the second patterned metal layer 2844. . A fourth type of hard mask material (ie, a shallow version of 2846) is then formed over the ILD layer.

圖28O-28R係與導電片形成圖案化製程相關聯。參考圖28O,第二硬遮罩2806被移除,對於第一材料類型之深硬遮罩區2838和淺硬遮罩區2840有選擇性、對於第三材料類型之深硬遮罩區2826和淺硬遮罩區2828有選擇性、及對於第四材料類型之深硬遮罩區2846有選擇性,以提供其暴露第三次圖案化硬遮罩2842之部分的開口2848。於一實施例中,第二硬遮罩2806被移除,對於第一材料類型之深硬遮罩區2838和淺硬遮罩區2840有選擇性、對於第三材料類型之深硬遮罩區2826和淺硬遮罩區2828有選擇性、及對於第四材料類型之深硬遮罩區2846有選擇性,使用選擇性蝕刻或清潔製程。Figures 28O-28R are associated with the conductive sheet patterning process. Referring to FIG. 280, the second hard mask 2806 is removed, selectively for the deep hard mask regions 2838 and shallow hard mask regions 2840 of the first material type, and for the deep hard mask regions 2826 and 2840 of the third material type. The shallow hardmask region 2828 is selective, and is selective to the deep hardmask region 2846 of the fourth material type, to provide openings 2848 which expose portions of the third patterned hardmask 2842. In one embodiment, the second hardmask 2806 is removed, selectively for the deep hardmask area 2838 and the shallow hardmask area 2840 of the first material type, and for the deep hardmask area of the third material type Selective to 2826 and shallow hard mask region 2828, and selective to deep hard mask region 2846 of the fourth material type, using a selective etch or clean process.

參考圖28P,導電片光桶圖案化方案被履行為第三光桶化製程。於一實施例中,光桶被形成於圖28O之所有暴露開口2848中。光桶之選定者被移除以再暴露開口2848而其他光桶2850被留存,例如,藉由不將光桶2850暴露至一種用以打開第三光桶之所有其他者的微影及顯影製程(於所示之特定情況下,一個光桶2850被留存而三個被移除)。移除的光桶(於此階段)代表其中導電片所將不會被形成於最後金屬化層中之位置。亦即,於第三光桶製程中,光桶2850被留存於其中導電片所將最終地被形成的位置。Referring to FIG. 28P, the conductive sheet photobarrel patterning scheme is performed as the third photobarrelization process. In one embodiment, light barrels are formed in all exposed openings 2848 of Figure 28O. Selected ones of the photobarrels are removed to re-expose the openings 2848 and the other photobarrels 2850 remain, eg, by not exposing photobarrels 2850 to a lithography and development process used to open all others of the third photobarrel (In the particular case shown, one light barrel 2850 is retained and three are removed). The photobuckets removed (at this stage) represent the locations where conductive sheets will not be formed in the final metallization layer. That is, in the third photobarrel process, photobarrel 2850 is left at the position where the conductive sheet will be finally formed.

參考圖28Q,第三次圖案化硬遮罩2842的暴露部分被接著蝕刻通過開口2848以提供第四次圖案化硬遮罩2852,其具有溝槽2854形成於其中。在該蝕刻之後,餘留光桶2850被移除。Referring to FIG. 28Q, the exposed portions of the third-patterned hardmask 2842 are then etched through openings 2848 to provide a fourth-patterned hardmask 2852 having trenches 2854 formed therein. After this etch, the remaining photobuckets 2850 are removed.

參考圖28R,第一材料類型之深硬遮罩區2838和淺硬遮罩區2840被移除,對於第三材料類型之深硬遮罩區2826和淺硬遮罩區2828有選擇性及對於第四材料類型之深硬遮罩區2846有選擇性,以進一步暴露第四次圖案化硬遮罩2852之部分。於一實施例中,第一材料類型之深硬遮罩區2838和淺硬遮罩區2840被移除,對於第三材料類型之深硬遮罩區2826和淺硬遮罩區2828有選擇性及對於第四材料類型之深硬遮罩區2846有選擇性,使用選擇性蝕刻或清潔製程。Referring to FIG. 28R , the deep hard mask region 2838 and the shallow hard mask region 2840 of the first material type are removed, selectively for the deep hard mask region 2826 and the shallow hard mask region 2828 of the third material type and for The deep hard mask regions 2846 of the fourth material type are selectively to further expose portions of the fourth patterned hard mask 2852. In one embodiment, the deep hardmask regions 2838 and shallow hardmask regions 2840 of the first material type are removed, selectively to the deep hardmask regions 2826 and shallow hardmask regions 2828 of the third material type And to be selective for the deep hard mask region 2846 of the fourth material type, a selective etching or cleaning process is used.

參考圖28S,深蝕刻製程被履行通過所得開口並完整地通過第二次圖案化金屬層2844以形成第三次圖案化金屬層2856。於此階段,在其ILD層2899被形成於與圖28N關聯的操作時之情況下,如上於選擇性實施例中所述,此一ILD層2899的部分於圖28S之結構中是可觀看的。Referring to FIG. 28S, an etch back process is performed through the resulting opening and completely through the second patterned metal layer 2844 to form the third patterned metal layer 2856. At this stage, portions of such an ILD layer 2899 are viewable in the structure of Figure 28S as described above in the alternative embodiment with its ILD layer 2899 being formed during the operations associated with Figure 28N .

參考圖28T之部分(a),於一實施例中,圖28S之餘留硬遮罩部分2828、2846、2852的硬遮罩移除被履行,且該結構被後續地平坦化。於一實施例中,深硬遮罩區2826之高度被減少,但該區未被全部一起移除,以形成通孔蓋2858及ILD 2860。此外,插塞區2862被形成。於一實施例中,ILD 2899被形成與圖28N相關聯;且於一此類實施例中,插塞區2862包括不同於ILD 2899之材料。於另一實施例中,ILD 2899未被形成與圖28N相關聯;而ILD 2860與插塞2862之整個部分被同時地形成並且以相同的材料,例如,使用ILD回填製程。於一實施例中,該結構之金屬化部分包括金屬線2864、導電通孔2824(具有通孔蓋2858於其上)、及導電片2866,如圖28T之部分(a)中所描繪。Referring to part (a) of Figure 28T, in one embodiment, hard mask removal of the remaining hard mask portions 2828, 2846, 2852 of Figure 28S is performed, and the structure is subsequently planarized. In one embodiment, the height of the deep hard mask region 2826 is reduced, but the region is not removed all together to form the via cap 2858 and the ILD 2860. In addition, a plug region 2862 is formed. In one embodiment, ILD 2899 is formed in association with FIG. 28N; and in one such embodiment, plug region 2862 comprises a different material than ILD 2899. In another embodiment, ILD 2899 is not formed in association with Figure 28N; rather, ILD 2860 and entire portions of plug 2862 are formed simultaneously and in the same material, eg, using an ILD backfill process. In one embodiment, the metallized portion of the structure includes metal lines 2864, conductive vias 2824 (with via caps 2858 thereon), and conductive pads 2866, as depicted in part (a) of Figure 28T.

參考圖28T之部分(a),於一實施例中,ILD回填2861被形成於圖28S之結構上。於一此類實施例中,ILD膜被沈積並接著蝕刻回以提供圖28T之部分(b)的結構。於一實施例中,將圖28S之硬遮罩留在原處,則可履行下一金屬化層之模板化。亦即,具有遺留下的硬遮罩之形貌可被用以模板化下一層圖案化製程。Referring to part (a) of Figure 28T, in one embodiment, ILD backfill 2861 is formed on the structure of Figure 28S. In one such embodiment, the ILD film is deposited and then etched back to provide the structure of part (b) of Figure 28T. In one embodiment, leaving the hard mask of FIG. 28S in place, the templating of the next metallization layer can be performed. That is, the topography with the hard mask left over can be used to template the next layer patterning process.

於任一情況下,無論是圖28T之部分(a)或(b),文中所述之實施例包括遺留下的硬遮罩材料(2858或2826)於半導體結構中之最後金屬化層的導電通孔2824之上。此外,再次參考圖28A-28T,應理解:針對切割、通孔、及片圖案化之順序可為可交換的。同時,雖然範例製程流顯示一切割、一通孔、及一片通過,但亦可履行各類型圖案化之多數通過。In either case, whether it is part (a) or (b) of FIG. 28T, the embodiments described herein include conduction of the remaining hard mask material (2858 or 2826) to the last metallization layer in the semiconductor structure. over via 2824. In addition, referring again to Figures 28A-28T, it should be understood that the order for dicing, via, and sheet patterning may be interchangeable. Also, although the example process flow shows a dicing, a via, and a one-piece pass, multiple passes for each type of patterning can also be performed.

再次參考圖28T之部分(a),於一實施例中,用於半導體結構之後段製程(BEOL)金屬化層包括層間電介質(ILD)層2860。複數導電線2864被配置於沿著第一方向之ILD層2860中。導電片2866係耦合複數導電線2864之二者,沿著正交於第一方向之第二方向。Referring again to part (a) of FIG. 28T , in one embodiment, the back end of line (BEOL) metallization layer for the semiconductor structure includes an interlevel dielectric (ILD) layer 2860 . A plurality of conductive lines 2864 are disposed in the ILD layer 2860 along the first direction. The conductive sheet 2866 couples both of the plurality of conductive lines 2864 along a second direction orthogonal to the first direction.

如圖28T中所示之此一配置無法另藉由傳統微影處理(於小節距、小寬度、或兩者)來達成。同時,自對準無法利用傳統處理方案來達成。再者,如圖28T中所示之配置無法另被達成於其中節距分割方案被用以最終地提供導電線2864之圖案的情況下。Such a configuration as shown in Figure 28T cannot otherwise be achieved by conventional lithography processing (at small pitch, small width, or both). At the same time, self-alignment cannot be achieved using conventional processing schemes. Furthermore, the configuration shown in FIG. 28T cannot otherwise be achieved in situations where a pitch division scheme is used to finally provide a pattern of conductive lines 2864.

於一實施例中,導電片2866與複數導電線2864之兩者是連續的,而非鄰接的。於一實施例中,導電片2866與複數導電線2866之兩者是共面的。於一實施例中,BEOL金屬化層進一步包括電介質材料2862之插塞,其係配置於複數導電線2866之一的末端上。於一實施例中,BEOL金屬化層進一步包括導電通孔。In one embodiment, both the conductive sheet 2866 and the plurality of conductive lines 2864 are continuous rather than contiguous. In one embodiment, both the conductive sheet 2866 and the plurality of conductive lines 2866 are coplanar. In one embodiment, the BEOL metallization layer further includes a plug of dielectric material 2862 disposed on an end of one of the plurality of conductive lines 2866. In one embodiment, the BEOL metallization layer further includes conductive vias.

圖28T之結構可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖28T之結構可代表積體電路中之最後金屬互連層。再次參考圖28T,藉由減成光桶方式之此自對準製造可被繼續以製造下一金屬化層。替代地,其他方式可被使用於此階段以提供額外互連層,諸如傳統雙或單金屬鑲嵌方式。The structure of Figure 28T can then be used as a basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the structure of Figure 28T may represent the last metal interconnect layer in an integrated circuit. Referring again to FIG. 28T, this self-aligned fabrication by subtractive photobucket approach can be continued to fabricate the next metallization layer. Alternatively, other approaches may be used at this stage to provide additional interconnect layers, such as conventional dual or single damascene approaches.

依據本發明之實施例,描述針對曝光失準之容許度的抗蝕劑調適。抗蝕劑調適可包括內部抑制、嫁接層抑制、或頂上層抑制之一或更多者。文中所述之一或更多實施例係有關於具有可釋放抑制劑之二階段烘烤光抗蝕劑。應用可指向極紫外線(EUV)微影、一般微影應用、針對重疊問題的解決方式、及一般光抗蝕劑技術之一或更多者。於一實施例中,描述其適於增進光桶為基的方式之性能的材料。於此一方式中,抗蝕劑材料被侷限於預圖案化硬遮罩。光桶之選定者接著係使用高解析度微影工具(例如,EUV微影工具)而被移除。特定實施例可被實施以增進橫跨既定光桶之抗蝕劑材料回應的一致性。In accordance with embodiments of the present invention, resist adaptation for exposure misalignment tolerance is described. Resist conditioning may include one or more of internal suppression, graft layer suppression, or top layer suppression. One or more of the embodiments described herein relate to two-stage bake photoresists with releasable inhibitors. Applications may be directed to one or more of extreme ultraviolet (EUV) lithography, general lithography applications, solutions to overlap problems, and general photoresist techniques. In one embodiment, materials are described that are suitable for enhancing the performance of a light barrel based approach. In this approach, the resist material is limited to the pre-patterned hard mask. The selected light barrels are then removed using a high-resolution lithography tool (eg, an EUV lithography tool). Certain embodiments may be implemented to improve uniformity of resist material response across a given photobarrel.

為了提供背景,光桶方式中之一目標可為首先擴散任何橫跨暴露光桶之EUV釋放酸的能力,用以增進其橫跨選定光桶之抗蝕劑回應的一致性。於過去的方法中,此目標已藉由使用特殊材料來達成,該些特殊材料係致能該酸以夠低的溫度擴散橫跨該光桶來避免從這些酸所激發的可溶性切換反應。然而,另一抗蝕劑成分(亦即抑制劑)之活動可能阻止此一優點被完全地實現。特別地,抑制劑可中和該些酸,在其能夠擴散或散佈橫跨既定光桶之前。應付此等問題,依據文中所述之一或更多實施例,標準抑制劑被替換以一種可藉由極紫外線(UV)曝光等等而被釋放的抑制劑,其提供避免過早的酸中和之能力。To provide context, one goal of the photobarrel approach may be to first diffuse the ability of any EUV to release acid across the exposed photobarrel to improve the uniformity of its resist response across the selected photobarrel. In past approaches, this goal has been achieved by using special materials that enable the acid to diffuse across the photobarrel at sufficiently low temperatures to avoid solubility-switching reactions excited from the acids. However, the activity of another resist component (ie, the inhibitor) may prevent this advantage from being fully realized. In particular, inhibitors can neutralize these acids before they can diffuse or spread across a given light barrel. To address these problems, in accordance with one or more of the embodiments described herein, the standard inhibitor is replaced with an inhibitor that can be released by extreme ultraviolet (UV) exposure, etc., which provides protection against premature acid exposure and ability.

更特別地,依據文中所述之一或更多實施例,包括UV釋放抑制劑之光桶抗蝕劑材料被實施以有效地提供「2階段PEB」,其中EUV曝光之效果被有效地平均橫跨既定光桶。此等實施例可致能「數位」桶回應,其中整個光桶清除或者不清除。於特定實施例中,此一回應更能忍受邊緣布局誤差,其中空中影像並未完美地與光桶柵格對準。More particularly, in accordance with one or more embodiments described herein, a photobarrel resist material including a UV release inhibitor is implemented to effectively provide a "2-stage PEB" in which the effects of EUV exposure are effectively averaged across across the established light barrel. Such embodiments may enable "digital" bucket responses, where the entire light bucket is cleared or not. In certain embodiments, this response is more tolerant of edge layout errors, where the aerial image is not perfectly aligned with the barrel grid.

為了示範文中所涉及之一或更多概念,圖29A-29C闡明一種使用包括二階段烘烤光抗蝕劑之光桶的圖案化之方法中的各個操作之橫斷面視圖及相應的平面視圖,依據本發明之實施例。To demonstrate one or more of the concepts covered herein, FIGS. 29A-29C illustrate cross-sectional views and corresponding plan views of various operations in a method of patterning using a photobucket including a two-stage bake photoresist , according to an embodiment of the present invention.

參考圖29A,預圖案化硬遮罩2904被配置於基底2902之上。預圖案化硬遮罩2904具有以二階段烘烤光抗蝕劑2906填充之開口。二階段烘烤光抗蝕劑2906被侷限於預圖案化硬遮罩2904中之開口,例如,以提供潛在通孔位置之柵格。Referring to FIG. 29A, a pre-patterned hard mask 2904 is disposed over a substrate 2902. The pre-patterned hardmask 2904 has openings filled with a two-stage bake photoresist 2906. The two-stage bake photoresist 2906 is limited to openings in the pre-patterned hardmask 2904, eg, to provide a grid of potential via locations.

參考圖29B,光桶之選定者係經受來自微影工具之曝光2907。二階段烘烤光抗蝕劑2906被曝光以一種微影工具(例如,EUV微影工具)來選擇應打開哪些通孔。於一實施例中,介於微影工具與預圖案化硬遮罩2904柵格之間的對準是不完美的,導致目標光桶中之曝光的不對稱及/或相鄰光桶中的部分曝光。如平面視圖中所見,曝光2907是移位的空中影像2908。Referring to Figure 29B, selected ones of the photo barrels are subjected to exposure 2907 from a lithography tool. The two-stage bake photoresist 2906 is exposed with a lithography tool (eg, EUV lithography tool) to select which vias should be opened. In one embodiment, the alignment between the lithography tool and the pre-patterned hardmask 2904 grid is imperfect, resulting in asymmetry in exposure in the target light barrel and/or in adjacent light barrels. Partial exposure. Exposure 2907 is a shifted aerial image 2908 as seen in the plan view.

參考圖29C,雖然圖29B之曝光可能已涉及非選定光桶之失準及部分曝光,但僅有選定光桶被清除以形成開口2920,留下未選定光桶為封閉光桶2912。於一實施例中,該製程被用以確保僅有選定光桶被最終地打開,接續於二階段烘烤光抗蝕劑2906之選定區的曝光2907後,所有二階段烘烤光抗蝕劑2906被首先烘烤以利酸擴散。極紫外線(UV)抑制釋放被接著履行以利酸中和。第二烘烤被接著履行以利可溶性切換,如以下更詳細地描述。於特定實施例中,從第一烘烤操作所釋放的光酸被擴散遍及該光桶。UV大量曝光係釋放抑制劑且接著最後可溶性切換烘烤被履行。該製程係配合圖30A-30E而被詳述於下。Referring to FIG. 29C, although the exposure of FIG. 29B may have involved misalignment and partial exposure of unselected light barrels, only the selected light barrels are cleared to form openings 2920, leaving the unselected light barrels as closed light barrels 2912. In one embodiment, the process is used to ensure that only selected photobarrels are finally opened, following exposure 2907 of selected areas of the two-stage bake photoresist 2906, all two-stage bake photoresists 2906 was first baked to facilitate acid diffusion. Extreme ultraviolet (UV) inhibited release was then performed for acid neutralization. A second bake is then performed to facilitate solubility switching, as described in more detail below. In certain embodiments, the photoacid released from the first bake operation is diffused throughout the photobarrel. UV bulk exposure releases inhibitors and then a final solubility switch bake is performed. The process is detailed below in conjunction with Figures 30A-30E.

結果,接收較大曝光之選定位置被最終地清除以提供打開的光桶位置2920,接續於顯影之後。未接受曝光(或僅部分曝光但達到較少的程度,於失準之情況下)之非選定位置維持為封閉光桶位置2912,接續於顯影之後。As a result, selected locations receiving larger exposures are eventually cleared to provide open photobarrel locations 2920, following development. Unselected positions that are not exposed (or only partially exposed but to a lesser extent, in the case of misalignment) are maintained as closed photobarrel positions 2912 following development.

為了示範其中使用傳統光抗蝕劑之相反情境,圖1D闡明接續於失準曝光後之光桶顯影後的傳統抗蝕劑光桶結構之橫斷面視圖。光桶區2954被顯示為僅部分地清除2950,餘留某些殘餘光抗蝕劑2952。於其光桶2954為選定光桶之情況下,失準曝光2907僅部分地清除該光桶,其可導致於此等位置中之導電結構的後續不良品質製造。於其光桶2954為非選定光桶之情況下,某不想要的開口2950發生,潛在地導致於不想要位置中之導電結構的後續形成。To demonstrate the opposite scenario where a conventional photoresist is used, Figure ID illustrates a cross-sectional view of a conventional resist photobarrel structure following photobarrel development after misalignment exposure. Photo barrel region 2954 is shown only partially cleared 2950, leaving some residual photoresist 2952. With its photo barrel 2954 the selected photo barrel, the misaligned exposure 2907 only partially clears the photo barrel, which can lead to subsequent poor quality fabrication of conductive structures in these locations. In the event that its light barrel 2954 is a non-selected light barrel, some unwanted opening 2950 occurs, potentially resulting in the subsequent formation of conductive structures in unwanted locations.

於更詳細的製程描述中,圖30A-30E闡明一種使用包括二階段烘烤光抗蝕劑之光桶的圖案化之方法中的各個操作之概略視圖,依據本發明之實施例。In a more detailed process description, Figures 30A-30E illustrate schematic views of various operations in a method of patterning using a photobucket including a two-stage bake photoresist, in accordance with an embodiment of the present invention.

參考圖30A,第一3002及第二3004光桶各包括可光解組成,其包括酸可去保護光抗蝕劑材料、光酸產生(PAG)成分3010、及光基產生成分3012。失準EUV或電子束曝光3006被履行於選定光桶3002及非選定光桶3004,其大量地曝光選定光桶3002且部分地曝光非選定光桶3004(但是達較少的程度)。於特定實施例中,光基產生成分3012為UV可釋放抑制劑。Referring to FIG. 30A , the first 3002 and second 3004 photobarrels each include a photolyzable composition including an acid deprotectable photoresist material, a photoacid generating (PAG) component 3010 , and a light radical generating component 3012 . A misaligned EUV or e-beam exposure 3006 is performed on selected photo barrels 3002 and non-selected photo barrels 3004, which exposes selected photo barrels 3002 substantially and partially (but to a lesser extent) non-selected photo barrels 3004. In certain embodiments, the light-based generating component 3012 is a UV releasable inhibitor.

參考圖30B,第一烘烤被履行。於一實施例中,第一烘烤被履行於太低的溫度而無法造成可溶性切換。於一此類實施例中,該烘烤是唯擴散烘烤,導致光桶3002及3004之已擴散材料3020及3022,個別地。Referring to Figure 30B, a first bake is performed. In one embodiment, the first bake is performed at a temperature too low to cause solubility switching. In one such embodiment, the bake is a diffusion-only bake, resulting in diffused material 3020 and 3022 of light barrels 3002 and 3004, respectively.

參考圖30C,抑制劑3014被釋放以個別地形成光桶3002及3004之材料3024及3026。於一實施例中,抑制劑3014為UV釋放的抑制劑。於特定的此類實施例中,UV釋放的抑制劑係藉由UV大量曝光(例如,365nm曝光)而被釋放。於一實施例中,光桶3002及3004兩者被曝光至大量曝光達相同程度。Referring to Figure 30C, inhibitor 3014 is released to form materials 3024 and 3026 of light barrels 3002 and 3004, respectively. In one embodiment, the inhibitor 3014 is an inhibitor of UV release. In certain such embodiments, the inhibitor of UV release is released by UV bulk exposure (eg, 365 nm exposure). In one embodiment, both photo barrels 3002 and 3004 are exposed to the same degree of bulk exposure.

參考圖30D,第二烘烤被履行以個別地提供光桶3002及3004之材料3028及3030。於一實施例中,第二烘烤係產生可溶性切換,其中次關鍵酸集中抑制。以此方式,基本上沒有局部酸集中。亦即,不欲的僅部分曝光光桶之部分的去保護不會發生。Referring to Figure 30D, a second bake is performed to provide materials 3028 and 3030 for light barrels 3002 and 3004, respectively. In one embodiment, the second bake system produces a solubility switch in which secondary critical acids are concentratedly inhibited. In this way, there is essentially no local acid concentration. That is, undesired deprotection of only a portion of the partially exposed photo barrel does not occur.

參考圖30E,光桶3002及3004係經受顯影製程。選定光桶3002被清除於顯影時以提供已清除光桶3032。未選定光桶3004不被清除於顯影時並餘留已阻擋光桶3034。以此方式,即使於失準曝光之事件中,達成了數位光桶回應(僅打開或關閉,無部分打開)。Referring to Figure 30E, photo barrels 3002 and 3004 are subjected to a development process. Selected photo barrels 3002 are cleared during development to provide cleared photo barrels 3032. Unselected light barrels 3004 are not cleared during development and remain blocked light barrels 3034. In this way, a digital light barrel response (only on or off, no partial on) is achieved even in the event of misaligned exposures.

應理解:並非所有實施例均需要單一組成來達成二階段烘烤光抗蝕劑。於第一替代範例中,圖30A’闡明另一種使用光桶的圖案化之方法中的操作之概略視圖,依據本發明之實施例。參考圖30A’,第一3002’及第二3004’光桶各包括嫁接光基產生成分3050,沿著第一3002’及第二3004’光桶之底部及側壁。可光解組成被形成於嫁接光基產生成分3050內。可光解組成包括酸可去保護光抗蝕劑材料及光酸產生(PAG)成分3010’。曝光3006’及多階段顯影製程可接著被履行,類似於上述的方式。It should be understood that not all embodiments require a single composition to achieve a two-stage bake photoresist. In a first alternative example, Figure 30A' illustrates a schematic view of operations in another method of patterning using photobuckets, in accordance with an embodiment of the present invention. Referring to Figure 30A', the first 3002' and second 3004' light barrels each include grafted light-based generating components 3050 along the bottom and sidewalls of the first 3002' and second 3004' light barrels. A photolytic composition is formed within the grafted light-based generating composition 3050. The photolytic composition includes an acid deprotectable photoresist material and a photoacid generating (PAG) composition 3010'. Exposure 3006' and a multi-stage development process can then be performed in a manner similar to that described above.

於第二替代範例中,圖30A”闡明另一種使用光桶的圖案化之方法中的操作之概略視圖,依據本發明之實施例。參考圖30A”,第一3002”及第二3004”光桶各包括可光解組成,其包括酸可去保護光抗蝕劑材料及光酸產生(PAG)成分3010”。在履行第一烘烤之後,包括基產生成分之層3060被形成於第一3002”及第二3004”上。光桶3002”及3004”被接著曝光至紫外線(UV)照射。於此情況下,基成分無須經由光基產生劑來被引入,而可被沈積於稍後的製程操作中,例如,藉由基層之氣相沈積或曝光至基礎大氣NMP。In a second alternative example, Figure 30A" illustrates a schematic view of operations in another method of patterning using light barrels, in accordance with an embodiment of the present invention. Referring to Figure 30A", a first 3002" and a second 3004" light The barrels each include a photolytic composition including an acid-deprotectable photoresist material and a photoacid generating (PAG) composition 3010". After performing the first bake, a layer 3060 including the radical generating composition is formed on the first 3002" and a second 3004". The photobarrels 3002" and 3004" are then exposed to ultraviolet (UV) radiation. In this case, the base component does not need to be introduced via a photo-based generator, but can be deposited later In the process operation, for example, by vapor deposition of the base layer or exposure to the base atmospheric NMP.

上述光抗蝕劑組成及方式之應用可被實施以產生其覆蓋所有可能通孔(或插塞)位置之規律結構,接續以僅所欲特徵之選擇性圖案化。為了提供進一步材料細節,於一實施例中,再次參考圖30A-30E,光桶3002及3004包括可光解組成。可光解組成包括一種酸可去保護光抗蝕劑材料,其具有實質上透明度於某波長。可光解組成亦包括光酸產生(PAG)成分,其具有實質上透明度於該波長。可光解組成包括基產生成分,其具有實質上吸收性於該波長。於替代實施例中,酸可去保護光抗蝕劑材料於該波長並非實質上透明的。Application of the above-described photoresist compositions and methods can be implemented to produce regular structures that cover all possible via (or plug) locations, followed by selective patterning of only desired features. To provide further material details, in one embodiment, referring again to Figures 30A-30E, light barrels 3002 and 3004 include a photodegradable composition. The photodegradable composition includes an acid deprotectable photoresist material that is substantially transparent at a certain wavelength. Photodegradable compositions also include photoacid generating (PAG) components that have substantial transparency at this wavelength. Photolyzable compositions include radical generating constituents that are substantially absorbing at this wavelength. In an alternative embodiment, the acid may deprotect the photoresist material that is not substantially transparent at this wavelength.

於一實施例中,基產生成分是選自包括以下之群組的一者:光基產生成分、電子基產生成分、化學基產生成分、及UV基產生成分。於一實施例中,基產生成分是音振基產生成分。於一實施例中,基產生成分是UV吸收的。於一實施例中,基產生成分包括低能量UV發色團。於一特定此類實施例中,低能量UV發色團被選自由以下所組成的群組:蒽基胺甲酸酯(anthracenylcarbamates)、萘基胺甲酸酯(naphthalenylcarbamates)、2-硝基苯基胺甲酸酯(2-nitrophenylcarbamates)、芳基胺甲酸酯(arylcarbamates)、香豆素(coumarins)、苯甲醯甲酸(phenylglyoxylic acid)、取代苯乙酮(acetophenones)及二苯基酮(benzophenones)。於一實施例中,低能量UV發色團為光釋放的胺。於一實施例中,基產生成分包括選自由以下所組成之群組的材料:N,N-二環己基-2-硝基苯基胺甲酸酯(N,N-dicyclohexyl-2-nitrophenylcarbamate)、N,N-二取代胺甲酸酯(N,N-disubstituted carbamates)及單取代胺甲酸酯(mono-substituted carbamates)。In one embodiment, the radical generating component is one selected from the group consisting of a light-based generating component, an electron-based generating component, a chemical-based generating component, and a UV-based generating component. In one embodiment, the radical generating component is an acoustic vibration radical generating component. In one embodiment, the radical generating component is UV absorbing. In one embodiment, the radical generating component includes a low energy UV chromophore. In a particular such embodiment, the low energy UV chromophore is selected from the group consisting of: anthracenylcarbamates, naphthalenylcarbamates, 2-nitrobenzene 2-nitrophenylcarbamates, arylcarbamates, coumarins, phenylglyoxylic acid, acetophenones and diphenyl ketones ( benzophenones). In one embodiment, the low energy UV chromophore is a photoreleasing amine. In one embodiment, the radical generating component includes a material selected from the group consisting of: N,N-dicyclohexyl-2-nitrophenylcarbamate , N,N-disubstituted carbamates (N,N-disubstituted carbamates) and mono-substituted carbamates (mono-substituted carbamates).

於一實施例中,PAG成分包括選自由以下所組成之群組的材料:三乙基(triethyl)、三甲基(trimethyl)及其他三烷基磺酸鹽(trialkylsulfonates),其中磺酸鹽群組係選自由以下所組成之群組:三氟甲基磺酸鹽(trifluoromethylsulfonate)、九氟丁烷磺酸鹽(nonanfluorobutanesulfonate)、及對-甲苯基磺酸鹽(p-tolylsulfonate)、或限於有機群組之含-SO3 磺酸鹽陰離子的其他範例。於一實施例中,酸可去保護光抗蝕劑材料為一種酸可去保護材料,其係選自由聚合物、分子玻璃、碳矽烷及金屬氧化物所組成的群組。於一實施例中,金屬氧化物被使用且釋放基不需要。於一實施例中,酸可去保護光抗蝕劑材料包括選自由以下所組成之群組的材料:聚羥基苯乙烯、聚甲基丙烯酸甲酯、聚羥基苯乙烯或聚甲基丙烯酸甲酯之小分子重量分子玻璃版本(其含有針對羧酸之酸催化去保護為酯功能敏感的)、碳矽烷、及金屬氧化物處理功能(其對於酸催化去保護或交聯為敏感的)。In one embodiment, the PAG component includes a material selected from the group consisting of triethyl, trimethyl, and other trialkylsulfonates, wherein the sulfonate group is The group is selected from the group consisting of trifluoromethylsulfonate, nonanfluorobutanesulfonate, and p-tolylsulfonate, or limited to organic Other examples of groups containing -SO3 sulfonate anions. In one embodiment, the acid-deprotectable photoresist material is an acid-deprotectable material selected from the group consisting of polymers, molecular glasses, carbosilanes, and metal oxides. In one embodiment, metal oxides are used and release groups are not required. In one embodiment, the acid deprotectable photoresist material includes a material selected from the group consisting of polyhydroxystyrene, polymethylmethacrylate, polyhydroxystyrene, or polymethylmethacrylate The small molecular weight molecular weight glass version of the ® (which contains ester functions sensitive to acid catalyzed deprotection of carboxylic acids), carbosilane, and metal oxide treatment functions (which are sensitive to acid catalyzed deprotection or crosslinking).

於一實施例中,該波長約為365nm。於一實施例中,酸可去保護光抗蝕劑材料為實質上吸收的,於約13.5奈米之波長。於一實施例中,酸可去保護光抗蝕劑材料為實質上吸收的,於約5-150keV之範圍中的能量。於一實施例中,PAG成分相對於基產生成分之莫耳比為至少50:1。In one embodiment, the wavelength is about 365 nm. In one embodiment, the acid-deprotected photoresist material is substantially absorbing, at a wavelength of about 13.5 nm. In one embodiment, the acid can deprotect the photoresist material to substantially absorb energy in the range of about 5-150 keV. In one embodiment, the molar ratio of the PAG component to the base generating component is at least 50:1.

再次參考圖30A-30E、30A’及30A”,依據本發明之實施例,一種選擇用於半導體處理之光桶的方法包括提供一結構,其具有相鄰於第二光桶3004之第一光桶3002。該結構被暴露至極紫外線(EUV)或電子束照射3006,其中第一光桶3002被暴露至EUV或電子束照射3006達比第二光桶3004更大的程度。在暴露該結構至EUV或電子束照射3006之後,第一及第二光桶之第一烘烤被履行,如與圖30B關聯所述。在履行第一烘烤之後,暴露該結構至紫外線(UV)照射,其中第一光桶被暴露至UV照射達約如第二光桶之相同程度,如與圖30C關聯所述。在暴露該結構至UV照射之後,第一及第二光桶之第二烘烤被履行,如與圖30D關聯所述。在履行第二烘烤之後,該結構被顯影。該顯影係打開第一光桶並留下第二光桶為關閉,如與圖30E關聯所述。Referring again to FIGS. 30A-30E, 30A' and 30A", in accordance with an embodiment of the present invention, a method of selecting a light barrel for semiconductor processing includes providing a structure having a first light adjacent to a second light barrel 3004 Barrel 3002. The structure is exposed to extreme ultraviolet (EUV) or electron beam radiation 3006, wherein the first photobarrel 3002 is exposed to EUV or electron beam radiation 3006 to a greater extent than the second photobarrel 3004. After exposing the structure to After EUV or e-beam irradiation 3006, a first bake of the first and second photobarrels is performed, as described in connection with Figure 30B. After performing the first bake, the structure is exposed to ultraviolet (UV) radiation, wherein The first photobarrel was exposed to UV radiation to about the same extent as the second photobarrel, as described in connection with Figure 30C. After exposing the structure to UV radiation, a second bake of the first and second photobarrels was Fulfillment, as described in connection with Figure 30D. After performing the second bake, the structure is developed. The development opens the first photobarrel and leaves the second photobarrel closed, as described in connection with Figure 30E.

於一實施例中,暴露該結構至極紫外線(EUV)或電子束照射包括暴露該結構至具有約13.5奈米之波長的能量。於另一實施例中,暴露該結構至極紫外線(EUV)或電子束照射包括暴露該結構至5-150 keV之範圍中的能量。於一實施例中,暴露該結構至UV照射包括暴露該結構至具有約365奈米之波長的能量。於一實施例中,第一烘烤被於履行在大約攝氏50-120度之範圍中的溫度於大約0.5-5分鐘之範圍中的歷時。於一實施例中,第二烘烤被於履行在大約攝氏100-180度之範圍中的溫度於大約0.5-5分鐘之範圍中的歷時。In one embodiment, exposing the structure to extreme ultraviolet (EUV) or electron beam irradiation includes exposing the structure to energy having a wavelength of about 13.5 nanometers. In another embodiment, exposing the structure to extreme ultraviolet (EUV) or electron beam irradiation includes exposing the structure to energy in the range of 5-150 keV. In one embodiment, exposing the structure to UV radiation includes exposing the structure to energy having a wavelength of about 365 nanometers. In one embodiment, the first bake is performed at a temperature in the range of about 50-120 degrees Celsius for a duration in the range of about 0.5-5 minutes. In one embodiment, the second bake is performed at a temperature in the range of about 100-180 degrees Celsius for a duration in the range of about 0.5-5 minutes.

於一實施例中,明確地參考圖30A,第一及第二光桶各包括可光解組成,其包括酸可去保護光抗蝕劑材料、光酸產生(PAG)成分、及光基產生成分。於一此類實施例中,暴露該結構至極紫外線(EUV)或電子束照射包括活化PAG成分。第一烘烤將其從活化PAG成分所形成的酸擴散遍及第一及第二光桶。暴露該結構至UV照射包括活化光基產生成分。第二烘烤係利用從光基產生成分所產生的基以抑制第二光桶中所形成的酸之總量,但不會抑制第一光桶中所形成的酸之總量。In one embodiment, referring specifically to FIG. 30A, the first and second photobarrels each include a photolytic composition including an acid deprotectable photoresist material, a photoacid generating (PAG) composition, and a photobased generating Element. In one such embodiment, exposing the structure to extreme ultraviolet (EUV) or electron beam irradiation includes activating the PAG component. The first bake diffuses the acid formed from the activated PAG component throughout the first and second photobarrels. Exposing the structure to UV radiation includes activating the photo-based generating component. The second bake utilizes the radicals generated from the photoradical generating components to suppress the total amount of acid formed in the second photobarrel, but not the total amount of acid formed in the first photobarrel.

於另一實施例中,明確地參考圖30A’,第一及第二光桶各包括嫁接光基產生成分(沿著第一及第二光桶之底部及側壁)以及可光解組成(形成於該嫁接光基產生成分內)。可光解組成包括酸可去保護光抗蝕劑材料及光酸產生(PAG)成分。於一此類實施例中,暴露該結構至極紫外線(EUV)或電子束照射包括活化PAG成分。第一烘烤將其從活化PAG成分所形成的酸擴散遍及第一及第二光桶。暴露該結構至UV照射包括活化該嫁接光基產生成分。第二烘烤係利用從光基產生成分所產生的基以抑制第二光桶中所形成的酸之總量,但不會抑制第一光桶中所形成的酸之總量。In another embodiment, with explicit reference to FIG. 30A', the first and second photobarrels each include a grafted photobase generating composition (along the bottom and sidewalls of the first and second photobarrels) and a photodecomposable composition (forming a in the grafted light-generating component). Photolyzable components include acid deprotectable photoresist materials and photoacid generating (PAG) components. In one such embodiment, exposing the structure to extreme ultraviolet (EUV) or electron beam irradiation includes activating the PAG component. The first bake diffuses the acid formed from the activated PAG component throughout the first and second photobarrels. Exposing the structure to UV radiation includes activating the grafted light-based generating component. The second bake utilizes the radicals generated from the photoradical generating components to suppress the total amount of acid formed in the second photobarrel, but not the total amount of acid formed in the first photobarrel.

於另一實施例中,明確地參考圖30A”,第一及第二光桶各包括可光解組成,其包括酸可去保護光抗蝕劑材料及光酸產生(PAG)成分。該方法進一步包括,在履行第一烘烤之後以及在暴露該結構至紫外線(UV)照射之前,形成一包括基產生成分之層於第一及第二光桶上。於一此類實施例中,暴露該結構至極紫外線(EUV)或電子束照射包括活化PAG成分。第一烘烤將其從活化PAG成分所形成的酸擴散遍及第一及第二光桶。暴露該結構至UV照射包括活化該基產生成分。第二烘烤係利用從該基產生成分所產生的基以抑制第二光桶中所形成的酸之總量,但不會抑制第一光桶中所形成的酸之總量。In another embodiment, with specific reference to FIG. 30A", the first and second photobarrels each include a photolytic composition comprising an acid deprotectable photoresist material and a photoacid generating (PAG) composition. The method It further includes, after performing the first bake and before exposing the structure to ultraviolet (UV) radiation, forming a layer including a radical generating component on the first and second photobarrels. In one such embodiment, exposing Exposure of the structure to extreme ultraviolet (EUV) or electron beam irradiation includes activating the PAG component. The first bake diffuses it from the acid formed from the activated PAG component throughout the first and second photobarrels. Exposure of the structure to UV radiation includes activating the radical Generation Component. The second bake utilizes the radicals generated from the base-generating component to suppress the total amount of acid formed in the second pass, but not the total amount of acid formed in the first pass.

於上述情況之任一者中,於一實施例中,顯影該結構包括(於正色調顯影之情況下)以標準水性TMAH顯影劑(例如,於從0.1M-1M之濃度範圍中)或者根據氫氧化四甲銨之其他水性或酒精顯影劑的浸入或塗佈30-120秒,接續以DI水之清洗。於另一實施例中,於負色調顯影之情況下,顯影該結構包括以有機溶劑(諸如環己酮、2-庚酮、丙二醇甲基乙基醋酸鹽或其他)之浸入或塗佈,接續以另一有機溶劑(諸如己烷、庚烷、環己烷等等)之清洗。In any of the above cases, in one embodiment, developing the structure includes (in the case of positive tone development) with a standard aqueous TMAH developer (eg, in a concentration range from 0.1M-1M) or according to Immersion or coating of other aqueous or alcohol developer of tetramethylammonium hydroxide for 30-120 seconds, followed by washing with DI water. In another embodiment, in the case of negative tone development, developing the structure includes dipping or coating with an organic solvent such as cyclohexanone, 2-heptanone, propylene glycol methyl ethyl acetate, or others, followed by Washing with another organic solvent such as hexane, heptane, cyclohexane, etc.

於範例實施例中,以上所述之方式係建立於使用所謂光桶之方式上,其中每一可能特徵(例如,通孔)被預圖案化入基底中。接著,光抗蝕劑被填充入圖案化特徵而微影操作僅被用以選擇選定通孔以供通孔開口形成。於特定實施例中,微影操作被用以界定相當大的孔於其包括二階段烘烤光抗蝕劑的複數光桶之上,如上所述。二階段烘烤光抗蝕劑光桶方式係容許較大的關鍵尺寸(CD)及/或重疊時之誤差,而同時留存用以選擇有興趣的通孔之能力。In an exemplary embodiment, the approach described above builds on the approach using a so-called photobucket, where every possible feature (eg, via) is pre-patterned into the substrate. Next, photoresist is filled into the patterned features and a lithography operation is used only to select selected vias for via opening formation. In certain embodiments, a lithography operation is used to define relatively large holes over a plurality of photobarrels including a two-stage bake photoresist, as described above. The two-stage bake photoresist photobucket approach allows for larger critical dimension (CD) and/or overlap errors while preserving the ability to select vias of interest.

依據本發明之實施例,抗蝕劑之影像色調反轉(例如,針對光桶)被描述。文中所述之一或更多實施例係有關於一種具有特殊性質以致能圖案反轉(例如,孔反轉至柱)之材料類別、及從該材料類別所得之相關處理方式及結構。該材料類別可為軟材料類別,例如,光抗蝕劑狀材料。當作一般性方式,抗蝕劑狀材料被沈積於預圖案化硬遮罩中。抗蝕劑狀材料可接著利用高解析度微影工具(例如,極紫外線(EUV)處理工具)而被選出。另一方面,抗蝕劑狀材料可替代地被留下以永久地餘留在最後製造的結構中,例如,其形成介於金屬線間之斷裂的層間電介質(ILD)材料或結構(「插塞」)。對於下一世代插塞圖案化所預期的重疊(邊緣布局)問題可由文中所述之一或更多方式來解決。In accordance with embodiments of the present invention, image tone inversion of a resist (eg, for photo barrels) is described. One or more embodiments described herein relate to a class of materials having special properties to enable pattern inversion (eg, inversion of holes to posts), and related treatments and structures derived from that class of materials. The material class may be a soft material class, eg, a photoresist-like material. As a general approach, a resist-like material is deposited in a pre-patterned hard mask. The resist-like material can then be selected using high-resolution lithography tools (eg, extreme ultraviolet (EUV) processing tools). On the other hand, the resist-like material can alternatively be left to remain permanently in the final fabricated structure, for example, it forms a fractured interlayer dielectric (ILD) material or structure between the metal lines ("insertion") plug"). The problem of overlap (edge placement) expected for the next generation of plug patterning may be addressed in one or more of the ways described herein.

更明確地,文中所述之一或更多實施例係有關於使用一種旋塗式電介質(例如,ILD),其具有致能圖案化光抗蝕劑層中之孔(「桶」)的填充而不破壞該光抗蝕劑層圖案之特殊性質。首先,旋塗式電介質材料被引入一種溶劑,其不會溶解或造成光抗蝕劑與電介質材料之互混。應理解:孔之良好可填充性是需要的。旋塗式電介質膜之初始交聯(或設定)被完成於其中光抗蝕劑與旋塗式電介質不互混而失去圖案資訊的條件之下。一旦該圖案被反轉,則該桶內之材料被接著轉換(透過烘烤/硬化)至具有所欲性質(諸如k值、模數、蝕刻選擇性,等等)之電介質。雖然不限於此類材料,但根據1,3,5-三矽雜環己烷(1,3,5-trisilacyclohexane)建立區塊之旋塗式電介質材料可被實施以滿足上述準則。具有此一材料(或其他矽基的電介質)之可溶性損失的交聯可被起始(熱地、或者以較低的溫度),藉由使用酸、鹼或路易斯酸催化劑製程。於一實施例中,此低溫催化對於文中所述之方式的實施方式是關鍵的。More specifically, one or more of the embodiments described herein relate to the use of a spin-on dielectric (eg, ILD) with enabling filling of holes ("buckets") in a patterned photoresist layer Without destroying the special properties of the photoresist layer pattern. First, the spin-on dielectric material is introduced into a solvent that does not dissolve or cause intermixing of the photoresist and the dielectric material. It should be understood that good fillability of the pores is required. The initial crosslinking (or setting) of the spin-on dielectric film is accomplished under conditions in which the photoresist and the spin-on dielectric do not intermix and lose pattern information. Once the pattern is reversed, the material within the barrel is then converted (by baking/hardening) to a dielectric with desired properties (such as k-value, modulus, etch selectivity, etc.). While not limited to such materials, spin-on dielectric materials that build blocks from 1,3,5-trisilacyclohexane can be implemented to meet the above criteria. Crosslinking with loss of solubility of this material (or other silicon-based dielectrics) can be initiated (thermally, or at lower temperatures) by processes using acid, base, or Lewis acid catalysts. In one embodiment, this low temperature catalysis is critical to the implementation of the methods described herein.

於一實施例中,文中所述之方式係涉及採用最佳成像性能(例如,其來自正色調材料)以產生負色調圖案,其中最後膜製程係追求材料性質。最後材料性質對於那些高性能低k電介質/ILD材料可為近似的。反之,用於電介質膜之直接圖案化的最先進選項是有限的且不預期能展現必要的微影性能以便可製造於未來的縮小科技世代。In one embodiment, the approach described herein involves utilizing optimal imaging properties (eg, from positive tone materials) to produce negative tone patterns, where material properties are pursued in the final film process. The final material properties may be approximate for those high performance low-k dielectric/ILD materials. In contrast, state-of-the-art options for direct patterning of dielectric films are limited and are not expected to exhibit the necessary lithographic performance to be manufacturable in future shrinking technology generations.

如以下配合圖31及32A-32H所更詳細地描述,依據文中所述之實施例,ILD材料中之溝槽預圖案化被填充以化學放大的光抗蝕劑。使用高解析度微影(例如,EUV),則該些溝槽內之選定孔係經由傳統正色調處理而被暴露並移除。於此階段,空的孔被處置以預催化劑層。於一此類實施例中,預催化劑層為一含有附加催化劑層之自聚合單層(SAM)。所得的裝飾孔被接著填充以電介質先質,具有伴隨的超載。該些孔中之催化劑的局部化(或接近)導致僅於該些孔中之電介質的選擇性交聯及設定。超載及光抗蝕劑被移除,接續於電介質(假如需要的話)及金屬化製程之最後硬化後。As described in greater detail below in conjunction with FIGS. 31 and 32A-32H, in accordance with embodiments described herein, trenches in the ILD material are pre-patterned with chemically amplified photoresist filled. Using high-resolution lithography (eg, EUV), selected holes within the trenches are exposed and removed via conventional positive tone processing. At this stage, the empty pores are treated with a precatalyst layer. In one such embodiment, the precatalyst layer is a self-polymerizing monolayer (SAM) containing additional catalyst layers. The resulting decorated holes are then filled with the dielectric precursor, with concomitant overloading. Localization (or proximity) of the catalyst in the pores results in selective crosslinking and setting of the dielectric only in the pores. Overload and photoresist are removed, following the final hardening of the dielectric (if required) and metallization processes.

依據本發明之實施例,文中所述之方式的關鍵特徵涉及超載之變化圖案密度與變化厚度的調適。於一實施例中,此調適被致能,因為交聯僅發生於該孔之中/附近且超載最終地藉由平坦化(例如,藉由化學機械拋光)而被移除。於一實施例中,孔中之電介質材料的選擇性交聯被達成而不招致超載之區中的選擇性交聯。於特定實施例中,接續於正色調微影圖案化及顯影後,親水Si-OH終止表面被暴露於該些孔中以及光抗蝕劑已被移除之任何位置。親水表面可存在於光抗蝕劑塗佈之前或者被產生於(例如)氫氧化四甲銨(TMAH)顯影或後續清洗期間。應理解:尚未被曝光及顯影之光抗蝕劑將維持特性上溫和地或強烈地疏水本質,而因此,圖案化製程有效地產生親水及疏水域。In accordance with embodiments of the present invention, key features of the approaches described herein relate to the adaptation of varying pattern densities and varying thicknesses of overload. In one embodiment, this adaptation is enabled because crosslinking only occurs in/near the pores and the overloading is eventually removed by planarization (eg, by chemical mechanical polishing). In one embodiment, selective cross-linking of the dielectric material in the pores is achieved without incurring selective cross-linking in regions of overload. In certain embodiments, subsequent to positive tone lithography patterning and development, the hydrophilic Si-OH terminated surface is exposed in the holes and wherever the photoresist has been removed. The hydrophilic surface can be present prior to photoresist coating or created, for example, during tetramethylammonium hydroxide (TMAH) development or subsequent cleaning. It should be understood that a photoresist that has not been exposed and developed will maintain a mildly or strongly hydrophobic nature in character, and thus, the patterning process effectively creates both hydrophilic and hydrophobic domains.

於一實施例中,暴露的親水表面被功能化以一種表面嫁接劑,其係攜載用以交聯電介質材料所需的催化劑或預催化劑。電介質之後續塗佈係導致利用超載之孔的填充,如上所述,以及如以下更詳細地闡明。於利用(例如)低溫烘烤之預催化劑的活化及受控制擴散時,電介質材料被選擇性地交聯於該孔中,具有最少交聯發生於超載中,亦即,直接地在該孔之上。超載電介質材料可接著使用鑄造溶劑或另一溶劑中的溶解而被移除。應理解:移除製程亦可移除光抗蝕劑,或者光抗蝕劑可利用另一溶劑或藉由灰化製程而被移除。於一實施例中,隨著色調被反轉,電介質材料可被烘烤/硬化於相對較高的溫度,在金屬化或其他處理之前。In one embodiment, the exposed hydrophilic surface is functionalized with a surface grafting agent that carries the catalyst or pre-catalyst required to crosslink the dielectric material. Subsequent coating of the dielectric results in the filling of the holes with overload, as described above, and as explained in more detail below. Upon activation and controlled diffusion of a precatalyst using, for example, a low temperature bake, the dielectric material is selectively cross-linked in the pores, with minimal cross-linking occurring in overloading, that is, directly within the pores. superior. The overloaded dielectric material can then be removed using dissolution in a casting solvent or another solvent. It should be understood that the removal process can also remove the photoresist, or the photoresist can be removed using another solvent or by an ashing process. In one embodiment, the dielectric material may be baked/hardened to a relatively high temperature prior to metallization or other processing as the hue is reversed.

文中所述之一或更多實施例,有數種方式以將催化劑或預催化劑安置於孔中。針對某些電介質材料,需要強的布氏酸。於其他情況下,可利用強的路易斯酸。為了文中之描述的簡便,術語「酸」被用以指稱兩種情境。於一實施例中,催化劑或預催化劑之直接吸收被利用。於此情境中,催化劑被塗佈至親水表面上並經由H接合或其他靜電交互作用而被牢固地固持。電介質材料之後續塗佈導致酸及電介質先質被局部化於該孔中,其中熱或其他活化起始了所欲的交聯化學。於範例實施例中,富含Si-OH的表面與強的路易斯酸B(C6 F5 )3 之反應係導致Si-O-B(C6 F5 )3 H+ 之形成。此所得的路易斯酸被用以催化氫矽烷(hydrosilane)先質分子之交聯,在比非催化製程相對更低的溫度。於一實施例中,所利用的大型催化劑係將擴散最小化入超載區內。In one or more of the embodiments described herein, there are several ways to dispose the catalyst or pre-catalyst in the pores. For some dielectric materials, strong Brinell acids are required. In other cases, strong Lewis acids can be utilized. For simplicity of description in the text, the term "acid" is used to refer to both situations. In one embodiment, direct absorption of catalyst or precatalyst is utilized. In this context, the catalyst is coated onto a hydrophilic surface and held firmly via H-bonding or other electrostatic interactions. Subsequent coating of the dielectric material results in the localization of the acid and dielectric precursors in the pores, where thermal or other activation initiates the desired cross-linking chemistry. In an exemplary embodiment, the reaction of the Si-OH rich surface with the strong Lewis acid B( C6F5 ) 3 results in the formation of Si - OB( C6F5 ) 3H + . The resulting Lewis acid is used to catalyze the crosslinking of hydrosilane precursor molecules at relatively lower temperatures than non-catalytic processes. In one embodiment, a large catalyst system is utilized to minimize diffusion into the overload zone.

於另一實施例中,方式係涉及經由矽烷化學物之催化劑或預催化劑的共價黏合,諸如氯、烷氧基、及胺矽烷或其他的表面嫁接群組,其可包括矽氧烷、矽基氯化物、烯、炔、胺、膦、硫醇、膦酸或羧酸。於此情境中,催化劑或預催化劑被共價地鏈結至嫁接劑。例如,根據鎓鹽之眾所周知的酸產生劑(例如,光或熱)可被黏附至矽氧烷(例如,[(MeO)3 Si-CH2 CH2 CH2 SR2 ][X],其中R=烷基或芳基群組而X=弱配位陰離子,諸如三氟甲烷磺酸鹽、九氟丁烷磺酸鹽(nonaflate)、H-B(C6 F5 )3 ,BF4 ,等等)。催化劑或預催化劑可被選擇性地黏附至感興趣ILD、或選擇性地移除自抗蝕劑,使用熱、乾式蝕刻、或濕式蝕刻製程。於又另一實施例中,催化劑或預催化劑係使用類似技術而被引入在光抗蝕劑塗佈之前。於此情境中,為了使其有效,嫁接材料不得妨礙微影且必須耐受後續處理。In another embodiment, the approach involves covalent bonding via catalysts or pre-catalysts of silane chemicals, such as chlorine, alkoxy, and amine silanes or other surface-grafting groups, which may include siloxanes, silicon chlorides, alkenes, alkynes, amines, phosphines, thiols, phosphonic acids or carboxylic acids. In this context, the catalyst or precatalyst is covalently linked to the grafting agent. For example, well-known acid generators (eg, light or heat) based on onium salts can be adhered to siloxanes (eg, [(MeO ) 3Si - CH2CH2CH2SR2 ][X], where R = alkyl or aryl group and X = weakly coordinating anion, such as trifluoromethane sulfonate, nonaflate, HB(C 6 F 5 ) 3 , BF 4 , etc.) . The catalyst or pre-catalyst can be selectively adhered to the ILD of interest, or selectively removed from the resist, using thermal, dry etch, or wet etch processes. In yet another embodiment, a catalyst or pre-catalyst is introduced prior to photoresist coating using similar techniques. In this context, to be effective, the graft material must not interfere with the lithography and must withstand subsequent processing.

當作用以展示文中所述之概念的範例手段,圖31闡明層間電介質(ILD)線與抗蝕劑線之交替型態的斜角視圖,其具有形成於該些抗蝕劑線之一中的孔,依據本發明之實施例。參考圖31,圖案3100包括交替的ILD線3102及抗蝕劑線3104。孔3106被形成於抗蝕劑線3104之一中,例如,藉由傳統微影。如以下所述,與圖32A-32H相關聯,諸如圖案3100之圖案可經受色調反轉。When used as an exemplary means of demonstrating the concepts described herein, FIG. 31 illustrates an oblique view of an alternating pattern of interlayer dielectric (ILD) lines and resist lines, with holes, according to embodiments of the present invention. Referring to FIG. 31 , a pattern 3100 includes alternating ILD lines 3102 and resist lines 3104 . A hole 3106 is formed in one of the resist lines 3104, eg, by conventional lithography. As described below, in association with Figures 32A-32H, patterns such as pattern 3100 may be subject to hue inversion.

於範例製程流中,圖32A-32H闡明一種涉及使用由下而上交聯之具有電介質的影像色調反轉之製造程序中的橫斷面視圖,依據本發明之實施例。In an example process flow, Figures 32A-32H illustrate cross-sectional views in a fabrication process involving the use of bottom-up cross-linked image tone inversion with a dielectric, in accordance with embodiments of the present invention.

圖32A闡明接續於ILD材料3202中之溝槽3204的預圖案化後之開始結構的橫斷面視圖。溝槽3204之選定者被填充以化學放大的光抗蝕劑3206,而其他者已被處理以提供未填充溝槽(或未填充溝槽部分,如圖31中所示)。例如,於一實施例中,使用高解析度微影(例如,極紫外線(EUV)微影),則該些溝槽3204內之選定孔係經由傳統正色調處理而被暴露並移除。32A illustrates a cross-sectional view of the starting structure following pre-patterning of trenches 3204 in ILD material 3202. Selected ones of trenches 3204 are filled with chemically amplified photoresist 3206, while others have been processed to provide unfilled trenches (or unfilled trench portions, as shown in FIG. 31). For example, in one embodiment, using high-resolution lithography (eg, extreme ultraviolet (EUV) lithography), selected holes within the trenches 3204 are exposed and removed via conventional positive tone processing.

雖為了簡化而未描繪,應理解:未填充溝槽(或已填充溝槽內所形成之孔)可暴露下方特徵,諸如下方金屬線,於區3208中。再者,於一實施例中,開始結構可被圖案化以一種光柵狀圖案,其具有以恆定節距所間隔並具有恆定寬度的溝槽。圖案(例如)可藉由節距減半或節距減為四分之一方式來製造。某些溝槽可與下方通孔或更低階金屬化線關聯。Although not depicted for simplicity, it is understood that unfilled trenches (or holes formed within filled trenches) may expose underlying features, such as underlying metal lines, in region 3208 . Also, in one embodiment, the starting structure may be patterned in a grating-like pattern with trenches of constant width spaced at a constant pitch. Patterns, for example, can be fabricated by halving the pitch or reducing the pitch by a quarter. Certain trenches may be associated with underlying vias or lower level metallization lines.

圖32B闡明接續於具有預催化劑層3210(其,於一實施例中,為含有催化劑材料之自聚合單層(SAM))之空白溝槽或孔的處置後之圖32A的結構之橫斷面視圖。於一此類實施例中,如圖所示,預催化劑層3210被形成於ILD 3202之暴露部分上,但並非於抗蝕劑3206之暴露部分或任何暴露金屬上(諸如於區3208之上)。於一實施例中,預催化劑層3210係藉由以下方式來形成:將圖32A之結構暴露至氣相中之預催化劑形成分子、或溶劑中所溶解之分子。於一實施例中,預催化劑層為藉由直接吸附所形成之催化劑或預催化劑的層,如上所述。於另一實施例中,預催化劑層3210為藉由共價黏附所形成之催化劑或預催化劑的層。32B illustrates a cross-section of the structure of FIG. 32A following the treatment of a blank trench or hole with a precatalyst layer 3210, which, in one embodiment, is a self-polymerizing monolayer (SAM) containing catalyst material. view. In one such embodiment, as shown, precatalyst layer 3210 is formed on exposed portions of ILD 3202, but not on exposed portions of resist 3206 or any exposed metal (such as over regions 3208) . In one embodiment, the precatalyst layer 3210 is formed by exposing the structure of Figure 32A to precatalyst-forming molecules in the gas phase, or molecules dissolved in a solvent. In one embodiment, the pre-catalyst layer is a layer of catalyst or pre-catalyst formed by direct adsorption, as described above. In another embodiment, the pre-catalyst layer 3210 is a layer of catalyst or pre-catalyst formed by covalent adhesion.

圖32C闡明接續於利用電介質材料3212以填充所得的裝飾孔後之圖32B的結構之橫斷面視圖。應理解:電介質材料3212具有填充該些溝槽或孔的部分3212A以及位於該些溝槽或孔之上的部分3212B。部分3212B於文中被稱為超載。於一實施例中,電介質材料3212為一種旋塗式電介質材料。32C illustrates a cross-sectional view of the structure of FIG. 32B following the use of a dielectric material 3212 to fill the resulting decorative hole. It should be understood that the dielectric material 3212 has portions 3212A filling the trenches or holes and portions 3212B overlying the trenches or holes. Section 3212B is referred to herein as overload. In one embodiment, the dielectric material 3212 is a spin-on dielectric material.

於一實施例中,電介質材料3212被選自根據氫矽烷先質分子之材料類別,其中催化劑係調解Si-H鍵與交聯劑(諸如水、四乙氧基矽烷(TEOS)、六乙氧基三矽環己烷(hexaethoxytrisilacyclohexane)或類似的多功能交聯劑)的反應。於一此類實施例中,電介質材料3212包括三矽環己烷,其可後續地藉由O群組而被鏈結在一起。於其他實施例中,烷氧基矽烷為基的電介質先質或半矽氧烷(SSQ)被用於電介質材料3212。In one embodiment, the dielectric material 3212 is selected from the class of materials based on hydrosilane precursor molecules, wherein the catalyst mediates Si-H bonds and crosslinkers such as water, tetraethoxysilane (TEOS), hexaethoxysilane hexaethoxytrisilacyclohexane or similar multifunctional cross-linking agents). In one such embodiment, the dielectric material 3212 includes trisilacyclohexane, which can be subsequently linked together by O groups. In other embodiments, an alkoxysilane-based dielectric precursor or semi-siloxane (SSQ) is used for the dielectric material 3212.

圖32D闡明接續於電介質材料3212之部分3212A的交聯後之圖32C的結構之橫斷面視圖。於一實施例中,未填充溝槽或孔中之催化劑(例如,預催化劑層3210)的局部化(或接近)係導致選擇性交聯以形成交聯區3214以及電介質材料3212的部分3212A之設定,僅於該些孔中。亦即,於一實施例中,電介質材料3212之部分3212B未被交聯。於一實施例中,用以形成區3214之交聯係藉由熱硬化製程(亦即,藉由加熱)來實現。32D illustrates a cross-sectional view of the structure of FIG. 32C following cross-linking of portion 3212A of dielectric material 3212. In one embodiment, localization (or proximity) of catalyst (eg, precatalyst layer 3210 ) in unfilled trenches or pores results in selective crosslinking to form crosslinking regions 3214 and setting of portions 3212A of dielectric material 3212 , only in these holes. That is, in one embodiment, the portion 3212B of the dielectric material 3212 is not cross-linked. In one embodiment, the interconnection used to form regions 3214 is accomplished by a thermal hardening process (ie, by heating).

於一實施例中,電介質材料3212包括三矽環己烷,而用以形成區3214之交聯包括藉由O群組以將三矽環己烷交聯在一起。參考圖33A,三矽環己烷3300被闡明。參考圖33B,兩個交聯(XL)三矽環己烷分子3300形成交聯材料3320。圖33C闡明鏈結三矽環己烷結構3340之理想化表示。應理解:實際上,結構3340被用以表示寡聚物之複合物混合,但共同點是H封蓋的三矽環己烷環。In one embodiment, the dielectric material 3212 includes trisilacyclohexane, and the cross-linking to form the regions 3214 includes cross-linking the trisilacyclohexane together by O groups. Referring to Figure 33A, trisilacyclohexane 3300 is illustrated. Referring to Figure 33B, two cross-linked (XL) trisilacyclohexane molecules 3300 form a cross-linked material 3320. Figure 33C illustrates an idealized representation of the linked trisilacyclohexane structure 3340. It should be understood that, in practice, structure 3340 is used to represent a complex mixture of oligomers, but the common denominator is the H-capped trisilacyclohexane ring.

圖32E闡明接續於電介質材料3212之超載區3212B的移除後之圖32D的結構之橫斷面視圖。圖32F闡明接續於對交聯區3214有選擇性之抗蝕劑3206的移除後之圖32E的結構之橫斷面視圖。於一實施例中,如所描繪,抗蝕劑3206被移除於後續且不同的處理操作(諸如第二濕式化學顯影操作)中,相對於用以移除電介質材料3212之超載區3212B的處理操作(諸如第一濕式化學顯影操作)。然而,於另一實施例中,抗蝕劑3206被移除於用以移除電介質材料3212之超載區3212B的相同處理操作(諸如濕式化學顯影操作)中。於一實施例中,餘留的交聯區3214係經受額外硬化製程(例如,接續於交聯硬化製程後之額外加熱)。於一實施例中,額外硬化被履行接續於抗蝕劑3206及超載區3212B之移除後。32E illustrates a cross-sectional view of the structure of FIG. 32D following removal of the overload region 3212B of the dielectric material 3212. 32F illustrates a cross-sectional view of the structure of FIG. 32E following removal of resist 3206 selective to cross-linked regions 3214. In one embodiment, as depicted, resist 3206 is removed in a subsequent and different processing operation, such as a second wet chemical development operation, relative to that of overload region 3212B used to remove dielectric material 3212. A processing operation (such as a first wet chemical development operation). However, in another embodiment, the resist 3206 is removed in the same processing operation (such as a wet chemical development operation) used to remove the overload region 3212B of the dielectric material 3212. In one embodiment, the remaining cross-linked regions 3214 are subjected to an additional hardening process (eg, additional heating following the cross-linking hardening process). In one embodiment, additional hardening is performed subsequent to the removal of resist 3206 and overload region 3212B.

圖32G闡明接續於金屬填充層3216之形成後的圖32F之結構的橫斷面視圖。金屬填充層3216可被形成於來自圖32F之打開的溝槽(或孔)中,以及於超載區中。金屬填充層可為單一材料層,或者可被形成自數個層,包括導電襯裡層及填充層。任何適當的沈積製程(諸如電鍍、化學氣相沈積或物理氣相沈積)可被用以形成金屬填充層3216。於一實施例中,金屬填充層3216係由導電材料所組成,諸如(但不限定於)Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au或其合金。32G illustrates a cross-sectional view of the structure of FIG. 32F following the formation of a metal fill layer 3216. A metal fill layer 3216 can be formed in the open trenches (or holes) from Figure 32F, as well as in the overload regions. The metal fill layer can be a single material layer, or can be formed from several layers, including a conductive liner layer and a fill layer. Any suitable deposition process, such as electroplating, chemical vapor deposition, or physical vapor deposition, may be used to form metal fill layer 3216. In one embodiment, the metal filling layer 3216 is composed of conductive materials such as (but not limited to) Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au or its alloys.

圖32H闡明接續於用以形成金屬特徵3218(例如,金屬線或通孔)之金屬填充層的平坦化後之圖32G的結構之橫斷面視圖。於一實施例中,用以形成金屬特徵3218之金屬填充層3216的平坦化係使用化學機械拋光製程而被履行。範例所得結構被顯示於圖32H中,其中金屬特徵3218係與ILD材料3202中之交聯(電介質)區3214交替配置。32H illustrates a cross-sectional view of the structure of FIG. 32G following planarization of a metal fill layer used to form metal features 3218 (eg, metal lines or vias). In one embodiment, planarization of the metal fill layer 3216 used to form the metal features 3218 is performed using a chemical mechanical polishing process. An example resulting structure is shown in FIG. 32H, where metal features 3218 are alternated with cross-linked (dielectric) regions 3214 in the ILD material 3202.

應理解:圖32H之所得結構可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖32H之結構可代表積體電路中之最後金屬互連層。再者,應理解:以上範例並未於圖形中包括蝕刻停止或金屬封蓋層,其可另為用於圖案化所需要的。然而,為了清楚瞭解,此等層未被包括於圖形中,因為其不會影響整體由下而上填充概念。It should be understood that the resulting structure of Figure 32H can then be used as the basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the structure of Figure 32H may represent the final metal interconnect layer in an integrated circuit. Furthermore, it should be understood that the above examples do not include an etch stop or metal capping layer in the pattern, which may otherwise be required for patterning. However, for clarity, these layers are not included in the figure as they do not affect the overall bottom-up filling concept.

再次參考圖32A-32H,此一圖案化方案可被實施為一種集成圖案化方式,其涉及產生覆蓋所有可能位置之規律結構,接續以僅所欲特徵之選擇性圖案化。交聯區3214表示一種材料,其可餘留在最後結構中而成為介於金屬線的末端之間的ILD(例如,成為插塞)。Referring again to Figures 32A-32H, this patterning scheme can be implemented as an integrated patterning approach that involves generating regular structures covering all possible locations, followed by selective patterning of only desired features. The cross-linked region 3214 represents a material that can remain in the final structure as an ILD (eg, as a plug) between the ends of the metal lines.

依據本發明之實施例,描述一種對角線遮罩圖案化。文中所述之一或更多實施例係針對用於重疊改良之對角線硬遮罩圖案化,特別於半導體積體電路之後段製程(BEOL)特徵的製造中。根據對角線硬遮罩之圖案化的應用可包括(但不限定於)以下實施方式:193nm浸入式微影、極紫外線(EUV)微影、互連製造、重疊改良、重疊預算、插塞圖案化、通孔圖案化。實施例可特別地用於BEOL結構之自對準製造。According to an embodiment of the present invention, a diagonal mask patterning is described. One or more of the embodiments described herein are directed to diagonal hard mask patterning for overlay improvement, particularly in the fabrication of back end of line (BEOL) features in semiconductor integrated circuits. Applications based on patterning of diagonal hard masks may include, but are not limited to, the following embodiments: 193 nm immersion lithography, extreme ultraviolet (EUV) lithography, interconnect fabrication, overlay improvement, overlay budget, plug patterning , through hole patterning. Embodiments are particularly useful for self-aligned fabrication of BEOL structures.

於一實施例中,文中所述之方式涉及一種集成方案,其係容許相對於現存方式之增加的通孔及插塞重疊容限。於一此類實施例中,所有潛在通孔及插塞被預圖案化並填充以抗蝕劑來形成複數光桶。之後,於特定實施例中,EUV或193nm微影被用以選擇某些通孔及插塞位置以供實際的(最終的)通孔及插塞製造。於一實施例中,對角線圖案化被用以增加最接近相鄰距離,其導致重疊預算之二的平方根之因數的增加。更明確地,一或更多文中所述之實施例涉及使用一種減成方法以使用已蝕刻的溝槽來預形成每一通孔及插塞。接著使用一額外操作以選擇留存哪些通孔及插塞。此等操作係使用光桶來闡明,雖然亦可使用一種更傳統的抗蝕劑曝光及ILD回填方式來履行選擇製程。In one embodiment, the approaches described herein relate to an integrated approach that allows increased via and plug overlap tolerance relative to existing approaches. In one such embodiment, all potential vias and plugs are pre-patterned and filled with resist to form photobuckets. Afterwards, in certain embodiments, EUV or 193 nm lithography is used to select certain via and plug locations for actual (final) via and plug fabrication. In one embodiment, diagonal patterning is used to increase the nearest neighbor distance, which results in an increase by a factor of the square root of two of the overlap budget. More specifically, one or more of the embodiments described herein relate to using a subtractive method to preform each via and plug using etched trenches. An additional operation is then used to select which vias and plugs to keep. These operations are illustrated using photobuckets, although a more traditional resist exposure and ILD backfill approach can also be used to perform the selection process.

於一形態中,對角線硬遮罩方式可被實施。當作範例,圖34A-34X闡明其表示一種使用對角線硬遮罩之自對準通孔及插塞圖案化的方法中之各個操作的積體電路層之部分,依據本發明之實施例。於各所述操作之各圖示中,顯示橫斷面及/或平面及/或有斜角視圖。這些視圖將於文中被稱為相應的橫斷面視圖、平面視圖及斜角視圖。In one form, a diagonal hard mask approach may be implemented. By way of example, FIGS. 34A-34X illustrate portions of integrated circuit layers that represent various operations in a method of self-aligned via and plug patterning using diagonal hardmasks, in accordance with embodiments of the present invention. . In each illustration of each of the described operations, cross-sectional and/or planar and/or oblique views are shown. These views will be referred to herein as the corresponding cross-sectional, plan and oblique views.

圖34A闡明接續於層間電介質(ILD)層3402上所形成之第一硬遮罩材料層3404的沈積後(但在圖案化前)之開始結構3400的橫斷面視圖,依據本發明之實施例。參考圖34A,圖案化遮罩3406具有於第一硬遮罩材料層3404上或之上(沿著其側壁)所形成的間隔物3408。34A illustrates a cross-sectional view of the starting structure 3400 following deposition (but before patterning) of a first hard mask material layer 3404 formed on an interlayer dielectric (ILD) layer 3402, in accordance with an embodiment of the present invention . Referring to Figure 34A, the patterned mask 3406 has spacers 3408 formed on or over the first layer of hard mask material 3404 (along its sidewalls).

圖34B闡明接續於藉由節距加倍的第一硬遮罩層之圖案化後的圖34A之結構的橫斷面視圖,依據本發明之實施例。參考圖34B,圖案化遮罩3406被移除而間隔物3408之所得圖案被轉移(例如,藉由蝕刻製程)至第一硬遮罩材料層3404以形成第一圖案化硬遮罩3410。於一此類實施例中,第一圖案化硬遮罩3410被形成以光柵圖案,如圖34B中所描繪者。於一實施例中,第一圖案化硬遮罩3410之光柵結構為緊密節距光柵結構。於特定此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成(遮罩3406),但該節距可藉由使用間隔物遮罩圖案化而被減半,如圖34A及34B中所描繪者。甚至,雖然未顯示,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,圖34B的第一圖案化硬遮罩3410之光柵狀圖案可具有以恆定節距來分隔並具有恆定寬度之硬遮罩線。34B illustrates a cross-sectional view of the structure of FIG. 34A following patterning of the first hardmask layer by pitch doubling, in accordance with an embodiment of the present invention. Referring to FIG. 34B , the patterned mask 3406 is removed and the resulting pattern of spacers 3408 is transferred (eg, by an etching process) to the first layer of hard mask material 3404 to form a first patterned hard mask 3410 . In one such embodiment, the first patterned hardmask 3410 is formed in a grating pattern, as depicted in Figure 34B. In one embodiment, the grating structure of the first patterned hard mask 3410 is a tight-pitch grating structure. In a particular such embodiment, tight pitch cannot be achieved directly by conventional lithography. For example, a pattern according to conventional lithography can be formed first (mask 3406), but the pitch can be halved by using spacer mask patterning, as depicted in Figures 34A and 34B. Even, although not shown, the original pitch can be reduced by a quarter by a second round of spacer mask patterning. Thus, the raster-like pattern of the first patterned hardmask 3410 of FIG. 34B can have hardmask lines of constant width separated by a constant pitch.

圖34C闡明接續於第二圖案化硬遮罩之形成後的圖34B之結構的橫斷面視圖,依據本發明之實施例。參考圖34C,第二圖案化硬遮罩3412被形成為與第一圖案化硬遮罩3410交錯。於一此類實施例中,第二圖案化硬遮罩3412係藉由第二硬遮罩材料層(例如,具有不同於第一硬遮罩材料層3404之組成)之沈積而被形成。第二硬遮罩材料層被接著平坦化(例如,藉由化學機械拋光(CMP))以提供第二圖案化硬遮罩3412。34C illustrates a cross-sectional view of the structure of FIG. 34B subsequent to formation of a second patterned hard mask, in accordance with an embodiment of the present invention. Referring to FIG. 34C , the second patterned hard mask 3412 is formed to be interleaved with the first patterned hard mask 3410 . In one such embodiment, the second patterned hard mask 3412 is formed by deposition of a second layer of hard mask material (eg, having a different composition than the first layer of hard mask material 3404). The second layer of hard mask material is then planarized (eg, by chemical mechanical polishing (CMP)) to provide a second patterned hard mask 3412 .

圖34D闡明接續於硬遮罩蓋層(第三硬遮罩層)之沈積後的圖34C之結構的橫斷面視圖,依據本發明之實施例。參考圖34D,硬遮罩蓋層3414被形成於第一圖案化硬遮罩3410及第一圖案化硬遮罩3412上。於一此類實施例中,硬遮罩蓋層3414之材料組成及蝕刻選擇性係不同於第一圖案化硬遮罩3410及第一圖案化硬遮罩3412。34D illustrates a cross-sectional view of the structure of FIG. 34C following deposition of a hard mask cap layer (third hard mask layer), in accordance with an embodiment of the present invention. Referring to FIG. 34D , a hard mask cap layer 3414 is formed on the first patterned hard mask 3410 and the first patterned hard mask 3412 . In one such embodiment, the material composition and etch selectivity of the hard mask cap layer 3414 are different from the first patterned hard mask 3410 and the first patterned hard mask 3412.

圖34E闡明接續於硬遮罩蓋層之圖案化後的圖34D之結構的斜角視圖,依據本發明之實施例。參考圖34E,圖案化的硬遮罩蓋層3414被形成於第一圖案化硬遮罩3410及第一圖案化硬遮罩3412上。於一此類實施例中,圖案化的硬遮罩蓋層3414被形成以一正交於第一圖案化硬遮罩3410及第一圖案化硬遮罩3412之光柵圖案的光柵圖案,如圖34E中所示。於一實施例中,由圖案化的硬遮罩蓋層3414所形成之光柵結構為緊密節距光柵結構。於此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成,但該節距可藉由使用間隔物遮罩圖案化而被減半。甚至,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,圖34E之圖案化的硬遮罩蓋層3414之光柵狀圖案可具有以恆定節距來分隔並具有恆定寬度之硬遮罩線。應理解:有關形成及圖案化硬遮罩層(或硬遮罩蓋層,諸如硬遮罩蓋層3414)之文中描述涉及(於一實施例中)遮罩形成於覆蓋硬遮罩或硬遮罩蓋層之上。遮罩形成可涉及使用適於微影處理之一或更多層。於圖案化一或更多微影層時,圖案係藉由蝕刻製程而被轉移至硬遮罩或硬遮罩蓋層以提供圖案化硬遮罩或硬遮罩蓋層。34E illustrates an oblique view of the structure of FIG. 34D following patterning of the hard mask capping layer, in accordance with an embodiment of the present invention. Referring to FIG. 34E , a patterned hard mask capping layer 3414 is formed on the first patterned hard mask 3410 and the first patterned hard mask 3412 . In one such embodiment, the patterned hardmask cap layer 3414 is formed with a grating pattern orthogonal to the grating patterns of the first patterned hardmask 3410 and the first patterned hardmask 3412, as shown in FIG. shown in 34E. In one embodiment, the grating structure formed by the patterned hard mask cap layer 3414 is a close pitch grating structure. In this embodiment, tight pitch cannot be achieved directly by conventional lithography. For example, a pattern according to conventional lithography can be formed first, but the pitch can be halved by patterning using a spacer mask. Even, the original pitch can be reduced by a quarter by a second round of spacer mask patterning. Thus, the raster-like pattern of the patterned hard mask cap layer 3414 of Figure 34E can have hard mask lines of constant width separated by a constant pitch. It should be understood that the descriptions herein relating to forming and patterning a hard mask layer (or hard mask cap layer, such as hard mask cap layer 3414) relate (in one embodiment) to the formation of a mask overlying a hard mask or a hard mask over the cover layer. Mask formation may involve the use of one or more layers suitable for lithographic processing. In patterning one or more lithographic layers, the pattern is transferred to the hard mask or hard mask capping layer by an etching process to provide a patterned hard mask or hard mask capping layer.

圖34F闡明接續於第一圖案化硬遮罩之進一步圖案化後的圖34E之結構的斜角視圖及相應平面視圖,依據本發明之實施例。參考圖34F,使用圖案化的硬遮罩蓋層3414為遮罩,第一圖案化硬遮罩3410被進一步圖案化以形成第一圖案化硬遮罩3416。第二圖案化硬遮罩3412未被進一步圖案化於此製程中。於一實施例中,第一圖案化硬遮罩3410被圖案化至足以暴露ILD層3402之區的深度,如圖34F中所描繪。34F illustrates an oblique view and corresponding plan view of the structure of FIG. 34E following further patterning of the first patterned hardmask, in accordance with an embodiment of the present invention. Referring to FIG. 34F , using the patterned hard mask cap layer 3414 as a mask, the first patterned hard mask 3410 is further patterned to form the first patterned hard mask 3416 . The second patterned hard mask 3412 is not further patterned in this process. In one embodiment, the first patterned hard mask 3410 is patterned to a depth sufficient to expose regions of the ILD layer 3402, as depicted in Figure 34F.

圖34G闡明接續於硬遮罩蓋層之移除及第四硬遮罩層之形成後的圖34F之結構的平面視圖,依據本發明之實施例。參考圖34G,硬遮罩蓋層(第三硬遮罩層)3414被移除,例如,藉由濕式蝕刻製程、乾式蝕刻製程、或CMP製程。第四硬遮罩層3418被形成於所得結構上,藉由(於一實施例中)一種沈積及CMP製程。於一此類實施例中,第四硬遮罩層3418係藉由一種不同於第二圖案化硬遮罩層3412及第一圖案化硬遮罩層3416之材料的材料層之沈積來形成。34G illustrates a plan view of the structure of FIG. 34F subsequent to removal of the hard mask cap layer and formation of a fourth hard mask layer, in accordance with an embodiment of the present invention. Referring to FIG. 34G, the hard mask cap layer (third hard mask layer) 3414 is removed, eg, by a wet etching process, a dry etching process, or a CMP process. A fourth hard mask layer 3418 is formed on the resulting structure by (in one embodiment) a deposition and CMP process. In one such embodiment, the fourth hard mask layer 3418 is formed by the deposition of a layer of material that is different from that of the second patterned hard mask layer 3412 and the first patterned hard mask layer 3416.

圖34H闡明接續於第一對角線硬遮罩層之沈積及圖案化後的圖34G之結構的平面視圖,依據本發明之實施例。參考圖34H,第一對角線硬遮罩層3420被形成於圖34G之第四硬遮罩層3418、第二圖案化硬遮罩層3412、及第一圖案化硬遮罩層3416配置上。於一實施例中,第一對角線硬遮罩層3420具有基本上或高度對稱地對角線之圖案(例如,以相對於第二圖案硬遮罩層3412之光柵結構的45度)以覆蓋第四硬遮罩層3418之交替線。於一實施例中,第一對角線硬遮罩層3420之對角線圖案被印製以最小關鍵尺寸(CD),亦即,不使用節距減半或節距減為四分之一。應理解:單獨線可被印製為甚至大於最小CD,只要第四硬遮罩層3418之相鄰列的某區域保持顯露的。無論如何,圖34H的第一對角線硬遮罩層3420之光柵狀圖案可具有以恆定節距來分隔並具有恆定寬度之硬遮罩線。應理解:有關形成及圖案化對角線硬遮罩層(諸如第一對角線硬遮罩層3420)之文中描述涉及(於一實施例中)遮罩形成於覆蓋硬遮罩層之上。遮罩形成可涉及使用適於微影處理之一或更多層。於圖案化一或更多微影層時,圖案係藉由蝕刻製程而被轉移至硬遮罩層以提供對角線圖案化的硬遮罩層。於特定實施例中,第一對角線硬遮罩層為碳基的硬遮罩層。34H illustrates a plan view of the structure of FIG. 34G following deposition and patterning of a first diagonal hardmask layer, in accordance with an embodiment of the present invention. Referring to Figure 34H, a first diagonal hard mask layer 3420 is formed over the fourth hard mask layer 3418, the second patterned hard mask layer 3412, and the first patterned hard mask layer 3416 configuration of Figure 34G . In one embodiment, the first diagonal hardmask layer 3420 has a substantially or highly symmetrical diagonal pattern (eg, at 45 degrees relative to the grating structure of the second patterned hardmask layer 3412) to Alternate lines covering the fourth hard mask layer 3418. In one embodiment, the diagonal pattern of the first diagonal hardmask layer 3420 is printed with a minimum critical dimension (CD), ie, no pitch halving or quarter pitch is used . It should be understood that individual lines can be printed even larger than the minimum CD, as long as some area of the adjacent column of fourth hard mask layer 3418 remains exposed. Regardless, the raster-like pattern of the first diagonal hard mask layer 3420 of FIG. 34H may have hard mask lines of constant width separated by a constant pitch. It should be understood that the descriptions herein relating to forming and patterning a diagonal hardmask layer, such as the first diagonal hardmask layer 3420, relate (in one embodiment) to the formation of a mask over an overlying hardmask layer . Mask formation may involve the use of one or more layers suitable for lithographic processing. In patterning one or more lithographic layers, the pattern is transferred to the hard mask layer by an etching process to provide a diagonally patterned hard mask layer. In certain embodiments, the first diagonal hardmask layer is a carbon-based hardmask layer.

圖34I闡明接續於第四硬遮罩層的顯露區之移除後的圖34H之結構的平面視圖,依據本發明之實施例。參考圖34I,使用第一對角線硬遮罩層3420為遮罩,則第四硬遮罩層3418之顯露區被移除。於一此類實施例中,第四硬遮罩層3418之顯露區係藉由一種等向蝕刻製程(例如,濕式蝕刻製程或非各向異性電漿蝕刻製程)而被移除,以致任何部分曝光係導致第四硬遮罩材料之部分顯露區塊的完全移除。於一實施例中,其中第四硬遮罩層3418已被移除之區係顯露了ILD層3402之部分,如圖34I中所描繪。34I illustrates a plan view of the structure of FIG. 34H following removal of the exposed regions of the fourth hard mask layer, in accordance with an embodiment of the present invention. Referring to FIG. 34I, using the first diagonal hard mask layer 3420 as a mask, the exposed area of the fourth hard mask layer 3418 is removed. In one such embodiment, the exposed areas of the fourth hard mask layer 3418 are removed by an isotropic etching process (eg, a wet etching process or an anisotropic plasma etching process) so that any Partial exposure results in complete removal of the partially exposed areas of the fourth hard mask material. In one embodiment, the regions where the fourth hard mask layer 3418 has been removed reveal portions of the ILD layer 3402, as depicted in Figure 34I.

圖34J闡明接續於第一對角線硬遮罩層之移除後的圖34I之結構的平面視圖,依據本發明之實施例。參考圖34J,第一對角線硬遮罩層3420被移除以顯露第一圖案化硬遮罩層3416及第二圖案化硬遮罩層3412。亦顯露者為第四硬遮罩層3418之部分,其被保護自藉由第一對角線硬遮罩層3420之等向蝕刻。因此,沿著圖34J之所得柵格狀圖案的各交替列或朝圖34J之所得柵格狀圖案的各交替行向下,第四硬遮罩層3418之區係與下方ILD層3402之顯露區交替配置。亦即,其結果為ILD層3402區與第四硬遮罩層區3418之棋盤狀圖案。如此一來,二之平方根的因數之增加被達成於最接近相鄰距離3422(顯示為方向b上之距離)。於特定實施例中,第一對角線硬遮罩層3420係碳基的硬遮罩材料且係以電漿灰化製程來移除。34J illustrates a plan view of the structure of FIG. 34I following removal of the first diagonal hardmask layer, in accordance with an embodiment of the present invention. Referring to FIG. 34J , the first diagonal hard mask layer 3420 is removed to reveal the first patterned hard mask layer 3416 and the second patterned hard mask layer 3412 . Also revealed is the portion of the fourth hardmask layer 3418, which is protected from isotropic etching by the first diagonal hardmask layer 3420. Thus, down each alternating column of the resulting grid-like pattern of FIG. 34J or down each alternating row of the resulting grid-like pattern of FIG. 34J, the regions of the fourth hard mask layer 3418 are exposed with the underlying ILD layer 3402 Alternating zones. That is, the result is a checkerboard pattern of the ILD layer 3402 region and the fourth hard mask layer region 3418. As such, an increase by a factor of the square root of two is achieved at the nearest neighbor distance 3422 (shown as the distance in direction b). In certain embodiments, the first diagonal hardmask layer 3420 is a carbon-based hardmask material and is removed by a plasma ashing process.

圖34K闡明接續於第一複數光桶形成後的圖34J之結構的平面視圖,依據本發明之實施例。參考圖34K,第一複數光桶3424被形成於ILD層3402之上的開口中以致其並無ILD層3402之部分餘留為顯露的。光桶3424(於此階段)代表所得金屬化層中之所有可能的通孔位置之第一半。34K illustrates a plan view of the structure of FIG. 34J subsequent to formation of the first plurality of optical barrels, in accordance with an embodiment of the present invention. Referring to FIG. 34K, a first plurality of photobuckets 3424 are formed in the openings over the ILD layer 3402 such that no portion of the ILD layer 3402 remains exposed. Light barrel 3424 (at this stage) represents the first half of all possible via locations in the resulting metallization layer.

圖34L闡明接續於光桶曝光和顯影以形成選定的通孔位置、及後續的通孔開口蝕刻入下方ILD後的圖34K之結構的平面視圖及相應橫斷面視圖(沿著a-a’軸所取),依據本發明之實施例。參考圖34L,選定光桶3424被暴露並移除以提供選定通孔位置3426。通孔位置3426經受選擇性蝕刻製程(諸如選擇性電漿蝕刻製程)以延伸通孔開口入下方ILD層3402,形成圖案化的ILD層3402’。蝕刻對於以下各者是有選擇性的:餘留的(未暴露的)光桶3424、第一圖案化硬遮罩層3416、第二圖案化硬遮罩層3412、及第四硬遮罩層3418。Figure 34L illustrates a plan view and corresponding cross-sectional view (along a-a' of the structure of Figure 34K following photobarrel exposure and development to form selected via locations, and subsequent via openings etched into the underlying ILD axis), according to an embodiment of the present invention. Referring to FIG. 34L, selected light barrels 3424 are exposed and removed to provide selected via locations 3426. Via locations 3426 are subjected to a selective etch process, such as a selective plasma etch process, to extend the via openings into the underlying ILD layer 3402, forming a patterned ILD layer 3402'. The etch is selective to the remaining (unexposed) photobuckets 3424, the first patterned hardmask layer 3416, the second patterned hardmask layer 3412, and the fourth hardmask layer 3418.

圖34M闡明接續於餘留光桶之移除及第五硬遮罩材料之後續形成後的圖34L之結構的平面視圖及相應橫斷面視圖(沿著b-b’軸所取),依據本發明之實施例。參考圖34M,第一複數光桶3424之剩餘者被移除,例如,藉由選擇性蝕刻或灰製程。所有顯露的開口(例如,於光桶3424連同通孔位置3426之移除時所形成的開口)被接著填充以硬遮罩材料3428,諸如碳基的硬遮罩材料。34M illustrates a plan view and corresponding cross-sectional view (taken along the bb' axis) of the structure of FIG. 34L following removal of the remaining photobuckets and subsequent formation of a fifth hardmask material, according to Embodiments of the present invention. Referring to Figure 34M, the remainder of the first plurality of photo barrels 3424 are removed, eg, by a selective etch or gray process. All exposed openings (eg, openings formed upon removal of the light barrel 3424 along with the via locations 3426) are then filled with a hardmask material 3428, such as a carbon-based hardmask material.

圖34N闡明接續於第四硬遮罩層的餘留區之移除後的圖34M之結構的平面視圖及相應橫斷面視圖(沿著c-c’軸所取),依據本發明之實施例。參考圖34N,第四硬遮罩層3418之所有餘留區被移除,例如,藉由選擇性蝕刻或灰製程。於一實施例中,其中第四硬遮罩層3418已被移除之區係顯露了圖案化ILD層3402’之部分,如圖34N中所描繪。34N illustrates a plan view and corresponding cross-sectional view (taken along the cc' axis) of the structure of FIG. 34M after removal of the remaining region of the fourth hard mask layer, in accordance with an implementation of the present invention example. Referring to FIG. 34N, all remaining areas of the fourth hard mask layer 3418 are removed, eg, by a selective etch or gray process. In one embodiment, the regions where the fourth hard mask layer 3418 has been removed reveal portions of the patterned ILD layer 3402', as depicted in Figure 34N.

圖34O闡明接續於第二複數光桶形成後的圖34N之結構的平面視圖及相應橫斷面視圖(沿著d-d’軸所取),依據本發明之實施例。參考圖34O,第二複數光桶3430被形成於圖案化ILD層3402’之上的開口中以致其並無圖案化ILD層3402’之部分餘留為顯露的。光桶3430(於此階段)代表所得金屬化層中之所有可能的通孔位置之第二半。34O illustrates a plan view and corresponding cross-sectional view (taken along the d-d' axis) of the structure of FIG. 34N subsequent to formation of the second plurality of photobarrels, in accordance with an embodiment of the present invention. Referring to FIG. 34O, a second plurality of photo-buckets 3430 are formed in the openings over the patterned ILD layer 3402' such that the portion thereof without the patterned ILD layer 3402' remains exposed. Light barrel 3430 (at this stage) represents the second half of all possible via locations in the resulting metallization layer.

圖34P闡明接續於光桶曝光和顯影以形成選定的通孔位置、及後續的通孔開口蝕刻入下方ILD後的圖34O之結構的平面視圖及相應橫斷面視圖(沿著e-e’軸所取),依據本發明之實施例。參考圖34P,選定光桶3430被暴露並移除以提供選定通孔位置3432。通孔位置3432經受選擇性蝕刻製程(諸如選擇性電漿蝕刻製程)以延伸通孔開口入下方圖案化ILD層3402’,形成進一步圖案化的ILD層3402”。蝕刻對於以下各者是有選擇性的:餘留的(未暴露的)光桶3430、第一圖案化硬遮罩層3416、第二圖案化硬遮罩層3412、及硬遮罩材料3428。Figure 34P illustrates a plan view and corresponding cross-sectional view (along e-e' of the structure of Figure 34O following photobarrel exposure and development to form selected via locations, and subsequent via openings etched into the underlying ILD axis), according to an embodiment of the present invention. Referring to FIG. 34P, selected photo barrels 3430 are exposed and removed to provide selected via locations 3432. Via locations 3432 are subjected to a selective etch process, such as a selective plasma etch process, to extend the via openings into the underlying patterned ILD layer 3402', forming a further patterned ILD layer 3402". The etching is selective for Generic: remaining (unexposed) photobucket 3430, first patterned hardmask layer 3416, second patterned hardmask layer 3412, and hardmask material 3428.

圖34Q闡明接續於第五硬遮罩材料之移除、溝槽蝕刻、及後續犧牲層形成後的圖34P之結構的平面視圖及相應橫斷面視圖(沿著f-f’軸所取),依據本發明之實施例。參考圖34Q,硬遮罩材料層3428被移除,顯露潛在通孔位置之所有原始的第一和第二半。圖案化ILD層3402”被接著圖案化以形成ILD層3402’’’,其包括通孔開口3432和3426,連同其中通孔開口未被形成之溝槽3436。溝槽3436將最終地被用於金屬線製造,如以下所描述。於溝槽蝕刻之完成時,所有開口(包括通孔開口3426和3432及溝槽3436)被填充以犧牲材料3434。於一此類實施例中,硬遮罩材料層3428係碳基的硬遮罩材料且係以電漿灰化製程來移除。於一實施例中,犧牲材料3434為可流動的有機或無機材料,諸如犧牲光吸收材料(SLAM)。犧牲材料3434被形成至(或者被平坦化至)第一圖案化硬遮罩3416及第二圖案化硬遮罩3412之位準,如圖34Q中所描繪。34Q illustrates a plan view and corresponding cross-sectional view (taken along the f-f' axis) of the structure of FIG. 34P following removal of fifth hard mask material, trench etch, and subsequent sacrificial layer formation , according to an embodiment of the present invention. Referring to Figure 34Q, the hard mask material layer 3428 is removed, revealing all of the original first and second halves of potential via locations. Patterned ILD layer 3402" is then patterned to form ILD layer 3402", which includes via openings 3432 and 3426, along with trenches 3436 in which the via openings are not formed. Trenches 3436 will ultimately be used for Metal lines are fabricated, as described below. Upon completion of the trench etch, all openings, including via openings 3426 and 3432 and trench 3436, are filled with sacrificial material 3434. In one such embodiment, the hard mask The material layer 3428 is a carbon-based hard mask material and is removed by a plasma ashing process. In one embodiment, the sacrificial material 3434 is a flowable organic or inorganic material, such as a sacrificial light absorbing material (SLAM). The sacrificial material 3434 is formed (or planarized to) the level of the first patterned hardmask 3416 and the second patterned hardmask 3412, as depicted in Figure 34Q.

圖34R闡明接續於第二對角線硬遮罩層之沈積及圖案化後的圖34Q之結構的平面視圖,依據本發明之實施例。參考圖34R,第二對角線硬遮罩層3438被形成於圖34Q之犧牲材料3434、第二圖案化硬遮罩層3412、及第一圖案化硬遮罩層3416配置上。於一實施例中,第二對角線硬遮罩層3438具有基本上或高度對稱地對角線之圖案(例如,以相對於第二圖案硬遮罩層3412之光柵結構的45度)以覆蓋第一圖案化硬遮罩層3416之交替線。於一實施例中,第二對角線硬遮罩層3438之對角線圖案被印製以最小關鍵尺寸(CD),亦即,不使用節距減半或節距減為四分之一。應理解:單獨線可被印製為甚至大於最小CD,只要第一硬遮罩層3416之相鄰列的某區域保持顯露的。無論如何,圖34R的第二對角線硬遮罩層3438之光柵狀圖案可具有以恆定節距來分隔並具有恆定寬度之硬遮罩線。應理解:有關形成及圖案化對角線硬遮罩層(諸如第二對角線硬遮罩層3438)之文中描述涉及(於一實施例中)遮罩形成於覆蓋硬遮罩層之上。遮罩形成可涉及使用適於微影處理之一或更多層。於圖案化一或更多微影層時,圖案係藉由蝕刻製程而被轉移至硬遮罩層以提供對角線圖案化的硬遮罩層。於特定實施例中,第二對角線硬遮罩層3438為碳基的硬遮罩層。34R illustrates a plan view of the structure of FIG. 34Q following deposition and patterning of a second diagonal hardmask layer, in accordance with an embodiment of the present invention. Referring to Figure 34R, a second diagonal hard mask layer 3438 is formed over the sacrificial material 3434, second patterned hard mask layer 3412, and first patterned hard mask layer 3416 arrangement of Figure 34Q. In one embodiment, the second diagonal hardmask layer 3438 has a substantially or highly symmetrical diagonal pattern (eg, at 45 degrees relative to the grating structure of the second patterned hardmask layer 3412) to Alternate lines of the first patterned hard mask layer 3416 are covered. In one embodiment, the diagonal pattern of the second diagonal hardmask layer 3438 is printed with a minimum critical dimension (CD), ie, no pitch halving or quarter pitch is used . It should be understood that individual lines can be printed even larger than the minimum CD, as long as some area of the adjacent column of the first hard mask layer 3416 remains exposed. Regardless, the raster-like pattern of the second diagonal hardmask layer 3438 of FIG. 34R may have hardmask lines of constant width separated by a constant pitch. It should be understood that the description herein regarding forming and patterning a diagonal hard mask layer, such as the second diagonal hard mask layer 3438, refers to (in one embodiment) the formation of a mask over an overlying hard mask layer . Mask formation may involve the use of one or more layers suitable for lithographic processing. In patterning one or more lithographic layers, the pattern is transferred to the hard mask layer by an etching process to provide a diagonally patterned hard mask layer. In certain embodiments, the second diagonal hardmask layer 3438 is a carbon-based hardmask layer.

圖34S闡明接續於第一圖案化硬遮罩層的顯露區之移除、第二對角線硬遮罩層之移除後、以及接續於第三複數光桶形成後的圖34R之結構的平面視圖及相應橫斷面視圖(沿著g-g’軸所取),依據本發明之實施例。參考圖34S,使用第二對角線硬遮罩層3438為遮罩,則第一硬遮罩層3416之顯露區被移除。於一此類實施例中,第一圖案化硬遮罩層3416之顯露區係藉由一種等向蝕刻製程(例如,濕式蝕刻製程或非各向異性電漿蝕刻製程)而被移除,以致任何部分顯露係導致第一圖案化硬遮罩層3416之部分顯露區塊的完全移除。再次參考圖34S,第二對角線硬遮罩層3438被移除以顯露犧牲材料3434及第二圖案化硬遮罩層3412。亦顯露者為第一硬遮罩層3416之部分,其被保護自藉由第二對角線硬遮罩層3438之等向蝕刻。於特定實施例中,第二對角線硬遮罩層3438係碳基的硬遮罩材料且係以電漿灰化製程來移除。再次參考圖34S,第三複數光桶3440被形成於圖案化ILD層3402’’’之上的所得開口中以致其並無圖案化ILD層3402’’’之部分餘留為顯露的。光桶3440(於此階段)代表所得金屬化層中之所有可能的插塞位置之第一半。因此,沿著圖34S之所得柵格狀圖案的各交替列或朝圖34S之所得柵格狀圖案的各交替行向下,第一圖案化硬遮罩層3416之區係與光桶3440交替配置。亦即,其結果為光桶3440區與第一圖案化硬遮罩層3416區之棋盤狀圖案。如此一來,二之平方根的因數之增加被達成於最接近相鄰距離3442(顯示為方向b上之距離)。34S illustrates the structure of FIG. 34R following removal of the exposed regions of the first patterned hardmask layer, removal of the second diagonal hardmask layer, and subsequent to formation of the third plurality of photobuckets Plan view and corresponding cross-sectional view (taken along the g-g' axis), according to embodiments of the present invention. Referring to FIG. 34S, using the second diagonal hard mask layer 3438 as a mask, the exposed area of the first hard mask layer 3416 is removed. In one such embodiment, the exposed regions of the first patterned hard mask layer 3416 are removed by an isotropic etch process (eg, a wet etch process or an anisotropic plasma etch process), So that any partial exposure results in complete removal of the partially exposed areas of the first patterned hard mask layer 3416. Referring again to FIG. 34S, the second diagonal hard mask layer 3438 is removed to reveal the sacrificial material 3434 and the second patterned hard mask layer 3412. Also revealed is the portion of the first hardmask layer 3416 that is protected from isotropic etching by the second diagonal hardmask layer 3438. In certain embodiments, the second diagonal hardmask layer 3438 is a carbon-based hardmask material and is removed by a plasma ashing process. Referring again to FIG. 34S, a third plurality of photo-buckets 3440 are formed in the resulting openings over the patterned ILD layer 3402"' such that the portion of it without the patterned ILD layer 3402"' remains exposed. Light barrel 3440 (at this stage) represents the first half of all possible plug locations in the resulting metallization layer. Thus, down each alternating column of the resulting grid-like pattern of FIG. 34S or down each alternating row of the resulting grid-like pattern of FIG. 34S, the regions of the first patterned hard mask layer 3416 alternate with the photo barrels 3440 configuration. That is, the result is a checkerboard pattern of the photobarrel 3440 region and the first patterned hard mask layer 3416 region. As such, an increase by a factor of the square root of two is achieved at the nearest neighbor distance 3442 (shown as the distance in direction b).

圖34T闡明接續於插塞位置選擇及溝槽蝕刻後的圖34S之結構的平面視圖及相應橫斷面視圖(沿著h-h’軸所取),依據本發明之實施例。參考圖34T,來自圖34S之光桶3440被移除自其中將不會形成插塞之位置3442。於其中被選來形成插塞之位置中,光桶3440被留存。於一實施例中,為了形成其中將不會形成插塞之位置3442,使用微影以暴露相應的光桶3440。暴露的光桶可接著藉由顯影劑而被移除。圖案化ILD層3402’’’被接著圖案化以形成ILD層3402’’’’,其包括形成於位置3442上之溝槽3444。溝槽3444將最終地被用於金屬線製造,如以下所描述。Figure 34T illustrates a plan view and corresponding cross-sectional view (taken along the h-h' axis) of the structure of Figure 34S following plug location selection and trench etching, in accordance with an embodiment of the present invention. Referring to Figure 34T, the light barrel 3440 from Figure 34S is removed from a location 3442 where a plug will not be formed. In the position selected to form the plug, the light barrel 3440 is retained. In one embodiment, to form locations 3442 where plugs will not be formed, lithography is used to expose the corresponding photo barrels 3440. The exposed photobucket can then be removed with the developer. The patterned ILD layer 3402''' is then patterned to form an ILD layer 3402'''', which includes trenches 3444 formed on locations 3442. The trenches 3444 will ultimately be used for wire fabrication, as described below.

圖34U闡明接續於餘留的第三光桶之移除及後續硬遮罩形成後的圖34T之結構的平面視圖及相應橫斷面視圖(沿著i-i’軸所取),依據本發明之實施例。參考圖34U,所有剩餘光桶3440被移除,例如,藉由灰化製程。於所有餘留光桶3440之移除時,所有開口(包括溝槽3444)被填充以硬遮罩材料層3446。於一實施例中,硬遮罩材料層3446係碳基的硬遮罩材料。Figure 34U illustrates a plan view and corresponding cross-sectional view (taken along the i-i' axis) of the structure of Figure 34T following removal of the remaining third photobarrel and subsequent hardmask formation, in accordance with the present invention Examples of inventions. Referring to Figure 34U, all remaining photo barrels 3440 are removed, eg, by an ashing process. Upon removal of all remaining photo barrels 3440, all openings (including trenches 3444) are filled with a layer 3446 of hard mask material. In one embodiment, the hard mask material layer 3446 is a carbon-based hard mask material.

圖34V闡明接續於第一圖案化硬遮罩移除及第四複數光桶形成後的圖34V之結構的平面視圖及相應橫斷面視圖(沿著j-j’軸所取),依據本發明之實施例。參考圖34V,第一圖案化硬遮罩層3416被移除(例如,藉由選擇性乾式或濕式蝕刻製程),而第四複數光桶3448被形成於圖案化ILD層3402’’’’之上的所得開口中以致其並無圖案化ILD層3402’’’’之部分餘留為顯露的。光桶3448(於此階段)代表所得金屬化層中之所有可能的插塞位置之第二半。34V illustrates a plan view and corresponding cross-sectional view (taken along the j-j' axis) of the structure of FIG. 34V following the removal of the first patterned hardmask and formation of the fourth plurality of photobuckets, in accordance with the present invention. Examples of inventions. Referring to Figure 34V, the first patterned hard mask layer 3416 is removed (eg, by a selective dry or wet etch process), and a fourth plurality of photobuckets 3448 are formed on the patterned ILD layer 3402""' The portion of the resulting opening above such that it is not patterned with the ILD layer 3402"" remains exposed. Light barrel 3448 (at this stage) represents the second half of all possible plug locations in the resulting metallization layer.

圖34W闡明接續於插塞位置選擇及溝槽蝕刻後的圖34V之結構的平面視圖及相應橫斷面視圖(沿著k-k’軸所取),依據本發明之實施例。參考圖34W,來自圖34V之光桶3448被移除自其中將不會形成插塞之位置3450。於其中被選來形成插塞之位置中,光桶3448被留存。於一實施例中,為了形成其中將不會形成插塞之位置3450,使用微影以暴露相應的光桶3448。暴露的光桶可接著藉由顯影劑而被移除。圖案化ILD層3402’’’’被接著圖案化以形成ILD層3402’’’’’,其包括形成於位置3450上之溝槽3452。溝槽3452將最終地被用於金屬線製造,如以下所描述。34W illustrates a plan view and corresponding cross-sectional view (taken along the k-k' axis) of the structure of FIG. 34V following plug location selection and trench etching, in accordance with an embodiment of the present invention. Referring to Figure 34W, the light barrel 3448 from Figure 34V is removed from a location 3450 where a plug will not be formed. In the position selected to form the plug, the light barrel 3448 is retained. In one embodiment, to form locations 3450 where plugs will not be formed, lithography is used to expose the corresponding photo barrels 3448. The exposed photobucket can then be removed with the developer. The patterned ILD layer 3402'''' is then patterned to form the ILD layer 3402''''', which includes trenches 3452 formed at locations 3450. The trenches 3452 will ultimately be used for wire fabrication, as described below.

圖34X闡明接續於餘留第四光桶、硬遮罩材料層和犧牲材料之移除、以及後續金屬填充後的圖34W之結構的平面視圖及相應第一橫斷面視圖(沿著l-l’軸所取)及第二橫斷面視圖(沿著m-m’軸所取),依據本發明之實施例。參考圖34X,餘留第四光桶3448、硬遮罩材料層3446及犧牲材料3434被移除。於一此類實施例中,硬遮罩材料層3446為一種碳基的硬遮罩材料,而硬遮罩材料層3446及餘留第四光桶3448兩者被移除以一種電漿灰化製程。於一實施例中,犧牲材料3434被移除以一種不同的蝕刻製程。參考圖34X之平面視圖,金屬化3454被形成為與第二圖案化硬遮罩層3412交錯且共面的。參考沿著圖34X之平面視圖的l-l’軸所取的第一橫斷面視圖,金屬化3454係填充其形成於圖案化層間電介質層3402’’’’’中之溝槽3452及3454(亦即,如相應於沿著圖34W之k-k’軸所取的橫斷面視圖)。參考沿著圖34X之平面視圖的m-m’軸所取的第二橫斷面視圖,金屬化3454亦填充其形成於圖案化層間電介質層3402’’’’’中之溝槽3436及通孔開口3432和3426(亦即,如相應於沿著圖34Q之f-f’軸所取的橫斷面視圖)。因此,金屬化3454被用以形成複數導電線及導電通孔於針對金屬化結構(諸如BEOL金屬化結構)之層間電介質層中。34X illustrates a plan view and corresponding first cross-sectional view (along 1- l' axis) and a second cross-sectional view (taken along the m-m' axis), according to embodiments of the present invention. Referring to Figure 34X, the remaining fourth photo barrel 3448, hard mask material layer 3446, and sacrificial material 3434 are removed. In one such embodiment, the hardmask material layer 3446 is a carbon-based hardmask material, and both the hardmask material layer 3446 and the remaining fourth photobucket 3448 are removed with a plasma ashing Process. In one embodiment, the sacrificial material 3434 is removed in a different etch process. Referring to the plan view of FIG. 34X , metallization 3454 is formed to be interleaved and coplanar with second patterned hard mask layer 3412 . Referring to the first cross-sectional view taken along the l-l' axis of the plan view of Figure 34X, metallization 3454 fills trenches 3452 and 3454 formed in patterned interlayer dielectric layer 3402""" (ie, as corresponding to a cross-sectional view taken along the k-k' axis of Figure 34W). Referring to the second cross-sectional view taken along the m-m' axis of the plan view of FIG. 34X, the metallization 3454 also fills the trenches 3436 and the vias formed in the patterned interlevel dielectric layer 3402''''. Aperture openings 3432 and 3426 (ie, as corresponding to the cross-sectional view taken along the f-f' axis of Figure 34Q). Accordingly, metallization 3454 is used to form conductive lines and conductive vias in interlevel dielectric layers for metallization structures such as BEOL metallization structures.

於一實施例中,金屬化3454係藉由金屬填充及拋光回製程來形成。於一此類實施例中,第二圖案化硬遮罩層3412被減少其厚度於該拋光回製程期間。於特定此類實施例中,雖然減少其厚度,但第二圖案化硬遮罩3412之一部分被留存,如圖34X中所描繪。因此,金屬特徵3456(其並不是圖案化層間電介質層3402’’’’’中所形成的導電線或導電通孔)係保持為與第二圖案化硬遮罩層交錯且位於層間電介質層3402’’’’’上或上方(但非於其中),如亦於圖34X中所描繪。於一替代特定實施例(未顯示)中,第二圖案化硬遮罩3412被完全地移除於拋光回期間。因此,金屬特徵3456(其不是導電線也不是導電通孔)不被留存於最後結構中。於任一情況下,圖34X之所述結構可接著被使用為用以形成後續金屬線/通孔及ILD層之基礎。替代地,圖34X之結構可代表積體電路中之最後金屬互連層。In one embodiment, metallization 3454 is formed by a metal fill and polish back process. In one such embodiment, the second patterned hard mask layer 3412 is reduced in thickness during the polishing back process. In certain such embodiments, a portion of the second patterned hardmask 3412 remains, although its thickness is reduced, as depicted in Figure 34X. Thus, the metal features 3456 (which are not conductive lines or conductive vias formed in the patterned interlayer dielectric layer 3402""") remain interleaved with the second patterned hard mask layer and located in the interlayer dielectric layer 3402 ''''' on or over (but not in), as also depicted in Figure 34X. In an alternate specific embodiment (not shown), the second patterned hard mask 3412 is completely removed during the polishing back. Therefore, metal features 3456 (which are not conductive lines nor conductive vias) are not preserved in the final structure. In either case, the structure of Figure 34X can then be used as the basis for forming subsequent metal lines/vias and ILD layers. Alternatively, the structure of Figure 34X may represent the final metal interconnect layer in an integrated circuit.

應理解其上述製程操作可被施行以替代的順序,不是每一操作均需被執行及/或額外的製程操作可被執行。再次參考圖34X,藉由使用對角線硬遮罩之金屬化層製造可被完成於此階段。以類似方式所製造之下一層可能需要再一次完整製程之啟動。替代地,其他方式可被使用於此階段以提供額外互連層,諸如傳統雙或單金屬鑲嵌方式。It should be understood that the above-described process operations may be performed in alternate orders, not every operation needs to be performed and/or additional process operations may be performed. Referring again to Figure 34X, this stage may be accomplished by metallization layer fabrication using a diagonal hard mask. The next layer fabricated in a similar manner may require another full process start-up. Alternatively, other approaches may be used at this stage to provide additional interconnect layers, such as conventional dual or single damascene approaches.

於一實施例中,如遍及本說明書所使用者,層間電介質(ILD)材料係由(或包括)電介質或絕緣材料之層所組成。適當的電介質材料之範例包括(但不限定於)矽之氧化物(例如,二氧化矽(SiO2 ))、矽之摻雜的氧化物、矽之氟化氧化物、矽之碳摻雜的氧化物、本技術中所已知的各種低k電介質材料、以及其組合。此層間電介質材料可由傳統技術來形成,諸如(例如)化學氣相沈積(CVD)、物理氣相沈積(PVD)、或藉由其他沈積方法。In one embodiment, as used throughout this specification, an interlayer dielectric (ILD) material consists of (or includes) layers of dielectric or insulating material. Examples of suitable dielectric materials include, but are not limited to, oxides of silicon (eg, silicon dioxide (SiO 2 )), doped oxides of silicon, fluorinated oxides of silicon, carbon doped silicon oxides, various low-k dielectric materials known in the art, and combinations thereof. This interlayer dielectric material can be formed by conventional techniques, such as, for example, chemical vapor deposition (CVD), physical vapor deposition (PVD), or by other deposition methods.

於一實施例中,如亦遍及本說明書所使用者,金屬線或互連線材料(及通孔材料)係由一或更多金屬或其他導電結構所組成。一種常見的範例為使用銅線以及其可或可不包括介於銅與周圍ILD材料之間的障壁層之結構。如文中所使用者,術語金屬係包括數個金屬之合金、堆疊、及其他組合。例如,金屬互連線可包括障壁層(例如,包括Ta、TaN、Ti或TiN之一或更多者的層)、不同金屬或合金之堆疊,等等。因此,互連線可為單一材料層、或可被形成自數個層,包括導電襯裡層及填充層。任何適當的沈積製程(諸如電鍍、化學氣相沈積或物理氣相沈積)可被用以形成互連線。於一實施例中,互連線係由導電材料所組成,諸如(但不限定於)Cu, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, W, Ag, Au或其合金。互連線有時亦(於本技術中)被稱為軌線、佈線、線、金屬、或僅為互連。In one embodiment, as also used throughout this specification, metal line or interconnect material (and via material) is composed of one or more metals or other conductive structures. A common example is the use of copper wires and structures that may or may not include a barrier layer between the copper and the surrounding ILD material. As used herein, the term metal system includes alloys, stacks, and other combinations of several metals. For example, metal interconnects may include barrier layers (eg, layers including one or more of Ta, TaN, Ti, or TiN), stacks of different metals or alloys, and the like. Thus, interconnect lines may be a single layer of material, or may be formed from several layers, including conductive liner layers and fill layers. Any suitable deposition process, such as electroplating, chemical vapor deposition, or physical vapor deposition, can be used to form interconnect lines. In one embodiment, the interconnects are composed of conductive materials such as (but not limited to) Cu, Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, W, Ag, Au or its alloys. Interconnects are also sometimes (in the art) referred to as traces, wirings, wires, metals, or just interconnects.

於一實施例中,如亦遍及本說明書所使用者,硬遮罩材料係由不同於層間電介質材料的電介質材料所組成。於一實施例中,不同的硬遮罩材料可被使用於不同的區以提供彼此不同且不同於下方電介質及金屬層的生長或蝕刻選擇性。於某些實施例中,硬遮罩層包括矽之氮化物(例如氮化矽)的層或矽之氧化物的層、或兩者、或其組合。其他適當的材料可包括碳基的材料。於另一實施例中,硬遮罩材料包括金屬類。例如硬遮罩或其他上方材料可包括鈦或其他金屬之氮化物(例如,氮化鈦)的層。潛在地較少量之其他材料(諸如氧)可被包括於這些層之一或更多者中。替代地,本技術中所已知的其他硬遮罩層可根據特定實施方式而被使用。硬遮罩層可藉由CVD、PVD、或藉由其他沈積方法而被形成。In one embodiment, as also used throughout this specification, the hard mask material is composed of a dielectric material other than the interlayer dielectric material. In one embodiment, different hardmask materials may be used in different regions to provide growth or etch selectivities that are different from each other and from the underlying dielectric and metal layers. In certain embodiments, the hard mask layer includes a layer of silicon nitride (eg, silicon nitride) or a layer of silicon oxide, or both, or a combination thereof. Other suitable materials may include carbon-based materials. In another embodiment, the hard mask material includes metals. For example, a hard mask or other overlying material may include a layer of titanium or other metal nitrides (eg, titanium nitride). Potentially smaller amounts of other materials, such as oxygen, may be included in one or more of these layers. Alternatively, other hard mask layers known in the art may be used depending on the particular implementation. The hard mask layer can be formed by CVD, PVD, or by other deposition methods.

於一實施例中,如亦遍及本說明書所使用,微影操作係使用193nm浸入式微影(i193)、EUV及/或EBDW微影等等來履行。正色調或負色調抗蝕劑可被使用。於一實施例中,微影遮罩是一種由地形遮蔽部分、抗反射塗層(ARC)、及光抗蝕劑層所組成的三層遮罩。於一特定此類實施例中,地形遮蔽部分為碳硬遮罩(CHM)層而抗反射塗層為矽ARC層。In one embodiment, as also used throughout this specification, lithography operations are performed using 193 nm immersion lithography (i193), EUV and/or EBDW lithography, and the like. Positive or negative tone resists can be used. In one embodiment, the lithography mask is a three-layer mask consisting of a topographic mask, an anti-reflection coating (ARC), and a photoresist layer. In a particular such embodiment, the topographic mask is a carbon hard mask (CHM) layer and the anti-reflective coating is a silicon ARC layer.

依據文中所述之實施例,用於光桶之光學及SEM度量衡被描述。應理解:用以界定微影圖案之預圖案化硬遮罩的使用可使得重疊測量變為有挑戰性,因為針對此類圖案化之曝光的回應是數位的(二元的)且特徵大小是量化的。因此,下方遮罩圖案之大小變為重疊之最小可測量單位,其對於有效的製程控制而言是太大的。以下所述之方式不僅致能一種甚小於下方預圖案化硬遮罩大小的重疊測量,同時亦提供一種被放大為重疊移位之多倍的信號回應,其致能了極準確的重疊測量。In accordance with the embodiments described herein, optical and SEM metrology for light barrels is described. It should be understood that the use of a pre-patterned hard mask to define a lithographic pattern can make overlay measurements challenging because the response to such patterned exposure is digital (binary) and the feature size is Quantized. Consequently, the size of the underlying mask pattern becomes the smallest measurable unit of overlap, which is too large for effective process control. The approach described below not only enables an overlay measurement much smaller than the size of the underlying pre-patterned hardmask, but also provides a signal response that is amplified by a multiple of the overlay shift, which enables extremely accurate overlay measurements.

為了提供針對文中所述之概念的結構性框架,圖35A-35D闡明橫斷面視圖及相應的由上而下視圖,其表示一種使用預圖案化硬遮罩之圖案化處理方案中之各個操作,依據本發明之實施例。To provide a structural framework for the concepts described herein, FIGS. 35A-35D illustrate cross-sectional views and corresponding top-down views representing various operations in a patterning process scheme using a pre-patterned hardmask , according to an embodiment of the present invention.

參考圖35A,第一預圖案化硬遮罩3502及第二預圖案化硬遮罩3504被形成於下方層3506之上。所有可能的通孔或插塞位置被暴露為預圖案化硬遮罩3502及第二預圖案化硬遮罩3504中之開口3508。Referring to FIG. 35A , a first pre-patterned hard mask 3502 and a second pre-patterned hard mask 3504 are formed over the underlying layer 3506 . All possible via or plug locations are exposed as openings 3508 in pre-patterned hard mask 3502 and second pre-patterned hard mask 3504.

參考圖35B,複數光抗蝕劑層部分3510被形成於圖35A之開口3508中。Referring to Figure 35B, a plurality of photoresist layer portions 3510 are formed in the openings 3508 of Figure 35A.

參考圖35C,複數光抗蝕劑層部分3510之選定者3512係藉由微影曝光3514而被暴露。由微影曝光3514所暴露的複數光抗蝕劑層部分3510之選定者3512可代表其將最終地被打開或選擇的通孔或插塞位置。Referring to FIG. 35C, selected ones 3512 of the plurality of photoresist layer portions 3510 are exposed by lithographic exposure 3514. The selected 3512 of the plurality of photoresist layer portions 3510 exposed by the lithographic exposure 3514 may represent the via or plug locations that will eventually be opened or selected.

然而,依據本發明之實施例,微影曝光3514具有重疊誤差於圖35C之X方向上。例如,於橫斷面視圖之左手邊上的暴露光抗蝕劑層3512被向右移位至其光抗蝕劑之一部分不會被微影曝光3514所暴露的程度。由上而下視圖之所有暴露光抗蝕劑層3512被向右移位至其光抗蝕劑之一部分不會被微影曝光3514所暴露的程度。再者,該移位可為實質上足以部分地暴露相鄰位置,如圖35C中所描繪。However, according to an embodiment of the present invention, the lithography exposure 3514 has an overlap error in the X direction of FIG. 35C. For example, the exposed photoresist layer 3512 on the left hand side of the cross-sectional view is shifted to the right to the extent that a portion of its photoresist is not exposed by the lithographic exposure 3514. All exposed photoresist layer 3512 of the top-down view is shifted to the right to the extent that a portion of its photoresist is not exposed by lithography exposure 3514. Again, the displacement may be substantially sufficient to partially expose adjacent locations, as depicted in Figure 35C.

參考圖35D,選定位置3512已清除了暴露的光抗蝕劑以提供開口3516。開口3516可被用於後續通孔或插塞製造,根據半導體結構之特定層。Referring to FIG. 35D , selected locations 3512 have been cleared of exposed photoresist to provide openings 3516 . Openings 3516 can be used for subsequent via or plug fabrication, depending on the particular layer of the semiconductor structure.

然而,於其位置3512之不足暴露係由於重疊誤差而被履行的情況下,某些開口3516可災難性地未被完全打開。通常,曝光3514需提供關鍵數目的電子或光子以完全地清除複數光抗蝕劑層部分3510之選定者3512來提供開口3516。某些重疊誤差可被容許,但顯著重疊誤差無法被容許。此外,如以下更詳細地描述,即使於所有開口3516均被完全地打開的情況下,下一層之成功製造可能需要至少某程度地根據開口3516之重疊測量。However, certain openings 3516 may catastrophically not be fully opened in the event that insufficient exposure of their location 3512 is fulfilled due to overlap errors. Typically, exposure 3514 is required to provide a critical number of electrons or photons to completely clear selected ones 3512 of the plurality of photoresist layer portions 3510 to provide openings 3516. Some overlap errors can be tolerated, but significant overlap errors cannot be tolerated. Furthermore, as described in more detail below, even where all openings 3516 are fully opened, successful fabrication of the next layer may require at least some measure of overlap of openings 3516.

文中所述之一或更多實施例係有關於涉及使用多節距光柵結構於一層上以提取相對於下方層之重疊資訊的方式。文中所述之實施例可被實施以藉由使用一種光學度量衡工具來解決有關介於預圖案化硬遮罩(例如,通孔或插塞)之頂部上所圖案化的層與下方預圖案化硬遮罩層(例如,光桶)之間的的測量重疊之問題。於一實施例中,光柵被圖案化以二或更多節距,其係不同於下方預圖案化光柵、但是平行於下方光柵之一。目前層相對於硬遮罩圖案之重疊的移位係導致一光學信號,其係隨著該重疊而移動且正比於重疊誤差。相較之下,光學重疊通常涉及真實特徵,因此提供類比回應。於此,移動被量化而不同於類比動作中之移動。亦即,該回應是數位的(例如,數位化的且放大的動作),由於其係根據步階。於一實施例中,「邊緣」圖案被測量。One or more embodiments described herein relate to approaches involving the use of multi-pitch grating structures on one layer to extract overlapping information relative to the underlying layer. Embodiments described herein can be implemented to address the concerns between layers patterned on top of a pre-patterned hard mask (eg, via or plug) and pre-patterned below by using an optical metrology tool. The problem of measurement overlap between hardmask layers (eg, light barrels). In one embodiment, the gratings are patterned with two or more pitches that are different from the underlying pre-patterned gratings, but parallel to one of the underlying gratings. The displacement of the current layer relative to the overlay of the hardmask pattern results in an optical signal that moves with the overlay and is proportional to the overlay error. In contrast, optical overlap usually involves real features and thus provides an analogous response. Here, movement is quantified differently than movement in analog action. That is, the response is digital (eg, a digitized and amplified motion) because it is based on steps. In one embodiment, "edge" patterns are measured.

以下所述之圖36A-36E係展示使用光桶(其回應於重疊之改變)之光學信號的產生。應理解:傳統光學度量衡工具係測量相當大的目標(例如,20-30微米)。針對文中所述之實施例,結構被產生自線/空間之陣列,其係低於檢視工具之解析度限制且其係利用光桶概念以產生可利用傳統重疊測量演算法來檢測/測量的移動邊緣。由度量衡工具所見之最後圖案係顯示可測量的光學邊緣,由於來自其隨著重疊而移動之次解析度圖案的光之繞射及散射。圖36F顯示配合圖36A-36E所使用之可能的光學度量衡標記。Figures 36A-36E, described below, show the generation of optical signals using optical barrels that respond to changes in overlap. It should be understood that conventional optical metrology tools measure relatively large targets (eg, 20-30 microns). For the embodiments described herein, structures are generated from an array of lines/spaces that are below the resolution limit of the viewing tool and that utilize the light-bucket concept to generate movements that can be detected/measured using conventional overlay measurement algorithms edge. The final pattern seen by the metrology tool shows a measurable optical edge due to diffraction and scattering of light from the sub-resolution pattern as it moves with the overlap. Figure 36F shows possible optical metrology marks for use with Figures 36A-36E.

圖36A闡明重疊情境之由上而下視圖,其中目前層被重疊在下方預圖案化硬遮罩柵格上,依據本發明之實施例。36A illustrates a top-down view of an overlay scenario where the current layer is overlaid on the underlying pre-patterned hardmask grid, in accordance with an embodiment of the present invention.

參考圖36A,下方層包括第一預圖案化硬遮罩3602及第二預圖案化硬遮罩3604。複數光抗蝕劑層部分3610及複數開口3616(已被曝光並顯影)係位於第一預圖案化硬遮罩3602與第二預圖案化硬遮罩3604結構之間。目前層係由重疊影像3650A所表示。重疊影像3650A具有零之重疊移位及P/4之節距差量。目前層之重疊影像3650A的節距係顯示為25%較大(於上半區3652A中)及25%較小(於下半區3654A中),當作範例實施例。寬未暴露特徵3656A及3658A被包括於目前層中,如圖36A中所描繪。Referring to FIG. 36A, the underlying layer includes a first pre-patterned hard mask 3602 and a second pre-patterned hard mask 3604. Photoresist layer portions 3610 and openings 3616 (which have been exposed and developed) are located between the first pre-patterned hard mask 3602 and second pre-patterned hard mask 3604 structures. The current layer is represented by overlay image 3650A. Overlay image 3650A has an overlay shift of zero and a pitch difference of P/4. The pitch of the overlay image 3650A of the current layer is shown to be 25% larger (in the upper half area 3652A) and 25% smaller (in the lower half area 3654A), as an example embodiment. Wide unexposed features 3656A and 3658A are included in the current layer, as depicted in Figure 36A.

圖36B闡明重疊情境之由上而下視圖,其中目前層具有相對於下方預圖案化硬遮罩柵格之四分之一節距的正重疊,依據本發明之實施例。36B illustrates a top-down view of an overlap scenario, where the current layer has a quarter-pitch positive overlap relative to the underlying pre-patterned hardmask grid, in accordance with an embodiment of the present invention.

參考圖36B,下方層包括第一預圖案化硬遮罩3602及第二預圖案化硬遮罩3604。複數光抗蝕劑層部分3610及複數開口3616(已被曝光並顯影)係位於第一預圖案化硬遮罩3602與第二預圖案化硬遮罩3604結構之間。目前層係由重疊影像3650B所表示。重疊影像3650B具有P/4之正(+ve)重疊移位。寬未暴露特徵3656B及3658B被包括於目前層中,以該些寬未暴露特徵3656B及3658B之移動如圖36B中所描繪。Referring to FIG. 36B , the underlying layers include a first pre-patterned hard mask 3602 and a second pre-patterned hard mask 3604 . Photoresist layer portions 3610 and openings 3616 (which have been exposed and developed) are located between the first pre-patterned hard mask 3602 and second pre-patterned hard mask 3604 structures. The current layer is represented by overlay image 3650B. Overlay image 3650B has a positive (+ve) overlay shift of P/4. Wide unexposed features 3656B and 3658B are included in the current layer, with the movement of these wide unexposed features 3656B and 3658B as depicted in Figure 36B.

圖36C闡明重疊情境之由上而下視圖,其中目前層具有相對於下方預圖案化硬遮罩柵格之半節距的正重疊,依據本發明之實施例。36C illustrates a top-down view of an overlapping scenario, where the current layer has a positive overlap relative to the half-pitch of the underlying pre-patterned hardmask grid, in accordance with an embodiment of the present invention.

參考圖36C,下方層包括第一預圖案化硬遮罩3602及第二預圖案化硬遮罩3604。複數光抗蝕劑層部分3610及複數開口3616(已被曝光並顯影)係位於第一預圖案化硬遮罩3602與第二預圖案化硬遮罩3604結構之間。目前層係由重疊影像3650C所表示。重疊影像3650C具有P/2之正(+ve)重疊移位。寬未暴露特徵3656C及3658C被包括於目前層中,以該些寬未暴露特徵3656C及3658C之移動如圖36C中所描繪。Referring to FIG. 36C , the underlying layer includes a first pre-patterned hard mask 3602 and a second pre-patterned hard mask 3604 . Photoresist layer portions 3610 and openings 3616 (which have been exposed and developed) are located between the first pre-patterned hard mask 3602 and second pre-patterned hard mask 3604 structures. The current layer is represented by overlay image 3650C. Overlay image 3650C has a positive (+ve) overlay shift of P/2. Wide unexposed features 3656C and 3658C are included in the current layer, with the movement of these wide unexposed features 3656C and 3658C as depicted in Figure 36C.

圖36D闡明重疊情境之由上而下視圖,其中目前層具有相對於下方預圖案化硬遮罩柵格之任意值Δ的正重疊,依據本發明之實施例。36D illustrates a top-down view of an overlap scenario, where the current layer has a positive overlap relative to an arbitrary value of Δ of the underlying pre-patterned hardmask grid, in accordance with an embodiment of the present invention.

參考圖36D,下方層包括第一預圖案化硬遮罩3602及第二預圖案化硬遮罩3604。複數光抗蝕劑層部分3610及複數開口3616(已被曝光並顯影)係位於第一預圖案化硬遮罩3602與第二預圖案化硬遮罩3604結構之間。目前層係由重疊影像3650D所表示。重疊影像3650D具有零之重疊移位及P+Δ之節距差量。寬未暴露特徵3656D及3658D被包括於目前層中,如圖36D中所描繪。Referring to FIG. 36D , the underlying layer includes a first pre-patterned hard mask 3602 and a second pre-patterned hard mask 3604 . Photoresist layer portions 3610 and openings 3616 (which have been exposed and developed) are located between the first pre-patterned hard mask 3602 and second pre-patterned hard mask 3604 structures. The current layer is represented by the overlay image 3650D. Overlay image 3650D has an overlay shift of zero and a pitch difference of P+Δ. Wide unexposed features 3656D and 3658D are included in the current layer, as depicted in Figure 36D.

圖36E闡明重疊情境之由上而下視圖,其中目前層具有相對於下方預圖案化硬遮罩柵格之任意值Δ的正重疊,其中可測量Δ係藉由改變抗蝕劑敏感度及/或已描繪特徵大小而被變為如所需般小,依據本發明之實施例。36E illustrates a top-down view of an overlap scenario where the current layer has a positive overlap relative to an arbitrary value Δ of the underlying pre-patterned hardmask grid, where Δ can be measured by changing resist sensitivity and/or Or the feature size has been depicted and made as small as desired, in accordance with embodiments of the present invention.

參考圖36E,下方層包括第一預圖案化硬遮罩3602及第二預圖案化硬遮罩3604。複數光抗蝕劑層部分3610及複數開口3616(已被曝光並顯影)係位於第一預圖案化硬遮罩3602與第二預圖案化硬遮罩3604結構之間。目前層係由重疊影像3650E所表示。重疊影像3650E具有+Δ之重疊移位及P+Δ之節距差量。寬未暴露特徵3656E及3658E被包括於目前層中,以該些寬未暴露特徵3656E及3658E之移動如圖36E中所描繪。於一實施例中,針對Δ之小重疊移位,測量信號被放大至P之等級,且Δ可為如所需般小。Referring to FIG. 36E , the underlying layer includes a first pre-patterned hard mask 3602 and a second pre-patterned hard mask 3604 . Photoresist layer portions 3610 and openings 3616 (which have been exposed and developed) are located between the first pre-patterned hard mask 3602 and second pre-patterned hard mask 3604 structures. The current layer is represented by overlay image 3650E. Overlay image 3650E has an overlay shift of +Δ and a pitch difference of P+Δ. Wide unexposed features 3656E and 3658E are included in the current layer, with the movement of these wide unexposed features 3656E and 3658E as depicted in Figure 36E. In one embodiment, for small overlapping shifts of Δ, the measurement signal is amplified to the level of P, and Δ can be as small as desired.

圖36F闡明適於以上相關於圖36A-36E所述之方式的範例度量衡結構,依據本發明之實施例。參考圖36F,度量衡結構3697包括層1特徵3698(例如,下方層)及層2特徵3699(例如,目前層)。於一實施例中,該些特徵之各者的寬度是約20-30微米,如圖36F中所描繪。此一結構可被包括於切割道中或者於插入式單元中之晶粒上,舉例而言。於一實施例中,完成晶粒可包括一區,其具有由窄特徵之集合中的通孔或插塞之陣列所形成的寬特徵之拍頻。於任何方向上之兩不同拍頻的存在可暗示使用上述技術來測量重疊。上述方式可致能針對其使用該技術之每一通孔或插塞圖案化層的光桶中之重疊的準確測量。實施例可提升科技之未來世代的準確度而使用當前科技的重疊測量工具。36F illustrates an example metrology structure suitable for the manner described above with respect to FIGS. 36A-36E, in accordance with an embodiment of the present invention. 36F, the metrology structure 3697 includes a layer 1 feature 3698 (eg, the lower layer) and a layer 2 feature 3699 (eg, the current layer). In one embodiment, the width of each of the features is about 20-30 microns, as depicted in Figure 36F. Such a structure may be included in the scribe lines or on the die in the interposer unit, for example. In one embodiment, the finished die may include a region with a beat frequency of wide features formed by an array of vias or plugs in a collection of narrow features. The presence of two different beat frequencies in any direction may suggest the use of the techniques described above to measure overlap. The above approach may enable accurate measurement of the overlap in the photobucket of each via or plug patterned layer for which this technique is used. Embodiments may improve the accuracy of future generations of technology using overlay measurement tools of current technology.

文中所述之一或更多實施例係有關於涉及使用關鍵尺寸掃描電子顯微鏡(CDSEM)技術以測量預圖案化硬遮罩(例如,光桶)上之重疊的方式。文中所述之實施例可被實施以藉由使用一種掃描電子顯微鏡(例如,CDSEM)來解決有關介於預圖案化硬遮罩(例如,光桶層)之頂部上所圖案化的通孔及/或插塞層與下方預圖案化硬遮罩層之間的的測量重疊之問題。於一實施例中,通孔或插塞位置被圖案化於其稍微不同於下方預圖案化硬遮罩節距的節距。由於重疊失配,其清除之光桶的位置係取決於重疊失配的量。One or more of the embodiments described herein relate to approaches involving the use of critical dimension scanning electron microscopy (CDSEM) techniques to measure overlap on pre-patterned hard masks (eg, photo barrels). Embodiments described herein can be implemented to address concerns about patterned vias on top of a pre-patterned hard mask (eg, photobarrel) and /or measurement overlap between the plug layer and the underlying pre-patterned hardmask layer. In one embodiment, the via or plug locations are patterned at a pitch that is slightly different from the underlying pre-patterned hardmask pitch. Due to the overlap mismatch, the position of its clearing barrel depends on the amount of overlap mismatch.

圖37A闡明重疊情境之由上而下視圖,其中目前層被重疊在下方預圖案化硬遮罩上,依據本發明之實施例。37A illustrates a top-down view of an overlay scenario where the current layer is overlaid on the underlying pre-patterned hardmask, in accordance with an embodiment of the present invention.

參考圖37A,下方層包括第一預圖案化硬遮罩3702及第二預圖案化硬遮罩3704。複數光抗蝕劑層部分3710及複數開口3716(已被曝光並顯影)係位於第一預圖案化硬遮罩3702與第二預圖案化硬遮罩3704結構之間。目前層係由重疊影像3750A所表示。重疊影像3750A具有於零之X以及於零之Y的重疊移位。目前層之重疊影像3750A的節距為25%更大,相對於當作範例實施例之下方層,亦即,圖案化於+Δ之節距,其中Δ=P/4。區3760A係強調「光桶叢集」之位置,於零重疊移位(PB0,0 )。Referring to FIG. 37A , the underlying layer includes a first pre-patterned hard mask 3702 and a second pre-patterned hard mask 3704 . Photoresist layer portions 3710 and openings 3716 (which have been exposed and developed) are located between the first pre-patterned hard mask 3702 and second pre-patterned hard mask 3704 structures. The current layer is represented by overlay image 3750A. Overlay image 3750A has an overlay shift of X at zero and Y at zero. The pitch of the overlay image 3750A of the current layer is 25% larger relative to the underlying layer as an example embodiment, ie, patterned at a pitch of +Δ, where Δ=P/4. Region 3760A emphasizes the location of "barrel clusters", shifted at zero overlap (PB 0,0 ).

圖37B闡明重疊情境之由上而下視圖,其中目前層具有相對於X方向上的下方預圖案化硬遮罩柵格之四分之一節距的正重疊移位,依據本發明之實施例。37B illustrates a top-down view of an overlay scenario where the current layer has a positive overlay shift of a quarter pitch relative to the underlying pre-patterned hardmask grid in the X-direction, in accordance with an embodiment of the present invention .

參考圖37B,下方層包括第一預圖案化硬遮罩3702及第二預圖案化硬遮罩3704。複數光抗蝕劑層部分3710及複數開口3716(已被曝光並顯影)係位於第一預圖案化硬遮罩3702與第二預圖案化硬遮罩3704結構之間。目前層係由重疊影像3750B所表示。重疊影像3750B具有於PX /4之X以及於零之Y的重疊移位。目前層之重疊影像3750B的節距為25%更大,相對於當作範例實施例之下方層,亦即,圖案化於節距+Δ,其中Δ=P/4。區3760B係強調用於針對PB0,0 之光桶叢集的X=-2PX 及Y=0的位置。區3760B及相應打開的/封閉的垂直行係向左移動以一等於該節距之兩倍的量。應理解:打開的/封閉的行將具有與其他行不同的對比,由於其已暴露的光桶密度係不同於該區中之其他行的事實。Referring to FIG. 37B , the underlying layer includes a first pre-patterned hard mask 3702 and a second pre-patterned hard mask 3704 . Photoresist layer portions 3710 and openings 3716 (which have been exposed and developed) are located between the first pre-patterned hard mask 3702 and second pre-patterned hard mask 3704 structures. The current layer is represented by overlay image 3750B. Overlay image 3750B has an overlay shift of X at Px/4 and Y at zero. The pitch of the overlay image 3750B of the current layer is 25% larger relative to the underlying layer as an example embodiment, ie, patterned at pitch + Δ, where Δ=P/4. Region 3760B emphasizes the location of X=-2P X and Y=0 for the light bucket cluster for PB 0,0 . Region 3760B and the corresponding open/closed vertical rows are moved to the left by an amount equal to twice the pitch. It should be understood that an open/closed row will have a different contrast than the other rows due to the fact that its exposed optical barrel density is different from the other rows in the area.

圖37C闡明重疊情境之由上而下視圖,其中目前層具有相對於X方向上的下方預圖案化硬遮罩柵格之四分之一節距的負重疊,依據本發明之實施例。37C illustrates a top-down view of an overlap scenario, where the current layer has a negative overlap of a quarter pitch relative to the underlying pre-patterned hardmask grid in the X-direction, in accordance with an embodiment of the present invention.

參考圖37C,下方層包括第一預圖案化硬遮罩3702及第二預圖案化硬遮罩3704。複數光抗蝕劑層部分3710及複數開口3716(已被曝光並顯影)係位於第一預圖案化硬遮罩3702與第二預圖案化硬遮罩3704結構之間。目前層係由重疊影像3750C所表示。重疊影像3750C具有於 -PX /4之X以及於零之Y的重疊移位。目前層之重疊影像3750C的節距為25%更大,相對於當作範例實施例之下方層,亦即,圖案化於節距+Δ,其中Δ=P/4。區3760C係強調用於針對PB0,0 之光桶叢集的X=+2PX 及Y=0的位置。區3760C及相應打開的/封閉的垂直行係向右移動以一等於該節距之兩倍的量。Referring to FIG. 37C , the underlying layer includes a first pre-patterned hard mask 3702 and a second pre-patterned hard mask 3704 . Photoresist layer portions 3710 and openings 3716 (which have been exposed and developed) are located between the first pre-patterned hard mask 3702 and second pre-patterned hard mask 3704 structures. The current layer is represented by overlay image 3750C. Overlay image 3750C has an overlay shift of X at -PX/4 and Y at zero. The pitch of the overlay image 3750C of the current layer is 25% larger relative to the underlying layer as an example embodiment, ie, patterned at pitch+Δ, where Δ=P/4. Region 3760C emphasizes the location of X=+2P X and Y=0 for the light bucket cluster for PB 0,0 . Zone 3760C and the corresponding open/closed vertical row is moved to the right by an amount equal to twice the pitch.

圖37D闡明重疊情境之由上而下視圖,其中目前層具有相對於Y方向上的下方預圖案化硬遮罩柵格之四分之一節距的正重疊,依據本發明之實施例。37D illustrates a top-down view of an overlay scenario where the current layer has a quarter-pitch positive overlap relative to the underlying pre-patterned hardmask grid in the Y direction, in accordance with an embodiment of the present invention.

參考圖37D,下方層包括第一預圖案化硬遮罩3702及第二預圖案化硬遮罩3704。複數光抗蝕劑層部分3710及複數開口3716(已被曝光並顯影)係位於第一預圖案化硬遮罩3702與第二預圖案化硬遮罩3704結構之間。目前層係由重疊影像3750D所表示。重疊影像3750D具有於零之X以及於PY /4之Y的重疊移位。目前層之重疊影像3750D的節距為25%更大,相對於當作範例實施例之下方層,亦即,圖案化於節距+Δ,其中Δ=P/4。區3760D係強調用於針對PB0,0 之光桶叢集的X=0及Y=-2PY 的位置。區3760D及相應打開的/封閉的水平列係向下移動以一等於該節距之兩倍的量。Referring to FIG. 37D , the underlying layer includes a first pre-patterned hard mask 3702 and a second pre-patterned hard mask 3704 . Photoresist layer portions 3710 and openings 3716 (which have been exposed and developed) are located between the first pre-patterned hard mask 3702 and second pre-patterned hard mask 3704 structures. The current layer is represented by overlay image 3750D. Overlay image 3750D has an overlay shift of X at zero and Y at P Y /4. The pitch of the overlay image 3750D of the current layer is 25% larger relative to the underlying layer as an example embodiment, ie, patterned at pitch + Δ, where Δ=P/4. Region 3760D emphasizes the position of X=0 and Y=-2P Y for the light bucket cluster for PB 0,0 . Zone 3760D and the corresponding open/closed horizontal series move down by an amount equal to twice the pitch.

圖37E闡明重疊情境之由上而下視圖,其中目前層具有相對於X方向上的下方預圖案化硬遮罩柵格之四分之一節距的正重疊且具有相對於Y方向上的下方預圖案化硬遮罩柵格之四分之一節距的正重疊,依據本發明之實施例。37E illustrates a top-down view of an overlay scenario, where the current layer has a quarter-pitch positive overlap relative to the underlying pre-patterned hardmask grid in the X-direction and has a lower relative to the Y-direction A quarter-pitch positive overlap of the pre-patterned hardmask grid, in accordance with embodiments of the present invention.

參考圖37E,下方層包括第一預圖案化硬遮罩3702及第二預圖案化硬遮罩3704。複數光抗蝕劑層部分3710及複數開口3716(已被曝光並顯影)係位於第一預圖案化硬遮罩3702與第二預圖案化硬遮罩3704結構之間。目前層係由重疊影像3750E所表示。重疊影像3750E具有於PX /4之X以及於PY /4之Y的重疊移位。目前層之重疊影像3750E的節距為25%更大,相對於當作範例實施例之下方層,亦即,圖案化於節距+Δ,其中Δ=P/4。區3760E係強調用於針對PB0,0 之光桶叢集的X=-2PX 及Y=-2PY 的位置。區3760E及相應打開的/封閉的水平列係向下移動以一等於該節距之兩倍的量。此外,區3760E及相應打開的/封閉的垂直行係向左移動以一等於該節距之兩倍的量。Referring to FIG. 37E, the underlying layer includes a first pre-patterned hard mask 3702 and a second pre-patterned hard mask 3704. Photoresist layer portions 3710 and openings 3716 (which have been exposed and developed) are located between the first pre-patterned hard mask 3702 and second pre-patterned hard mask 3704 structures. The current layer is represented by overlay image 3750E. Overlay image 3750E has an overlay shift of X at P X /4 and Y at P Y /4. The pitch of the overlay image 3750E of the current layer is 25% larger relative to the underlying layer as an example embodiment, ie, patterned at pitch+Δ, where Δ=P/4. Region 3760E emphasizes the location of X=-2P X and Y=-2P Y for the light bucket cluster for PB 0,0 . Zone 3760E and the corresponding open/closed horizontal series move down by an amount equal to twice the pitch. Additionally, zone 3760E and the corresponding open/closed vertical rows are moved to the left by an amount equal to twice the pitch.

再次參考圖37A-37E,應理解:半導體晶片之橫斷面分析可顯露對準標記,其包括於複數具柵格通孔及插塞之中的通孔及/或插塞之垂直及水平陣列,如指示文中所述之一或更多實施例的應用。此等結構可被包括於切割道中或者於插入式單元中之晶粒上,舉例而言。此一方式之應用可致能針對其意欲配合CDSEM度量衡而使用之每一通孔及/或插塞圖案化層的光桶中之重疊的準確測量。亦應理解:傳統重疊技術無法配合此式樣圖案化而工作。Referring again to FIGS. 37A-37E, it should be understood that cross-sectional analysis of a semiconductor wafer can reveal alignment marks including vertical and horizontal arrays of vias and/or plugs among a plurality of grids of vias and plugs , as indicating the application of one or more of the embodiments described herein. Such structures may be included in scribe lines or on dies in interposer cells, for example. Application of this approach may enable accurate measurement of the overlap in the photobarrel of each via and/or plug patterned layer for which it is intended to be used with CDSEM metrology. It should also be understood that conventional overlay techniques cannot work with this pattern patterning.

依據本發明之實施例,描述針對用於微影(諸如極紫外線微影(EUV))之高解析度移相遮罩(PSM)製造的新結構。此等PSM遮罩可被用於一般(直接)微影或互補式微影。In accordance with embodiments of the present invention, new structures for high-resolution phase shift mask (PSM) fabrication for lithography, such as extreme ultraviolet lithography (EUV), are described. These PSM masks can be used for general (direct) lithography or complementary lithography.

光微影常被用於製造程序以形成圖案於光抗蝕劑之層中。於光微影製程中,光抗蝕劑層被沈積於其將被蝕刻的下方層之上。通常,下方層為半導體層,但可為任何類型的硬遮罩或電介質材料。光抗蝕劑層被接著透過光遮罩或標線片而選擇性地暴露至照射。光抗蝕劑被接著顯影且其暴露至照射之光抗蝕劑的那些部分被移除,於「正」光抗蝕劑之情況下。Photolithography is often used in fabrication processes to form patterns in layers of photoresist. In a photolithography process, a photoresist layer is deposited over the underlying layer to be etched. Typically, the underlying layer is a semiconductor layer, but can be any type of hardmask or dielectric material. The photoresist layer is then selectively exposed to radiation through a photomask or reticle. The photoresist is then developed and those portions of the photoresist that are exposed to the irradiated photoresist are removed, in the case of a "positive" photoresist.

用以圖案化晶圓之光遮罩或標線片被置於光微影曝光工具內,通常已知為「步進器」或「掃描器」。於步進器或掃描器機器中,光遮罩或標線片被置於照射源與晶圓之間。光遮罩或標線片通常被形成自圖案化色度(吸收劑層),其被置於石英基底上。該照射係實質上未衰減地通過光遮罩或標線片之石英區段,於其中並無色度之位置中。相對地,該照射不會通過該遮罩之色度部分。因為入射於該遮罩上之照射不是完全地通過石英區段就是由色度區段所完全地阻擋,所以此類型的遮罩被稱為二元遮罩。在該照射選擇性地通過該遮罩之後,該遮罩上之圖案被轉移至該光抗蝕劑,藉由透過一連串透鏡以將該遮罩之影像投射入該光抗蝕劑。A photomask or reticle used to pattern the wafer is placed within a photolithography exposure tool, commonly known as a "stepper" or "scanner." In stepper or scanner machines, a light mask or reticle is placed between the illumination source and the wafer. A light mask or reticle is typically formed from a patterned chromatic (absorber layer), which is placed on a quartz substrate. The illumination passes substantially unattenuated through the quartz section of the light mask or reticle, in locations where there is no chromaticity. In contrast, the illumination does not pass through the chrominance portion of the mask. This type of mask is called a binary mask because illumination incident on the mask is either completely passed through the quartz section or completely blocked by the chrominance section. After the illumination is selectively passed through the mask, the pattern on the mask is transferred to the photoresist by passing through a series of lenses to project the image of the mask into the photoresist.

隨著光遮罩或標線片上之特徵變得越來越接近在一起,繞射效應開始作用(當遮罩上之該些特徵的大小係相當於光源之波長時)。繞射使得光抗蝕劑上所投射的影像變模糊,導致不良的解析度。As the features on the light mask or reticle get closer together, diffraction effects come into play (when the size of the features on the mask corresponds to the wavelength of the light source). Diffraction blurs the projected image on the photoresist, resulting in poor resolution.

一種防止繞射圖案干擾光抗蝕劑之所欲圖案化的最先進方法是以透明層(已知為移位器)覆蓋該光遮罩或標線片中之選定開口。該移位器係將該些組曝光射線中的一組移位成與另一相鄰組不同相,其係抵銷來自繞射之干擾圖案。此方式被稱為移相遮罩(PSM)方式。然而,其在遮罩生產時減少缺陷並增加產量的替代遮罩製造方案是微影製程發展的重要焦點領域。A state-of-the-art method to prevent diffraction patterns from interfering with the desired patterning of photoresist is to cover selected openings in the photomask or reticle with a transparent layer (known as a shifter). The shifter shifts one of the sets of exposure rays out of phase with another adjacent set, which cancels out interference patterns from diffraction. This method is called a phase shift mask (PSM) method. However, alternative mask fabrication solutions that reduce defects and increase yield during mask production are an important area of focus for lithography process development.

本發明之一或更多實施例係有關於用以製造微影遮罩之方法及所得的微影遮罩。為了提供背景,滿足由半導體工業所提出之積極裝置擴縮目標的需求係取決於其以高保真度來圖案化較小特徵之微影遮罩的能力。然而,用以圖案化越來越小特徵之方式係造成了針對遮罩製造之巨大的挑戰。在這方面,當今所廣泛使用之微影遮罩係仰賴用以圖案化特徵之移相遮罩(PSM)技術的概念。然而,減少缺陷而同時產生越來越小的圖案仍是遮罩製造中的最大障礙之一。移相遮罩之使用可具有數個缺點。第一,移相遮罩之設計是相當複雜的程序,其需要極多的資源。第二,由於移相遮罩之本質,難以檢查是否沒有缺陷出現在該移相遮罩中。移相遮罩中之此等缺陷係來自其用以產生該遮罩本身所利用的當前集成方案。傳統的移相遮罩係採用一種麻煩且多少有缺陷傾向的方式來圖案化厚的光吸收材料並接著將該圖案轉移至其協助移相的次要層。使事情複雜化,吸收劑層係接受電漿蝕刻兩次,而因此,電漿蝕刻之不利的效應(諸如負載效應、反應性離子蝕刻延遲、充電和可再生效應)係導致遮罩生產時之缺陷。One or more embodiments of the present invention relate to methods for fabricating lithographic masks and the resulting lithographic masks. To provide context, the need to meet aggressive device scaling goals proposed by the semiconductor industry depends on its ability to pattern lithographic masks of smaller features with high fidelity. However, the manner in which smaller and smaller features are patterned poses significant challenges for mask fabrication. In this regard, lithography masks in widespread use today rely on the concept of phase shift masking (PSM) techniques for patterning features. However, reducing defects while producing smaller and smaller patterns remains one of the biggest hurdles in mask fabrication. The use of phase shifting masks can have several disadvantages. First, the design of the phase-shifting mask is a rather complex procedure that requires enormous resources. Second, due to the nature of the phase-shift mask, it is difficult to check whether no defects appear in the phase-shift mask. These deficiencies in phase-shift masks arise from the current integration schemes utilized to generate the masks themselves. Conventional phase shifting masks employ a cumbersome and somewhat defect-prone way to pattern thick light absorbing material and then transfer the pattern to its secondary layer which assists in phase shifting. To complicate matters, the absorber layer is subjected to plasma etch twice, and as a result, the adverse effects of plasma etch (such as loading effects, reactive ion etch retardation, charging and regeneration effects) cause problems in mask production. defect.

用以製造無缺陷微影遮罩之材料的創新及新穎的集成技術仍是欲致能裝置擴縮之高優先性。因此,為了利用移相遮罩技術之全部優點,可能需要一種利用以下各者之新穎的集成方案:(i)以高保真度圖案化移位器層及(ii)圖案化吸收劑僅一次且於製造之最後階段期間。此外,此一製造方案亦可提供其他優點,諸如材料選擇之彈性、於製造期間之減少的基底損害、及遮罩製造時之增加的產量。Innovative and novel integration techniques for materials used to fabricate defect-free lithographic masks remain a high priority for device scaling. Therefore, to take advantage of the full benefits of phase-shift masking techniques, a novel integration scheme that utilizes (i) patterning the shifter layer with high fidelity and (ii) patterning the absorber only once and during the final stages of manufacture. In addition, such a fabrication scheme may also provide other advantages such as flexibility in material selection, reduced substrate damage during fabrication, and increased throughput in mask fabrication.

圖38闡明微影遮罩結構3801之橫斷面視圖,依據本發明之實施例。微影遮罩3801包括晶粒中區3810、框區3820及晶粒框介面區3830。晶粒框介面區3830包括晶粒中區3810及框區3820之相鄰部分。晶粒中區3810包括直接地配置於基底3800上之圖案化移位器層3806,其中該圖案化移位器層具有包括側壁之特徵。框區3820係圍繞晶粒中區3810並包括直接地配置於基底3800上之圖案化吸收劑層3802。38 illustrates a cross-sectional view of a lithography mask structure 3801, in accordance with an embodiment of the present invention. The lithography mask 3801 includes a die mid region 3810 , a frame region 3820 and a die frame interface region 3830 . The die frame interface region 3830 includes an adjacent portion of the die mid region 3810 and the frame region 3820 . Mid-die region 3810 includes a patterned shifter layer 3806 disposed directly on substrate 3800, wherein the patterned shifter layer has features including sidewalls. The frame region 3820 surrounds the mid-die region 3810 and includes a patterned absorber layer 3802 disposed directly on the substrate 3800 .

晶粒框介面區3830(配置於基底3800上)包括雙層堆疊3840。雙層堆疊3840包括上層3804,配置於下圖案化移位器層3806上。雙層堆疊3840之上層3804係由如框區3820之圖案化吸收劑層3802的相同材料所組成。The die frame interface region 3830 (disposed on the substrate 3800 ) includes a two-layer stack 3840 . The two-layer stack 3840 includes an upper layer 3804 disposed on a lower patterned shifter layer 3806. The upper layer 3804 of the bilayer stack 3840 is composed of the same material as the patterned absorber layer 3802 of the frame region 3820.

於一實施例中,圖案化移位器層3806之特徵的最上表面3808具有一高度,該高度不同於晶粒框介面區之特徵的最上表面3812且不同於框區中之特徵的最上表面3814。再者,於一實施例中,晶粒框介面區之特徵的最上表面3812之高度係不同於框區之特徵的最上表面3814之高度。圖案化移位器層3806之典型厚度的範圍係從40至100nm,而吸收劑層之典型厚度的範圍係從30至100nm。於一實施例中,框區3820中之吸收劑層3802的厚度為50nm,其配置於晶粒框介面區3830中之移位器層3806上的吸收劑層3804之結合厚度為120nm而框區中之吸收劑的厚度為70nm。於一實施例中,基底3800為石英,圖案化移位器層包括諸如(但不限定於)矽化鉬、氧氮化鉬矽、氮化鉬矽、氧氮化矽、或氮化矽等材料,而吸收劑材料為鉻。In one embodiment, the uppermost surface 3808 of the features of the patterned shifter layer 3806 has a height that is different from the uppermost surface 3812 of the features in the die frame interface region and different from the uppermost surface 3814 of the features in the frame region . Furthermore, in one embodiment, the height of the uppermost surface 3812 of the features in the die frame interface region is different from the height of the uppermost surface 3814 of the features in the frame region. Typical thicknesses of the patterned shifter layer 3806 range from 40 to 100 nm, and typical thicknesses of the absorber layer range from 30 to 100 nm. In one embodiment, the thickness of the absorber layer 3802 in the frame region 3820 is 50 nm, the combined thickness of the absorber layer 3804 disposed on the shifter layer 3806 in the die frame interface region 3830 is 120 nm and the thickness of the frame region is 120 nm. The thickness of the absorber is 70 nm. In one embodiment, the substrate 3800 is quartz, and the patterned shifter layer includes materials such as, but not limited to, molybdenum silicide, molybdenum silicon oxynitride, molybdenum silicon nitride, silicon oxynitride, or silicon nitride. , while the absorbent material is chromium.

依據本發明之實施例,描述互補式電子束微影。文中所述之一或更多實施例係有關微影方式及工具,其係涉及或適於互補式電子束微影(CEBL),包括當實施此類方式及工具時之半導體處理考量。In accordance with embodiments of the present invention, complementary electron beam lithography is described. One or more embodiments described herein relate to lithography methods and tools that are related to or suitable for complementary electron beam lithography (CEBL), including semiconductor processing considerations when implementing such methods and tools.

互補式微影利用兩種微影技術之能力(互相合作)來降低以20nm半節距及以下圖案化邏輯裝置中之關鍵層的成本,於大量製造(HVM)時。用以實施互補式微影之最成本效率高的方式是結合光學微影與電子束微影(EBL)。將積體電路(IC)設計轉移至晶圓之程序係詳述如下:光學微影,用來以預定義節距印刷單向線(嚴格單向或主要單向);節距分割技術,用來增加線密度;及EBL,用來「切割」線。EBL亦用來圖案化其他關鍵層,特別是接點及通孔。光學微影可被單獨用來圖案化其他層。當用來補充光學微影時,EBL被稱為CEBL,或互補式EBL。CEBL係針對切割線及孔洞。藉由不嘗試圖案化所有層,CEBL扮演互補但關鍵的角色以滿足工業上之圖案化需求,在先進的(較小的)科技節點(例如,10nm或更小,諸如7nm或5nm科技節點)上。CEBL亦延伸當前光學微影技術、工具及設施之使用。Complementary lithography leverages the capabilities of the two lithography techniques (cooperating with each other) to reduce the cost of patterning critical layers in logic devices at 20nm half-pitch and below, in high volume manufacturing (HVM). The most cost-effective way to implement complementary lithography is to combine optical lithography with electron beam lithography (EBL). The procedures for transferring integrated circuit (IC) designs to wafers are detailed as follows: photolithography, which is used to print unidirectional lines (strictly unidirectional or predominantly unidirectional) at predefined pitches; pitch dicing techniques, which use to increase the line density; and EBL, to "cut" the line. EBL is also used to pattern other critical layers, especially contacts and vias. Optical lithography can be used alone to pattern other layers. When used to complement optical lithography, EBL is called CEBL, or complementary EBL. CEBL is for cutting lines and holes. By not attempting to pattern all layers, CEBL plays a complementary but critical role in meeting the patterning needs of the industry at advanced (smaller) technology nodes (eg, 10nm or smaller, such as 7nm or 5nm technology nodes) superior. CEBL also extends the use of current photolithography techniques, tools and facilities.

文中所揭露之實施例可被用以製造多種不同類型的積體電路及/或微電子裝置。此等積體電路之範例包括(但不限定於)處理器、晶片組組件、圖形處理器、數位信號處理器、微控制器,等等。於其他實施例中,半導體記憶體可被製造。此外,積體電路或其他微電子裝置可被用於本技術中所已知的多種電子裝置。例如,於電腦系統(例如,桌上型、膝上型、伺服器)、行動電話、個人電子裝置,等等。積體電路可被耦合與系統中之匯流排或其他組件。例如,處理器可藉由一或更多匯流排而被耦合至記憶體、晶片組,等等。每一處理器、記憶體、晶片組可潛在地使用文中所揭露之方式來製造。The embodiments disclosed herein can be used to fabricate many different types of integrated circuits and/or microelectronic devices. Examples of such integrated circuits include, but are not limited to, processors, chipset components, graphics processors, digital signal processors, microcontrollers, and the like. In other embodiments, semiconductor memory can be fabricated. Furthermore, integrated circuits or other microelectronic devices may be used in a variety of electronic devices known in the art. For example, in computer systems (eg, desktops, laptops, servers), mobile phones, personal electronic devices, and the like. Integrated circuits may be coupled to bus bars or other components in the system. For example, a processor may be coupled to a memory, a chipset, etc. via one or more bus bars. Each processor, memory, chipset can potentially be fabricated using the methods disclosed herein.

如上所述,電子束(ebeam)微影可被實施來補充標準微影技術,以獲得積體電路製造之特徵的所欲定標。電子束微影工具可被用以執行電子束微影。於一範例實施例中,圖39為一種電子束微影設備之電子束行(column)的橫斷面概略圖示。As described above, electron beam (ebeam) lithography can be implemented to complement standard lithography techniques to achieve desired scaling of features for integrated circuit fabrication. Electron beam lithography tools can be used to perform electron beam lithography. In an exemplary embodiment, FIG. 39 is a schematic cross-sectional view of an electron beam column of an electron beam lithography apparatus.

參考圖39,電子束行3900包括用以提供電子之束3904的電子源3902。電子之束3904通過限制孔徑3906,而接著,通過高高寬比照明光學裝置3908。輸出束3910接著通過狹縫3912並可由薄透鏡3914(例如,其可為磁性的)所控制。最後,束3904通過成型孔徑3916(其可為一維(1-D)成型孔徑)並接著通過消除器孔徑陣列(BAA)3918。BAA 3918包括複數物理孔徑於其中,諸如矽之薄片中所形成的開口。有可能其於既定時刻BAA 3918之僅一部分被暴露至電子束。替代地,或結合地,僅有通過BAA 3918之電子束3904的一部分3920被容許通過最後孔徑3922(例如,束部分3921被顯示為阻擋)以及(可能地)級回饋偏轉器3924。Referring to Figure 39, an electron beam row 3900 includes an electron source 3902 for providing a beam 3904 of electrons. The electron beam 3904 passes through the confinement aperture 3906 and then passes through the high aspect ratio illumination optics 3908. The output beam 3910 then passes through the slit 3912 and can be controlled by a thin lens 3914 (eg, which can be magnetic). Finally, the beam 3904 passes through a shaped aperture 3916 (which may be a one-dimensional (1-D) shaped aperture) and then through a canceller aperture array (BAA) 3918. BAA 3918 includes a plurality of physical apertures therein, such as openings formed in thin sheets of silicon. It is possible that only a portion of BAA 3918 was exposed to the electron beam at a given moment. Alternatively, or in combination, only a portion 3920 of the electron beam 3904 passing through the BAA 3918 is allowed to pass through the final aperture 3922 (eg, beam portion 3921 is shown blocking) and (possibly) the stage feedback deflector 3924.

再次參考圖39,所得的電子束3926最終撞擊為晶圓3930(諸如用於IC製造之矽晶圓)之表面上的一點3928。明確地,所得的電子束可撞擊於晶圓上之光阻層上,但實施例不限於此。級掃描3932相對於束3926而移動晶圓3930,沿著圖39中所示之箭號3934的方向。應理解電子束工具完整地可包括圖39中所示之類型的數個行3900。同時,電子束工具可具有相關的基礎電腦,且各行可進一步具有相應的行電腦。Referring again to Figure 39, the resulting electron beam 3926 eventually strikes a point 3928 on the surface of a wafer 3930, such as a silicon wafer used for IC fabrication. Specifically, the resulting electron beam may impinge on the photoresist layer on the wafer, but embodiments are not limited thereto. Stage scan 3932 moves wafer 3930 relative to beam 3926 in the direction of arrow 3934 shown in FIG. 39 . It should be understood that the e-beam tool may in its entirety include several rows 3900 of the type shown in FIG. 39 . At the same time, the e-beam tool may have an associated base computer, and each row may further have a corresponding row computer.

於一實施例中,當以下參考消除器孔徑陣列(BAA)中之開口或孔徑時,BAA之所有或部分開口或孔徑可被切換為開或「關」(例如,藉由束偏轉),隨著晶圓/晶粒於底下沿著晶圓行進或掃描方向而移動。於一實施例中,BAA可被獨立地控制,針對各開口是否通過電子束而至樣本或者將電子束偏轉入(例如)法拉第杯或遮沒孔徑。包括此一BAA之電子束行或設備可被建立以偏轉整體束覆蓋至BAA之僅一部分,且接著BAA中之個別開口被電氣地組態成使電子束通過(「開」)或不通過(「關」)。例如,未偏轉的電子通過至晶圓並暴露抗蝕劑層,同時偏轉的電子被捕集於法拉第杯或遮沒孔徑中。應理解其對於「開口」或「開口高度」之參考指的是撞擊在接收晶圓上之點尺寸而非BAA中之實體開口,因為實體開口是實質上大於(例如,微米等級)最終從BAA所產生之點尺寸(例如,奈米等級)。因此,當文中描述為BAA之節距或者BAA中之開口行被說成「相應於」金屬線之節距時,此描述實際上指的是介於如從BAA所產生之撞擊點的節距與被切割之線的節距之間的關係。如以下所提供之範例,從BAA 4310所產生的點具有如線4300之節距的相同節距(當BAA開口之兩行被一起考量時)。同時,從BAA 4310之交錯式陣列的僅一行所產生的點具有如線4300之節距兩倍的節距。In one embodiment, all or part of the openings or apertures of the BAA can be switched on or "off" (eg, by beam deflection) when the following refers to openings or apertures in the canceller aperture array (BAA), with The wafer/die is moved underneath along the wafer travel or scan direction. In one embodiment, the BAA can be independently controlled for each opening to pass the electron beam to the sample or to deflect the electron beam into, for example, a Faraday cup or shadow aperture. An electron beam row or apparatus including such a BAA can be built to deflect the overall beam coverage to only a portion of the BAA, and then individual openings in the BAA are electrically configured to pass ("on") or not the electron beam ( "close"). For example, undeflected electrons pass to the wafer and expose the resist layer, while deflected electrons are trapped in a Faraday cup or shadow aperture. It should be understood that its reference to "opening" or "opening height" refers to the spot size impinging on the receiving wafer and not to the physical opening in the BAA, since the physical opening is substantially larger (eg, micron scale) from the BAA eventually. The resulting dot size (eg, nanoscale). Thus, when the pitch of the BAA or the row of openings in the BAA is said to be "corresponding to" the pitch of the wire, the description is actually referring to the pitch between the point of impact as produced from the BAA Relationship to the pitch of the line being cut. As the example provided below, the dots generated from the BAA 4310 have the same pitch as the pitch of the line 4300 (when the two rows of BAA openings are considered together). At the same time, the dots generated from just one row of the staggered array of BAAs 4310 have twice the pitch of lines 4300.

於一實施例中,交錯式束孔徑陣列被實施以解決電子束機器之通量而同時亦致能最小佈線節距。若無交錯,則邊緣布局誤差(EPE)之考量表示佈線寬度兩倍之最小節距無法被切割,因為不可能垂直地堆疊於單疊中。例如,圖40闡明相對於待切割或具有置於目標位置中之通孔的線4002之BAA的孔徑4000,當線係沿著箭號4004之方向而被掃描於孔徑4000下方時。參考圖40,針對待切割的既定線4002或待放置的通孔,切割器開口(孔徑)之EPE 4006導致其為線之節距的BAA柵格中的矩形開口。In one embodiment, a staggered beam aperture array is implemented to account for the throughput of the electron beam machine while also enabling a minimum wiring pitch. Without interleaving, edge placement error (EPE) considerations mean that a minimum pitch of twice the wiring width cannot be cut because vertical stacking in a single stack is not possible. For example, FIG. 40 illustrates the aperture 4000 relative to the BAA of the wire 4002 to be cut or having a through hole placed in the target location when the wire is scanned below the aperture 4000 in the direction of arrow 4004. Referring to Figure 40, for a given wire 4002 to be cut or a through hole to be placed, the EPE 4006 of the cutter opening (aperture) results in a rectangular opening in the BAA grid of the pitch of the wire.

圖41闡明個別地相對於待切割或具有置於目標位置中之通孔的兩條線4104和4106之BAA的兩個非交錯式孔徑4100和4102,當線係沿著箭號4108之方向而被掃描於孔徑4100和4102下方時。參考圖41,當圖40之矩形開口4000被置於具有其他此類矩形開口(例如,現在為4100和4102)之垂直單行中時,則待切割線之容許的節距係由以下所限制:2x EPE 4110加上介於BAA開口4100與4102間之距離需求4112加上一佈線4104或4106之寬度。所得間隔4114係由圖41之極右側上的箭號所顯示。此一線陣列可能嚴重地限制佈線之節距為實質上大於佈線之寬度的3-4倍,其可能是無法接受的。另一可能無法接受的替代方式將是以具有稍微偏移佈線位置之兩(或更多)通路來切割更緊密節距的佈線;此方式可能嚴重地限制電子束機器之通量。41 illustrates two non-staggered apertures 4100 and 4102 individually relative to the BAA of two lines 4104 and 4106 to be cut or with through holes placed in the target location, when the lines are in the direction of arrow 4108 When scanned under apertures 4100 and 4102. Referring to Figure 41, when the rectangular opening 4000 of Figure 40 is placed in a vertical single row with other such rectangular openings (eg, now 4100 and 4102), then the allowable pitch of the lines to be cut is limited by: 2x EPE 4110 plus the distance requirement 4112 between BAA openings 4100 and 4102 plus the width of a trace 4104 or 4106. The resulting gap 4114 is shown by the arrow on the extreme right side of FIG. 41 . Such a one-line array may severely limit the pitch of the wiring to be substantially 3-4 times greater than the width of the wiring, which may be unacceptable. Another possibly unacceptable alternative would be to cut a tighter pitch wiring with two (or more) vias with slightly offset wiring locations; this approach could severely limit the throughput of the e-beam machine.

相對於圖41,圖42闡明相對於待切割或具有置於目標位置中之通孔的複數線4208之BAA 4200的兩行4202和4204交錯孔徑4206,當線4208沿著方向4210而被掃描於孔徑4206下方時,以掃描方向由箭號所顯示,依據本發明之實施例。參考圖41,交錯BAA 4200包括二條線性陣列4202和4204,空間上交錯的如圖所示。兩交錯式陣列4202和4204切割(或放置通孔於)交替的線4208。線4208(於一實施例中)被置於緊密柵格上以兩倍佈線寬度。如遍及本發明所使用者,術語「交錯式陣列」可指稱開口4206之交錯,其係於一方向(例如,垂直方向)上交錯且任一者不具有重疊或者具有某些重疊,當隨著於正交方向(例如,水平方向)上掃描而觀看時。於後者情況下,有效重疊提供了失準之容許度。With respect to FIG. 41, FIG. 42 illustrates two rows 4202 and 4204 of staggered apertures 4206 relative to the BAA 4200 of the plurality of lines 4208 to be cut or having through holes placed in the target locations, when the lines 4208 are scanned along the direction 4210. Below aperture 4206, shown by arrows in the scan direction, in accordance with embodiments of the present invention. Referring to Figure 41, interleaved BAA 4200 includes two linear arrays 4202 and 4204, spatially interleaved as shown. The two staggered arrays 4202 and 4204 cut (or place vias in) alternating lines 4208. Lines 4208 (in one embodiment) are placed on a tight grid with twice the wiring width. As used throughout this disclosure, the term "staggered array" may refer to a staggered array of openings 4206 that are staggered in one direction (eg, the vertical direction) and either have no overlap or some overlap, as When viewed while scanning in an orthogonal direction (eg, horizontal). In the latter case, the effective overlap provides tolerance for misalignment.

應理解:雖然交錯式陣列於文中被顯示為兩垂直行以利簡化,但單一「行」之開口或孔徑於垂直方向上無須為行狀的。例如,於一實施例中,只要第一陣列集合地具有垂直方向上之節距,且於掃描方向上與該第一陣列交錯之第二陣列集合地具有垂直方向上之節距,則獲得交錯式陣列。因此,文中之垂直行的參照或描述可實際上由一或更多行所組成,除非指明為開口或孔徑之單行。於一實施例中,於其一「行」開口不是單一行開口的情況下,該「行」內之任何偏移可用選通(strobe)時序來補償。於一實施例中,關鍵點在於其BAA之交錯式陣列的開口或孔徑位於第一方向之特定節距上,但於第二方向被偏移以容許其放置切割或通孔而無任何間隙於第一方向上的切割或通孔之間。It should be understood that although a staggered array is shown in the text as two vertical rows for simplicity, the openings or apertures of a single "row" need not be rows in the vertical direction. For example, in one embodiment, interleaving is obtained as long as a first array collective has a pitch in the vertical direction, and a second array collective that is interleaved with the first array in the scanning direction has a vertical pitch. array. Thus, references or descriptions herein to vertical rows may actually consist of one or more rows, unless indicated as a single row of openings or apertures. In one embodiment, where a "row" of openings is not a single row of openings, any offset within that "row" can be compensated for with strobe timing. In one embodiment, the key point is that the openings or apertures of the staggered array of its BAAs are on a specific pitch in the first direction, but are offset in the second direction to allow them to place cuts or vias without any gaps in the Between cuts or vias in the first direction.

因此,一或更多實施例係有關一種交錯束孔徑陣列,其中開口被交錯以容許滿足EPE切割及/或通孔需求,不同於一種無法顧及EPE技術需求之順序佈置(inline arrangement)。相反地,若無交錯,則邊緣布局誤差(EPE)之問題表示佈線寬度兩倍之最小節距無法被切割,因為不可能垂直地堆疊於單疊中。取代地,於一實施例中,交錯BAA之使用致能較獨立地電子束寫入各佈線位置更快速超過4000倍。再者,交錯式陣列容許佈線節距成為佈線寬度之兩倍。於特定實施例中,陣列具有4096個交錯開口於兩行之上以致針對切割和通孔位置之每一者的EPE可被進行。應理解:交錯式陣列(如文中所思及者)可包括二或更多行的交錯開口。Accordingly, one or more embodiments relate to a staggered beam aperture array in which the openings are staggered to allow for EPE cutting and/or via requirements, as opposed to an inline arrangement that does not accommodate EPE technical requirements. Conversely, without interleaving, the problem of edge placement error (EPE) means that a minimum pitch of twice the wiring width cannot be cut because vertical stacking in a single stack is not possible. Instead, in one embodiment, the use of staggered BAA enables over 4000 times faster electron beam writing to each routing location than independently. Furthermore, the staggered array allows the wiring pitch to be twice the wiring width. In a particular embodiment, the array has 4096 staggered openings over two rows so that EPE for each of the dicing and via locations can be performed. It should be understood that a staggered array (as contemplated herein) may include two or more rows of staggered openings.

於一實施例中,交錯式陣列之使用保留了空間以包括金屬於其含有一或二電極之BAA的孔徑周圍,以供傳遞或引導電子束至晶圓或者引導至法拉第杯或者遮沒孔徑。亦即,各開口可由電極所分離的控制以通過或偏轉電子束。於一實施例中,BAA具有4096個開口,而電子束設備涵蓋4096個開口之完整陣列,其各開口被電地控制。藉由於開口底下掃過晶圓(如由粗黑箭號所示)以致能通量增進。In one embodiment, the use of a staggered array leaves space to include metal around the aperture of its BAA containing one or two electrodes for delivering or directing the electron beam to the wafer or to the Faraday cup or shadow aperture. That is, each opening can be controlled separately by electrodes to pass or deflect the electron beam. In one embodiment, the BAA has 4096 openings and the electron beam apparatus covers a complete array of 4096 openings, each of which is electrically controlled. The energy flux is enhanced by sweeping the wafer under the opening (as indicated by the thick black arrow).

於特定實施例中,交錯BAA具有兩列交錯BAA開口。此一陣列允許緊密節距佈線,其中佈線節距可為佈線寬度之2倍。再者,所有佈線可被切割於單一通過(或者通孔可被形成於單一通過),藉此致能電子束機器上之通量。圖21A闡明相對於具有切割(水平線中之斷裂)或使用交錯BAA而圖案化之通孔(填入方盒)的複數線(右)之BAA的兩行交錯孔徑(左),以掃描方向由箭號所顯示,依據本發明之實施例。In certain embodiments, the staggered BAA has two columns of staggered BAA openings. Such an array allows for tight pitch routing, where the routing pitch can be twice the routing width. Also, all wiring can be cut in a single pass (or vias can be formed in a single pass), thereby enabling flux on the e-beam machine. Figure 21A illustrates two rows of staggered apertures (left) with respect to BAAs with cuts (breaks in horizontal lines) or complex lines (right) with vias patterned using staggered BAAs (filled square boxes), in scan direction by As shown by the arrows, embodiments are in accordance with the present invention.

參考圖43A,從單一交錯式陣列所得之線可為如前所述者,其中線為單一節距的,以其切割及通孔被圖案化。特別地,圖43A描繪複數線4300或其中無線存在之開線位置4302。通孔4304及切割4306可沿著線4300而被形成。線4300被顯示為相對於一具有掃描方向4312之BAA 4310。因此,圖43A可被視為由單一交錯式陣列所產生之典型圖案。虛線顯示切割發生於已圖案化線中之何處(包括用以移除完整線或線部分之總切割)。通孔位置4304為落在佈線4300之頂部上的圖案化通孔。Referring to Figure 43A, the resulting lines from a single staggered array may be as previously described, where the lines are of a single pitch, with their cuts and vias patterned. In particular, Figure 43A depicts a plurality of lines 4300 or open line locations 4302 in which wireless exists. Vias 4304 and cuts 4306 may be formed along line 4300 . Line 4300 is shown relative to a BAA 4310 having scan direction 4312. Thus, Figure 43A can be viewed as a typical pattern produced by a single staggered array. Dashed lines show where in the patterned lines the cut occurs (including total cuts to remove complete lines or line portions). Via locations 4304 are patterned vias that fall on top of wiring 4300.

應理解:包括如上所述之交錯束孔徑陣列(交錯BAA)的電子束行亦可包括除了配合圖39所述的那些以外的其他特徵。例如,於一實施例中,樣本級可被旋轉90度以容納交替的金屬化層,其可被相互正交地印刷(例如,旋轉於X與Y掃描方向之間)。於另一實施例中,電子束工具能夠在將晶圓載至該級上之前旋轉晶圓90度。It should be understood that an electron beam row comprising a staggered beam aperture array (staggered BAA) as described above may also include other features than those described in conjunction with FIG. 39 . For example, in one embodiment, the sample stage may be rotated 90 degrees to accommodate alternating metallization layers, which may be printed orthogonally to each other (eg, rotated between the X and Y scan directions). In another embodiment, the e-beam tool is capable of rotating the wafer 90 degrees before loading the wafer onto the stage.

圖43B闡明積體電路中之金屬化層4352的堆疊4350的橫斷面視圖,根據圖43A中所示之類型的金屬線佈局,依據本發明之實施例。參考圖43B,於範例實施例中,互連堆疊4350之金屬橫斷面被取得自下方八個匹配金屬層4354,4356,4358,4360,4362,4364,4366及4368之單一BAA陣列。應理解:上方較粗/較寬的金屬線4370及4372將不以單一BAA來形成。通孔位置4374被描繪為連接下方八個匹配金屬層4354,4356,4358,4360,4362,4364,4366及4368。43B illustrates a cross-sectional view of a stack 4350 of metallization layers 4352 in an integrated circuit, according to a metal line layout of the type shown in FIG. 43A, in accordance with an embodiment of the present invention. Referring to Figure 43B, in an exemplary embodiment, the metal cross-section of interconnect stack 4350 is taken from a single BAA array of eight matching metal layers 4354, 4356, 4358, 4360, 4362, 4364, 4366 and 4368 below. It should be understood that the thicker/wider metal lines 4370 and 4372 above will not be formed with a single BAA. Via location 4374 is depicted as connecting the underlying eight matching metal layers 4354, 4356, 4358, 4360, 4362, 4364, 4366 and 4368.

總之,於一實施例中,如文中所述之互補式微影涉及藉由習知或最先進微影,諸如193nm浸入微影(193i),以首先製造具柵格的佈局。節距分割可被實施以增加具柵格佈局中之線的密度以n之因數。利用193i微影加上以n之因數的節距分割之具柵格佈局形成可被指定為193i+P/n節距分割。節距分割的具柵格佈局之圖案化可接著使用電子束直接寫入(EBDW)「切割」而被圖案化。於一此類實施例中,193nm浸入定標可利用成本效益高的節距分割而被延伸於許多世代。於一實施例中,互補式EBL被用以中斷光柵連續性並將通孔圖案化。於另一實施例中,互補式EUV被用以中斷光柵連續性並將通孔圖案化。In summary, in one embodiment, complementary lithography as described herein involves first fabricating a grid-like layout by conventional or state-of-the-art lithography, such as 193 nm immersion lithography (193i). Pitch division can be implemented to increase the density of lines in a grid layout by a factor of n. Grid layout formation using 193i lithography plus pitch divisions by a factor of n may be designated as 193i+P/n pitch divisions. The patterned grid layout with pitch division can then be patterned using electron beam direct writing (EBDW) "dicing". In one such embodiment, 193 nm immersion scaling can be extended over many generations with cost-effective pitch segmentation. In one embodiment, complementary EBLs are used to break grating continuity and pattern vias. In another embodiment, complementary EUV is used to break grating continuity and pattern vias.

圖44闡明一計算裝置4400,依據本發明之一實施方式。計算裝置4400含有電路板4402。電路板4402可包括數個組件,包括(但不限定於)處理器4404及至少一通訊晶片4406。處理器4404被實體地及電氣地耦合至電路板4402。於某些實施方式中,至少一通訊晶片4406亦被實體地及電氣地耦合至電路板4402。於進一步實施方式中,通訊晶片4406為處理器4404之部分。Figure 44 illustrates a computing device 4400, in accordance with one embodiment of the present invention. Computing device 4400 contains circuit board 4402 . The circuit board 4402 may include several components including, but not limited to, a processor 4404 and at least one communication chip 4406 . The processor 4404 is physically and electrically coupled to the circuit board 4402. In some embodiments, at least one communication chip 4406 is also physically and electrically coupled to the circuit board 4402. In further embodiments, the communication chip 4406 is part of the processor 4404.

根據其應用,計算裝置4400可包括其他組件,其可被或可不被實體地及電氣地耦合至電路板4402。這些其他組件包括(但不限定於)揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、快閃記憶體、圖形處理器、數位信號處理器、密碼處理器、晶片組、天線、顯示、觸控螢幕顯示、觸控螢幕控制器、電池、音頻編碼解碼器、視頻編碼解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速計、迴轉儀、揚聲器、相機、及大量儲存裝置(諸如硬碟機、光碟(CD)、數位光碟(DVD),等等)。Depending on its application, computing device 4400 may include other components, which may or may not be physically and electrically coupled to circuit board 4402 . These other components include, but are not limited to, volatile memory (eg, DRAM), non-volatile memory (eg, ROM), flash memory, graphics processors, digital signal processors, cryptographic processors, chips group, antenna, display, touch screen display, touch screen controller, battery, audio codec, video codec, power amplifier, global positioning system (GPS) device, compass, accelerometer, gyroscope, speaker, Cameras, and mass storage devices (such as hard drives, compact discs (CD), digital discs (DVD), etc.).

通訊晶片4406致能無線通訊,以供資料之轉移至及自計算裝置4400。術語「無線」及其衍生詞可被用以描述電路、裝置、系統、方法、技術、通訊頻道,等等,其可經由使用透過非固體媒體之經調變的電磁輻射來傳遞資料。該術語並未暗示其相關裝置不含有任何佈線,雖然於某些實施例中其可能不含有。通訊晶片4406可實施數種無線標準或協定之任一者,包括(但不限定於)Wi-Fi (IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生物,以及其被指定為3G、4G、5G、及以上的任何其他無線協定。計算裝置4400可包括複數通訊晶片4406。例如,第一通訊晶片4406可專用於較短距離無線通訊,諸如Wi-Fi及藍牙;而第二通訊晶片4406可專用於較長距離無線通訊,諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其他。Communication chip 4406 enables wireless communication for data transfer to and from computing device 4400. The term "wireless" and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communication channels, etc., which can communicate data through the use of modulated electromagnetic radiation through non-solid media. The term does not imply that its associated device does not contain any wiring, although in some embodiments it may not. Communication chip 4406 may implement any of several wireless standards or protocols, including (but not limited to) Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, Long Term Evolution (LTE), Ev- DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, and any other wireless protocols designated as 3G, 4G, 5G, and above. Computing device 4400 may include a plurality of communication chips 4406 . For example, the first communication chip 4406 can be dedicated to short-range wireless communication, such as Wi-Fi and Bluetooth; and the second communication chip 4406 can be dedicated to longer-range wireless communication, such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE , Ev-DO and others.

計算裝置4400之處理器4404包括封裝於處理器4404內之積體電路晶粒。於本發明之實施例的一些實施方式中,處理器之積體電路晶粒包括一或更多裝置,諸如依據本發明之實施方式而建造的MOS-FET電晶體。術語「處理器」可指稱任何裝置或裝置之部分,其處理來自暫存器及/或記憶體之電子資料以將該電子資料轉變為其可被儲存於暫存器及/或記憶體中之其他電子資料。The processor 4404 of the computing device 4400 includes an integrated circuit die packaged within the processor 4404 . In some implementations of embodiments of the present invention, the integrated circuit die of the processor includes one or more devices, such as MOS-FET transistors constructed in accordance with embodiments of the present invention. The term "processor" may refer to any device or portion of a device that processes electronic data from registers and/or memory to convert the electronic data into something that can be stored in registers and/or memory other electronic data.

通訊晶片4406亦包括封裝於通訊晶片4406內之積體電路晶粒。依據本發明之另一實施方式,通訊晶片之積體電路晶粒係依據本發明之實施方式而被建造。The communication chip 4406 also includes an integrated circuit die packaged within the communication chip 4406 . According to another embodiment of the present invention, the integrated circuit die of the communication chip is constructed according to the embodiment of the present invention.

於進一步實施例中,計算裝置4400內所包括之另一組件可含有依據本發明之實施例的實施方式所建造的積體電路晶粒。In further embodiments, another component included within computing device 4400 may contain an integrated circuit die constructed in accordance with implementations of embodiments of the present invention.

於各種實施方式中,計算裝置4400可為膝上型電腦、小筆電、筆記型電腦、輕薄型筆電、智慧型手機、輸入板、個人數位助理(PDA)、超輕行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器、或數位錄影機。於進一步實施方式中,計算裝置4400可為處理資料之任何其他電子裝置。In various implementations, the computing device 4400 may be a laptop computer, a small notebook, a notebook computer, a thin and light notebook, a smartphone, an input pad, a personal digital assistant (PDA), an ultralight mobile PC, a mobile phone , desktop computer, server, printer, scanner, monitor, set-top box, entertainment control unit, digital camera, portable music player, or digital video recorder. In further embodiments, computing device 4400 may be any other electronic device that processes data.

圖45闡明其包括本發明之一或更多實施例的插入器4500。插入器4500為中間基底,用以橋接第一基底4502至第二基底4504。第一基底4502可為(例如)積體電路晶粒。第二基底4504可為(例如)記憶體模組、電腦主機板、或其他積體電路晶粒。通常,插入器4500之目的係為了將連接延伸至較寬的節距或者將連接重新路由至不同連接。例如,插入器4500可將積體電路晶粒耦合至球柵陣列(BGA)506,其可後續地被耦合至第二基底4504。於某些實施例中,第一及第二基底4502/4504被安裝至插入器4500之相反側。於其他實施例中,第一及第二基底4502/4504被安裝至插入器4500之相同側。以及於進一步實施例中,三或更多基底係經由插入器4500而被互連。Figure 45 illustrates an inserter 4500 that includes one or more embodiments of the present invention. The interposer 4500 is an intermediate substrate for bridging the first substrate 4502 to the second substrate 4504 . The first substrate 4502 may be, for example, an integrated circuit die. The second substrate 4504 can be, for example, a memory module, a computer motherboard, or other integrated circuit die. Typically, the purpose of interposer 4500 is to extend connections to wider pitches or to reroute connections to different connections. For example, the interposer 4500 can couple the integrated circuit die to a ball grid array (BGA) 506 , which can subsequently be coupled to the second substrate 4504 . In some embodiments, the first and second substrates 4502/4504 are mounted to opposite sides of the interposer 4500. In other embodiments, the first and second substrates 4502/4504 are mounted to the same side of the interposer 4500. And in further embodiments, three or more substrates are interconnected via interposer 4500.

插入器4500可由以下所形成:環氧樹脂、玻璃纖維強化環氧樹脂、陶瓷材料、或聚合物材料(諸如聚醯亞胺)。於進一步實施方式中,插入器可被形成以替代的堅硬或彈性材料,其可包括用於半導體基底之上述的相同材料,諸如矽、鍺、及其他III-V族或IV族材料。The interposer 4500 may be formed from epoxy, glass fiber reinforced epoxy, ceramic materials, or polymeric materials such as polyimide. In further embodiments, the interposer may be formed of alternative hard or elastic materials, which may include the same materials described above for semiconductor substrates, such as silicon, germanium, and other III-V or IV materials.

插入器可包括金屬互連4508及通孔4510,包括(但不限定於)穿越矽通孔(TSV)4512。插入器4500可進一步包括嵌入式裝置4514,包括被動和主動裝置兩者。此等裝置包括(但不限定於)電容、解耦電容、電阻、電感、熔絲、二極體、變壓器、感應器、及靜電放電(ESD)裝置。諸如射頻(RF)裝置、功率放大器、功率管理裝置、天線、陣列、感應器、及MEMS裝置等更複雜的裝置亦可被形成於插入器4500上。依據本發明之實施例,文中所揭露之設備或製程可被用於插入器4500之製造。The interposer may include metal interconnects 4508 and vias 4510, including but not limited to through silicon vias (TSVs) 4512. The interposer 4500 may further include embedded devices 4514, including both passive and active devices. Such devices include, but are not limited to, capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, inductors, and electrostatic discharge (ESD) devices. More complex devices such as radio frequency (RF) devices, power amplifiers, power management devices, antennas, arrays, sensors, and MEMS devices can also be formed on the interposer 4500. The apparatus or process disclosed herein may be used in the manufacture of the interposer 4500 in accordance with embodiments of the present invention.

因此,本發明之實施例包括次10nm節距圖案化及自聚合裝置。Accordingly, embodiments of the present invention include sub-10 nm pitch patterned and self-polymerizing devices.

範例實施例1:一種積體電路結構包括複數從半導體基底之表面突出的半導體本體,該些複數半導體本體具有由部分本體部分所中斷的光柵圖案。溝槽隔離層係介於該些複數半導體本體之間並相鄰於該些複數半導體本體之下部分,但不相鄰於該些複數半導體本體之上部分,其中該溝槽隔離層位於該部分本體部分之上。一或更多閘極電極堆疊係於該些複數半導體本體之該些上部分的頂部表面上且側面地相鄰於該些複數半導體本體之該些上部分的側壁,以及於該溝槽隔離層之部分上。後段製程(BEOL)金屬化層係於該些一或更多閘極電極堆疊上方,該BEOL金屬化層包括沿著相同方向之複數交替的第一與第二導電線類型,其中該第一導電線類型之總組成係不同於該第二導電線類型之總組成。Example Embodiment 1: An integrated circuit structure includes a plurality of semiconductor bodies protruding from a surface of a semiconductor substrate, the plurality of semiconductor bodies having a grating pattern interrupted by partial body portions. The trench isolation layer is between the plurality of semiconductor bodies and adjacent to the lower part of the plurality of semiconductor bodies, but not adjacent to the upper part of the plurality of semiconductor bodies, wherein the trench isolation layer is located in the part on the body part. One or more gate electrode stacks are on top surfaces of the upper portions of the plurality of semiconductor bodies and laterally adjacent to sidewalls of the upper portions of the plurality of semiconductor bodies, and on the trench isolation layer on the part. A back end of line (BEOL) metallization layer is over the one or more gate electrode stacks, the BEOL metallization layer including a plurality of alternating first and second conductive line types along the same direction, wherein the first conductive The overall composition of the wire types is different from the overall composition of the second conductive wire type.

範例實施例2:範例實施例1之積體電路結構,其中第一導電線類型之線被隔離以一節距,而其中第二導電線類型之線被隔離以該節距。Example Embodiment 2: The integrated circuit structure of Example Embodiment 1, wherein the lines of the first conductive line type are separated by a pitch, and wherein the lines of the second conductive line type are separated by the pitch.

範例實施例3:範例實施例1或2之積體電路結構,其中該些複數交替的第一與第二導電線類型係位於層間電介質(ILD)層中。Example Embodiment 3: The integrated circuit structure of Example Embodiment 1 or 2, wherein the plurality of alternating first and second conductive line types are in an interlayer dielectric (ILD) layer.

範例實施例4:範例實施例1或2之積體電路結構,其中該些複數交替的第一與第二導電線類型之該些線係由空氣間隙所分離。Example Embodiment 4: The integrated circuit structure of Example Embodiment 1 or 2, wherein the lines of the plurality of alternating first and second conductive line types are separated by air gaps.

範例實施例5:範例實施例1、2、3或4之積體電路結構,其中該第一導電線類型之該總組成實質上包括銅,及其中該第二導電線類型之該總組成實質上包括選自由Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、Cu、W、Ag、Au及其合金所組成之群組的材料。Example Embodiment 5: The integrated circuit structure of Example Embodiments 1, 2, 3, or 4, wherein the overall composition of the first conductive line type comprises substantially copper, and wherein the overall composition of the second conductive line type substantially comprises copper The above includes materials selected from the group consisting of Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au and alloys thereof.

範例實施例6:範例實施例1、2、3、4或5之積體電路結構,其中該些複數交替的第一與第二導電線類型之該些線各包括沿著該線之底部及側壁的障壁層。Example Embodiment 6: The integrated circuit structure of Example Embodiments 1, 2, 3, 4, or 5, wherein the lines of the plurality of alternating first and second conductive line types each include a bottom along the line and sidewall barrier layer.

範例實施例7:範例實施例1、2、3、4或5之積體電路結構,其中該些複數交替的第一與第二導電線類型之該些線各包括沿著該線之底部但非沿著該線之側壁的障壁層。Example Embodiment 7: The integrated circuit structure of Example Embodiments 1, 2, 3, 4, or 5, wherein the lines of the plurality of alternating first and second conductive line types each include a bottom portion along the line but The barrier layer is not along the sidewall of the line.

範例實施例8:範例實施例1、2、3、4、5、6或7之積體電路結構,其中該些複數交替的第一與第二導電線類型之該些線的一或更多者被連接至下方通孔,其被連接至下方金屬化層,該下方金屬化層係介於該些一或更多閘極電極堆疊與該BEOL金屬化層之間,及其中該些複數交替的第一與第二導電線類型之該些線的一或更多者係由電介質插塞所中斷。Example Embodiment 8: The integrated circuit structure of Example Embodiments 1, 2, 3, 4, 5, 6, or 7, wherein one or more of the lines of the plurality of alternating first and second conductive line types which are connected to lower vias, which are connected to lower metallization layers between the one or more gate electrode stacks and the BEOL metallization layer, and wherein the plurality of alternating One or more of the lines of the first and second conductive line types are interrupted by dielectric plugs.

範例實施例9:範例實施例1、2、3、4、5、6、7或8之積體電路結構,其中該光柵圖案具有恆定節距。Example Embodiment 9: The integrated circuit structure of Example Embodiments 1, 2, 3, 4, 5, 6, 7, or 8, wherein the grating pattern has a constant pitch.

範例實施例10:範例實施例1、2、3、4、5、6、7、8或9之積體電路結構,進一步包括該些一或更多閘極電極堆疊之兩側上的源極或汲極區,其中該些源極或汲極區係相鄰於該些複數半導體本體之該些上部分並包括不同於該些半導體本體之該半導體材料的半導體材料。Example Embodiment 10: The integrated circuit structure of Example Embodiment 1, 2, 3, 4, 5, 6, 7, 8, or 9, further comprising source electrodes on both sides of the one or more gate electrode stacks or drain regions, wherein the source or drain regions are adjacent to the upper portions of the plurality of semiconductor bodies and include a different semiconductor material than the semiconductor material of the semiconductor bodies.

範例實施例11:範例實施例1、2、3、4、5、6、7、8或9之積體電路結構,進一步包括該些一或更多閘極電極堆疊之兩側上的源極或汲極區,其中該些源極或汲極區係位於該些複數半導體本體之該些上部分內。Example Embodiment 11: The integrated circuit structure of Example Embodiment 1, 2, 3, 4, 5, 6, 7, 8, or 9, further comprising source electrodes on both sides of the one or more gate electrode stacks or drain regions, wherein the source or drain regions are located within the upper portions of the plurality of semiconductor bodies.

範例實施例12:範例實施例1、2、3、4、5、6、7、8、9、10或11之積體電路結構,其中該些一或更多閘極電極堆疊之各者包括高k閘極電介質層及金屬閘極電極。Example Embodiment 12: The integrated circuit structure of Example Embodiments 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, or 11, wherein each of the one or more gate electrode stacks includes High-k gate dielectric layer and metal gate electrode.

範例實施例13:範例實施例1、2、3、4、5、6、7、8、9、10、11或12之積體電路結構,其中該些第一導電線類型具有上表面,其具有不同於該第二導電線類型之上表面的金屬組成之金屬組成。Example Embodiment 13: The integrated circuit structure of Example Embodiments 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, or 12, wherein the first conductive line types have upper surfaces, which having a metal composition different from the metal composition of the upper surface of the second conductive line type.

範例實施例14:一種積體電路結構包括複數從半導體基底之表面突出的半導體本體,該些複數半導體本體具有由部分本體部分所中斷的光柵圖案。溝槽隔離層係介於該些複數半導體本體之間並相鄰於該些複數半導體本體之下部分,但不相鄰於該些複數半導體本體之上部分,其中該溝槽隔離層位於該部分本體部分之上。一或更多閘極電極堆疊係於該些複數半導體本體之該些上部分的頂部表面上且側面地相鄰於該些複數半導體本體之該些上部分的側壁,以及於該溝槽隔離層之部分上。後段製程(BEOL)金屬化層係於該些一或更多閘極電極堆疊上方,該BEOL金屬化層包括沿著相同方向之複數交替的第一與第二導電線類型,其中該些複數交替的第一與第二導電線類型之線各包括沿著該線之底部但非沿著該線之側壁的障壁層。Example Embodiment 14: An integrated circuit structure includes a plurality of semiconductor bodies protruding from a surface of a semiconductor substrate, the plurality of semiconductor bodies having a grating pattern interrupted by partial body portions. The trench isolation layer is between the plurality of semiconductor bodies and adjacent to the lower part of the plurality of semiconductor bodies, but not adjacent to the upper part of the plurality of semiconductor bodies, wherein the trench isolation layer is located in the part on the body part. One or more gate electrode stacks are on top surfaces of the upper portions of the plurality of semiconductor bodies and laterally adjacent to sidewalls of the upper portions of the plurality of semiconductor bodies, and on the trench isolation layer on the part. A back end of line (BEOL) metallization layer is over the one or more gate electrode stacks, the BEOL metallization layer including a plurality of alternating first and second conductive line types along the same direction, wherein the plurality of alternating The lines of the first and second conductive line types each include barrier layers along the bottom of the line but not along the sidewalls of the line.

範例實施例15:範例實施例14之積體電路結構,其中第一導電線類型之線被隔離以一節距,而其中第二導電線類型之線被隔離以該節距。Example Embodiment 15: The integrated circuit structure of Example Embodiment 14, wherein the lines of the first conductive line type are separated by a pitch, and wherein the lines of the second conductive line type are separated by the pitch.

範例實施例16:範例實施例14或15之積體電路結構,其中該些複數交替的第一與第二導電線類型係位於層間電介質(ILD)層中。Example Embodiment 16: The integrated circuit structure of Example Embodiment 14 or 15, wherein the plurality of alternating first and second conductive line types are in an interlayer dielectric (ILD) layer.

範例實施例17:範例實施例14或15之積體電路結構,其中該些複數交替的第一與第二導電線類型之該些線係由空氣間隙所分離。Example Embodiment 17: The integrated circuit structure of Example Embodiment 14 or 15, wherein the lines of the plurality of alternating first and second conductive line types are separated by air gaps.

範例實施例18:範例實施例14、15、16或17之積體電路結構,其中該第一導電線類型之總組成係相同於該第二導電線類型之總組成。Example Embodiment 18: The integrated circuit structure of Example Embodiment 14, 15, 16 or 17, wherein the overall composition of the first conductive line type is the same as the overall composition of the second conductive line type.

範例實施例19:範例實施例14、15、16或17之積體電路結構,其中該第一導電線類型之總組成實質上包括銅,及其中該第二導電線類型之總組成實質上包括選自由Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、Cu、W、Ag、Au及其合金所組成之群組的材料。Example Embodiment 19: The integrated circuit structure of Example Embodiments 14, 15, 16, or 17, wherein the overall composition of the first conductive line type includes substantially copper, and wherein the overall composition of the second conductive line type includes substantially A material selected from the group consisting of Al, Ti, Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au and alloys thereof.

範例實施例20:範例實施例14、15、16、17、18或19之積體電路結構,其中該些複數交替的第一與第二導電線類型之該些線的一或更多者被連接至下方通孔,其被連接至下方金屬化層,該下方金屬化層係介於該些一或更多閘極電極堆疊與該BEOL金屬化層之間,及其中該些複數交替的第一與第二導電線類型之該些線的一或更多者係由電介質插塞所中斷。Example Embodiment 20: The integrated circuit structure of Example Embodiments 14, 15, 16, 17, 18, or 19, wherein one or more of the lines of the plurality of alternating first and second conductive line types are connected to an underlying via, which is connected to an underlying metallization layer between the one or more gate electrode stacks and the BEOL metallization layer, and wherein the plurality of alternating first One or more of the lines of one and the second conductive line type are interrupted by dielectric plugs.

範例實施例21:範例實施例14、15、16、17、18、19或20之積體電路結構,其中該光柵圖案具有恆定節距。Example Embodiment 21: The integrated circuit structure of Example Embodiments 14, 15, 16, 17, 18, 19, or 20, wherein the grating pattern has a constant pitch.

範例實施例22:範例實施例14、15、16、17、18、19、20或21之積體電路結構,進一步包括該些一或更多閘極電極堆疊之兩側上的源極或汲極區,其中該些源極或汲極區係相鄰於該些複數半導體本體之該些上部分並包括不同於該些半導體本體之該半導體材料的半導體材料。Example Embodiment 22: The integrated circuit structure of Example Embodiment 14, 15, 16, 17, 18, 19, 20, or 21, further comprising source or drain electrodes on both sides of the one or more gate electrode stacks electrode regions, wherein the source or drain regions are adjacent to the upper portions of the plurality of semiconductor bodies and include a semiconductor material different from the semiconductor material of the semiconductor bodies.

範例實施例23:範例實施例14、15、16、17、18、19、20或21之積體電路結構,進一步包括該些一或更多閘極電極堆疊之兩側上的源極或汲極區,其中該些源極或汲極區係位於該些複數半導體本體之該些上部分內。Example Embodiment 23: The integrated circuit structure of Example Embodiment 14, 15, 16, 17, 18, 19, 20, or 21, further comprising source or drain electrodes on both sides of the one or more gate electrode stacks regions, wherein the source or drain regions are located within the upper portions of the plurality of semiconductor bodies.

範例實施例24:範例實施例14、15、16、17、18、19、20、21、22或23之積體電路結構,其中該些一或更多閘極電極堆疊之各者包括高k閘極電介質層及金屬閘極電極。Example Embodiment 24: The integrated circuit structure of Example Embodiments 14, 15, 16, 17, 18, 19, 20, 21, 22, or 23, wherein each of the one or more gate electrode stacks includes a high-k Gate dielectric layer and metal gate electrode.

範例實施例25:一種積體電路結構包括複數從半導體基底之表面突出的半導體本體,該些複數半導體本體具有由部分本體部分所中斷的第一光柵圖案。溝槽隔離層係介於該些複數半導體本體之間並相鄰於該些複數半導體本體之下部分,但不相鄰於該些複數半導體本體之上部分,其中該溝槽隔離層位於該部分本體部分之上。一或更多閘極電極堆疊係於該些複數半導體本體之該些上部分的頂部表面上且側面地相鄰於該些複數半導體本體之該些上部分的側壁,以及於該溝槽隔離層之部分上。第一後段製程(BEOL)金屬化層係於該些一或更多閘極電極堆疊上方,該第一BEOL金屬化層包括於第一方向之交替金屬線與電介質線的第二光柵。第二BEOL金屬化層係於該第一BEOL金屬化層上方,該第二BEOL金屬化層包括於第二方向之交替金屬線與電介質線的第三光柵。該第二方向係正交於該第一方向。該第二BEOL金屬化層之該第三光柵的各金屬線係於電介質層上,該電介質層包括相應於該第一BEOL金屬化層的交替金屬線與電介質層線之第一電介質材料與第二電介質材料的交替不同區。該第二BEOL金屬化層之該第三光柵的各電介質線包括第三電介質材料之連續區,其係不同於該第一電介質材料與該第二電介質材料的該些交替不同區。Example Embodiment 25: An integrated circuit structure includes a plurality of semiconductor bodies protruding from a surface of a semiconductor substrate, the plurality of semiconductor bodies having a first grating pattern interrupted by partial body portions. The trench isolation layer is between the plurality of semiconductor bodies and adjacent to the lower part of the plurality of semiconductor bodies, but not adjacent to the upper part of the plurality of semiconductor bodies, wherein the trench isolation layer is located in the part on the body part. One or more gate electrode stacks are on top surfaces of the upper portions of the plurality of semiconductor bodies and laterally adjacent to sidewalls of the upper portions of the plurality of semiconductor bodies, and on the trench isolation layer on the part. A first back end of line (BEOL) metallization layer is over the one or more gate electrode stacks, the first BEOL metallization layer including a second grating of alternating metal and dielectric lines in a first direction. A second BEOL metallization layer is over the first BEOL metallization layer, the second BEOL metallization layer including a third grating of alternating metal and dielectric lines in a second direction. The second direction is orthogonal to the first direction. The metal lines of the third grating of the second BEOL metallization layer are attached to a dielectric layer comprising a first dielectric material and a first dielectric layer corresponding to alternating metal lines and dielectric layer lines of the first BEOL metallization layer Two alternating regions of dielectric material. Each dielectric line of the third grating of the second BEOL metallization layer includes a continuous region of third dielectric material that is different from the alternating distinct regions of the first dielectric material and the second dielectric material.

範例實施例26:範例實施例25之積體電路結構,其中該第二BEOL金屬化層之金屬線係藉由通孔而被電耦合至該第一BEOL金屬化層之金屬線,該通孔具有一與該第一BEOL金屬化層之該金屬線的中心以及與該第二BEOL金屬化層之該金屬線的中心直接對準的中心。Example Embodiment 26: The integrated circuit structure of Example Embodiment 25, wherein the metal lines of the second BEOL metallization layer are electrically coupled to the metal lines of the first BEOL metallization layer through vias, the via holes There is a center directly aligned with the center of the metal line of the first BEOL metallization layer and with the center of the metal line of the second BEOL metallization layer.

範例實施例27:範例實施例25或26之積體電路結構,其中該第二BEOL金屬化層之金屬線係藉由插塞而被中斷,該插塞具有與該第一BEOL金屬化層之電介質線的中心直接對準的中心。Example Embodiment 27: The integrated circuit structure of Example Embodiment 25 or 26, wherein the metal lines of the second BEOL metallization layer are interrupted by plugs having a connection with the first BEOL metallization layer. The center of the dielectric line is directly aligned with the center.

範例實施例28:範例實施例25、26或27之積體電路結構,其中該第一電介質材料、該第二電介質材料、及該第三電介質材料均非相同材料。Example Embodiment 28: The integrated circuit structure of Example Embodiment 25, 26 or 27, wherein the first dielectric material, the second dielectric material, and the third dielectric material are not the same material.

範例實施例29:範例實施例25、26或27之積體電路結構,其中該第一電介質材料、該第二電介質材料、及該第三電介質材料之僅兩者為相同材料。Example Embodiment 29: The integrated circuit structure of Example Embodiments 25, 26, or 27, wherein only two of the first dielectric material, the second dielectric material, and the third dielectric material are the same material.

範例實施例30:範例實施例25、26、27、28或29之積體電路結構,其中該第一電介質材料與該第二電介質材料之該些交替不同區係由接縫所分離,及其中該第三電介質材料之該連續區係藉由接縫而與該第一電介質材料和該第二電介質材料之該些交替不同區分離。Example Embodiment 30: The integrated circuit structure of Example Embodiments 25, 26, 27, 28, or 29, wherein the alternating different regions of the first dielectric material and the second dielectric material are separated by seams, and wherein The continuous region of the third dielectric material is separated from the alternating distinct regions of the first dielectric material and the second dielectric material by seams.

範例實施例31:範例實施例25、26、27或30之積體電路結構,其中該第一電介質材料、該第二電介質材料、及該第三電介質材料均為相同材料。Example Embodiment 31: The integrated circuit structure of Example Embodiment 25, 26, 27 or 30, wherein the first dielectric material, the second dielectric material, and the third dielectric material are all the same material.

範例實施例32:範例實施例25、26、27、28、29、30或31之積體電路結構,其中該第一光柵圖案具有恆定節距。Example Embodiment 32: The integrated circuit structure of Example Embodiment 25, 26, 27, 28, 29, 30, or 31, wherein the first grating pattern has a constant pitch.

範例實施例33:範例實施例25、26、27、28、29、30、31或32之積體電路結構,進一步包括該些一或更多閘極電極堆疊之兩側上的源極或汲極區,其中該些源極或汲極區係相鄰於該些複數半導體本體之該些上部分並包括不同於該些半導體本體之該半導體材料的半導體材料。Example Embodiment 33: The integrated circuit structure of Example Embodiments 25, 26, 27, 28, 29, 30, 31 or 32, further comprising source or drain electrodes on both sides of the one or more gate electrode stacks electrode regions, wherein the source or drain regions are adjacent to the upper portions of the plurality of semiconductor bodies and include a semiconductor material different from the semiconductor material of the semiconductor bodies.

範例實施例34:範例實施例25、26、27、28、29、30、31或32之積體電路結構,進一步包括該些一或更多閘極電極堆疊之兩側上的源極或汲極區,其中該些源極或汲極區係位於該些複數半導體本體之該些上部分內。Example Embodiment 34: The integrated circuit structure of Example Embodiments 25, 26, 27, 28, 29, 30, 31 or 32, further comprising source or drain electrodes on both sides of the one or more gate electrode stacks regions, wherein the source or drain regions are located within the upper portions of the plurality of semiconductor bodies.

範例實施例35:範例實施例25、26、27、28、29、30、31、32、33或34之積體電路結構,其中該些一或更多閘極電極堆疊之各者包括高k閘極電介質層及金屬閘極電極。Example Embodiment 35: The integrated circuit structure of Example Embodiments 25, 26, 27, 28, 29, 30, 31, 32, 33, or 34, wherein each of the one or more gate electrode stacks includes a high-k Gate dielectric layer and metal gate electrode.

範例實施例36:範例實施例25、26、27、28、29、30、31、32、33、34或35之積體電路結構,其中蝕刻停止層或額外電介質層係分離該第一BEOL金屬化層與該第二BEOL金屬化層。Example Embodiment 36: The integrated circuit structure of Example Embodiments 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, or 35, wherein an etch stop layer or additional dielectric layer separates the first BEOL metal and the second BEOL metallization layer.

範例實施例37:一種製造積體電路結構之方法包括形成複數骨幹特徵於基底上方,沿著該些複數骨幹特徵之各者的側壁形成第一組間隔物,該些第一組間隔物具有不同於該些複數骨幹特徵之材料組成的第一材料組成,沿著該些第一組間隔物之各者的側壁形成第二組間隔物,該些第二組間隔物具有不同於該第一材料組成且不同於該些複數骨幹特徵之該材料組成的第二材料組成,沿著該些第二組間隔物之各者的側壁形成第三組間隔物,該些第三組間隔物具有不同於該第一材料組成、不同於該第二材料組成、且不同於該些複數骨幹特徵之該材料組成的第三材料組成,沿著該些第三組間隔物之各者的側壁形成第四組間隔物,該些第四組間隔物具有該第二材料組成,形成側面地相鄰於該些第四組間隔物之各者的側壁之第五組間隔物,該第五組間隔物具有該第一材料組成,在形成該些第五組間隔物後移除該些複數骨幹特徵,在移除該些複數骨幹特徵後沿著該些第一組間隔物之各者的側壁及沿著該些第五組間隔物之各者的側壁形成第六組間隔物,該第六組間隔物具有該第二材料組成,形成最後特徵於該些第六組間隔物的相鄰對間隔物之間的各開口中,平坦化該些第一組間隔物、該些第二組間隔物、該些第三組間隔物、該些第四組間隔物、該些第五組間隔物、該些第六組間隔物、及該些最後特徵以形成目標基礎層,及使用該目標基礎層以形成半導體結構之金屬化層。Example Embodiment 37: A method of fabricating an integrated circuit structure comprising forming a plurality of backbone features over a substrate, forming a first set of spacers along sidewalls of each of the plurality of backbone features, the first set of spacers having different A first set of spacers consisting of materials of the plurality of backbone features, forming a second set of spacers along sidewalls of each of the first set of spacers, the second set of spacers having a different material than the first set of spacers a second material composition that is different from the material composition of the plurality of backbone features, forming a third set of spacers along the sidewalls of each of the second set of spacers, the third set of spacers having different The first material composition, a third material composition different from the second material composition, and a third material composition different from the material composition of the plurality of backbone features form a fourth set along the sidewalls of each of the third set of spacers spacers, the fourth set of spacers having the second material composition, forming a fifth set of spacers laterally adjacent to the sidewalls of each of the fourth set of spacers, the fifth set of spacers having the a first material consisting of removing the plurality of backbone features after forming the fifth set of spacers, along the sidewalls of each of the first set of spacers and along the The sidewalls of each of the fifth sets of spacers form a sixth set of spacers having the second material composition formed between adjacent pairs of spacers that are finally characterized by the sixth set of spacers In each opening of the first group of spacers, the second group of spacers, the third group of spacers, the fourth group of spacers, the fifth group of spacers, the Six sets of spacers, and the final features, to form a target base layer, and use the target base layer to form a metallization layer of the semiconductor structure.

範例實施例38:範例實施例37之方法,其中形成該些複數骨幹特徵包括使用標準微影操作。Example Embodiment 38: The method of Example Embodiment 37, wherein forming the plurality of backbone features includes using standard lithography operations.

範例實施例39:範例實施例37或38之方法,其中形成該些複數骨幹特徵包括形成包括一材料之複數特徵,該材料係選自由氮化矽、氧化矽及碳化矽所組成之群組。Example Embodiment 39: The method of Example Embodiment 37 or 38, wherein forming the plurality of backbone features includes forming the plurality of features comprising a material selected from the group consisting of silicon nitride, silicon oxide, and silicon carbide.

範例實施例40:範例實施例37、38或39之方法,其中形成該些第一組間隔物包括使用原子層沈積(ALD)製程以沈積與該些複數骨幹特徵共形之該些第一組間隔物的材料,各向異性地蝕刻該些第一組間隔物的該材料以沿著該些複數骨幹特徵之各者的該些側壁形成該些第一組間隔物。Example Embodiment 40: The method of example embodiment 37, 38, or 39, wherein forming the first sets of spacers comprises using an atomic layer deposition (ALD) process to deposit the first sets of the plurality of backbone features conformal a material of spacers, anisotropically etching the material of the first set of spacers to form the first set of spacers along the sidewalls of each of the plurality of backbone features.

範例實施例41:範例實施例37、38或39之方法,其中形成該些第一組間隔物包括沿著該些複數骨幹特徵之各者的該些側壁選擇性地生長該些第一組間隔物的材料。Example Embodiment 41: The method of example embodiment 37, 38, or 39, wherein forming the first set of spacers comprises selectively growing the first set of spacers along the sidewalls of each of the plurality of backbone features material of the thing.

範例實施例42:範例實施例37、38、39、40或41之方法,其中各最後特徵具有大於來自該些第一組間隔物、該些第二組間隔物、該些第三組間隔物、該些第四組間隔物、該些第五組間隔物、及該些第六組間隔物之各間隔物的側面寬度之側面寬度。Exemplary Embodiment 42: The method of Exemplary Embodiments 37, 38, 39, 40, or 41, wherein each final feature has a greater value from the first set of spacers, the second set of spacers, the third set of spacers , the side width of the side widths of the spacers of the fourth group of spacers, the fifth group of spacers, and the spacers of the sixth group of spacers.

範例實施例43:範例實施例37、38、39、40、41或42之方法,其中各最後特徵係藉由沿著該些第六組間隔物之相鄰對間隔物所形成的材料生長之合併來形成。Example Embodiment 43: The method of Example Embodiments 37, 38, 39, 40, 41, or 42, wherein each final feature is grown by material formed along adjacent pairs of spacers of the sixth set of spacers. merged to form.

範例實施例44:範例實施例37、38、39、40、41、42或43之方法,其中各最後特徵包括該第三材料組成。Example Embodiment 44: The method of Example Embodiments 37, 38, 39, 40, 41, 42, or 43, wherein each final feature includes the third material composition.

範例實施例45:範例實施例37、38、39、40、41、42、43或44之方法,其中使用該目標基礎層以形成該半導體結構之該金屬化層包括移除該第一材料組成之所有部分以形成第一複數溝槽,及形成第一複數導電線於該些第一複數溝槽中。Example Embodiment 45: The method of Example Embodiment 37, 38, 39, 40, 41, 42, 43, or 44, wherein using the target base layer to form the metallization layer of the semiconductor structure includes removing the first material composition All parts of the first plurality of trenches are formed, and a first plurality of conductive lines are formed in the first plurality of trenches.

範例實施例46:範例實施例45之方法,其中使用該目標基礎層以形成該半導體結構之該金屬化層進一步包括移除該第三材料組成之所有部分以形成第二複數溝槽,及形成第二複數導電線於該些第二複數溝槽中。Example Embodiment 46: The method of Example Embodiment 45, wherein using the target base layer to form the metallization layer of the semiconductor structure further comprises removing all portions of the third material composition to form a second plurality of trenches, and forming The second plurality of conductive lines are in the second plurality of trenches.

範例實施例47:範例實施例46之方法,其中該些第一複數導電線與該些第二複數導電線為相同組成。Example Embodiment 47: The method of Example Embodiment 46, wherein the first plurality of conductive lines and the second plurality of conductive lines are of the same composition.

範例實施例48:範例實施例46之方法,其中該些第一複數導電線與該些第二複數導電線為不同組成。Example Embodiment 48: The method of Example Embodiment 46, wherein the first plurality of conductive lines and the second plurality of conductive lines are of different compositions.

範例實施例49:範例實施例37、38、39、40、41、42、43、44、45、46、47或48之方法,進一步包括形成額外20-200組間隔物在形成該些第五組間隔物與該些第六組間隔物之間,且在移除該些複數骨幹特徵之前。Example Embodiment 49: The method of example embodiments 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, or 48, further comprising forming an additional 20-200 sets of spacers before forming the fifth between the set of spacers and the sixth set of spacers, and before removing the plurality of backbone features.

範例實施例50:一種用以製造積體電路結構之目標結構包括基底上方之硬遮罩層上方的第一組間隔物,該些第一組間隔物具有第一材料組成。第二組間隔物係沿著該些第一組間隔物之各者的外側壁,該些第二組間隔物具有不同於該第一材料組成之第二材料組成。第三組間隔物係沿著該些第二組間隔物之各者的側壁,該些第三組間隔物具有不同於該第一材料組成、且不同於該第二材料組成之第三材料組成。第四組間隔物係沿著該些第三組間隔物之各者的側壁,該些第四組間隔物具有該第二材料組成。第五組間隔物係側面地相鄰於該些第四組間隔物之各者的側壁,該些第五組間隔物具有該第一材料組成。第六組間隔物係沿著該些第一組間隔物之各者的內側壁且沿著該些第五組間隔物之各者的側壁,該些第六組間隔物具有該第二材料組成。最後特徵係於該些第六組間隔物的相鄰對間隔物之間的各開口中。Example Embodiment 50: A target structure for fabricating an integrated circuit structure includes a first set of spacers over a hard mask layer over a substrate, the first set of spacers having a first material composition. A second set of spacers is along the outer sidewall of each of the first set of spacers, the second set of spacers having a second material composition different from the first material composition. A third set of spacers are along the sidewalls of each of the second set of spacers, the third set of spacers having a third material composition different from the first material composition and different from the second material composition . A fourth set of spacers is along the sidewall of each of the third set of spacers, the fourth set of spacers having the second material composition. A fifth set of spacers is laterally adjacent to a sidewall of each of the fourth set of spacers, the fifth set of spacers having the first material composition. A sixth set of spacers is along the inner sidewall of each of the first set of spacers and along the sidewall of each of the fifth set of spacers, the sixth set of spacers having the second material composition . The final feature is in each opening between adjacent pairs of spacers of the sixth set of spacers.

範例實施例51:範例實施例50之目標結構,其中該些第一組間隔物、該些第二組間隔物、該些第三組間隔物、該些第四組間隔物、該些第五組間隔物、該些第六組間隔物、與該些最後特徵係實質上彼此共面的。Example Embodiment 51: The target structure of Example Embodiment 50, wherein the first set of spacers, the second set of spacers, the third set of spacers, the fourth set of spacers, the fifth set of spacers The set of spacers, the sixth set of spacers, and the final features are substantially coplanar with each other.

範例實施例52:範例實施例50或51之目標結構,其中各最後特徵具有大於來自該些第一組間隔物、該些第二組間隔物、該些第三組間隔物、該些第四組間隔物、該些第五組間隔物、及該些第六組間隔物之各間隔物的側面寬度之側面寬度。Exemplary Embodiment 52: The target structure of Exemplary Embodiments 50 or 51, wherein each final feature has a greater value from the first set of spacers, the second set of spacers, the third set of spacers, the fourth set of spacers The side width of the side width of each spacer of the set of spacers, the fifth set of spacers, and the sixth set of spacers.

範例實施例53:範例實施例52之目標結構,其中各最後特徵之該側面寬度係於6-12奈米之範圍中。Example Embodiment 53: The target structure of Example Embodiment 52, wherein the side width of each final feature is in the range of 6-12 nm.

範例實施例54:範例實施例50、51、52或53之目標結構,其中各最後特徵具有大約集中於該最後特徵內之接縫。Example Embodiment 54: The target structure of example embodiments 50, 51, 52, or 53, wherein each final feature has a seam approximately centered within the final feature.

範例實施例55:範例實施例50、51、52、53或54之目標結構,其中各最後特徵包括該第三材料組成。Example Embodiment 55: The target structure of example embodiments 50, 51, 52, 53, or 54, wherein each final feature includes the third material composition.

100‧‧‧開始結構102‧‧‧層間電介質(ILD)層104‧‧‧硬遮罩材料層106‧‧‧圖案化遮罩108‧‧‧間隔物110‧‧‧圖案化硬遮罩402‧‧‧大塊半導體基底404‧‧‧第一圖案化硬遮罩406‧‧‧節距408‧‧‧第二硬遮罩層410‧‧‧選擇性刷材料層414‧‧‧第一聚合物區塊416‧‧‧第二聚合物區塊416A‧‧‧部分416B‧‧‧部分418,418’,418”‧‧‧鰭片420‧‧‧圖案化基底422‧‧‧表面424‧‧‧第二圖案化硬遮罩層426‧‧‧所得節距428,428’,428”‧‧‧層間電介質(ILD)層430‧‧‧圖案化遮罩432‧‧‧開口434‧‧‧邊緣布局誤差(EPE)436‧‧‧切割尺寸438‧‧‧位置440‧‧‧位準442‧‧‧位準444‧‧‧位準446‧‧‧突出部分448‧‧‧凹陷450‧‧‧圖案化遮罩452‧‧‧開口454‧‧‧位置456‧‧‧位準458‧‧‧位準460‧‧‧位準462‧‧‧位準464‧‧‧突出部分466‧‧‧凹陷468,468’,468”‧‧‧層間電介質(ILD)層472‧‧‧突出部分474‧‧‧下鰭片部分476‧‧‧凹陷高度600‧‧‧半導體結構或裝置602‧‧‧基底604‧‧‧突出鰭片部分604A,604B‧‧‧源極和汲極區605‧‧‧子鰭片區606‧‧‧隔離區608‧‧‧閘極線614‧‧‧閘極接點616‧‧‧上方閘極接點通孔650‧‧‧閘極電極652‧‧‧閘極電介質層654‧‧‧電介質層蓋層660‧‧‧上方金屬互連670‧‧‧層間電介質堆疊或層680‧‧‧介面699‧‧‧殘餘突出部分700‧‧‧目標基礎層702‧‧‧圖案化層704‧‧‧硬遮罩層706‧‧‧轉移層708‧‧‧基底710‧‧‧骨幹特徵712‧‧‧中間群組714‧‧‧第二材料類型的小特徵716‧‧‧第一材料類型的小特徵718‧‧‧第三材料類型的小特徵750‧‧‧目標基礎層752‧‧‧圖案化層754‧‧‧硬遮罩層756‧‧‧轉移層758‧‧‧基底760‧‧‧骨幹特徵762‧‧‧中間群組764‧‧‧第一材料類型的小特徵766‧‧‧第二材料類型的小特徵768‧‧‧第三材料類型的小特徵802‧‧‧基底804‧‧‧轉移層806‧‧‧硬遮罩層808‧‧‧骨幹特徵810‧‧‧第一組小特徵812‧‧‧第二組小特徵814‧‧‧第三組小特徵816‧‧‧第四組小特徵818‧‧‧額外間隔物層820‧‧‧開口822‧‧‧開口824‧‧‧間隔物826‧‧‧間隔物828‧‧‧最後寬特徵830‧‧‧金屬線圖案化特徵830’‧‧‧金屬線832‧‧‧下方通孔圖案化特徵834‧‧‧層836‧‧‧上覆硬遮罩蓋層838‧‧‧第二金屬線圖案化特徵840‧‧‧下方第二通孔圖案化特徵842‧‧‧上覆硬遮罩蓋層850‧‧‧插塞材料900‧‧‧開始點結構902‧‧‧硬遮罩層904‧‧‧犧牲層906‧‧‧層間電介質(ILD)層908‧‧‧圖案化硬遮罩層910‧‧‧圖案化犧牲層912‧‧‧第一線開口914‧‧‧線端區916‧‧‧通孔開口918‧‧‧圖案化ILD層920‧‧‧互連線922‧‧‧導電通孔924‧‧‧線端開口926‧‧‧間隔物材料層928‧‧‧間隔物930‧‧‧線端佔位部分932‧‧‧插塞佔位層934‧‧‧插塞佔位936‧‧‧互連線938‧‧‧導電通孔940‧‧‧圖案化ILD層942‧‧‧線端開口946/948‧‧‧層間電介質(ILD)層999‧‧‧半導體結構1000‧‧‧開始結構1002‧‧‧金屬線1002’‧‧‧線1004‧‧‧層間電介質線(ILD)1006‧‧‧額外膜1008‧‧‧額外膜1010‧‧‧層間電介質(ILD)線1012‧‧‧硬遮罩1014‧‧‧表面修飾層1016‧‧‧中間線1016A‧‧‧聚合物1016B‧‧‧聚合物1018‧‧‧永久層間電介質(ILD)層1020‧‧‧硬遮罩層1022A,1022B,1022C‧‧‧通孔位置1024A,1024B,1024C‧‧‧通孔1026‧‧‧ILD層1026’‧‧‧凹陷的ILD層1028A,1028B,1028C‧‧‧插塞位置1030‧‧‧金屬線1032‧‧‧通孔1090‧‧‧第一材料類型1092‧‧‧第二材料類型1097‧‧‧接縫1099‧‧‧接縫1100‧‧‧開始結構1102‧‧‧金屬線1102’‧‧‧線1104‧‧‧層間電介質線(ILD)1106‧‧‧額外膜1108‧‧‧額外膜1110‧‧‧結構1112‧‧‧結構1114‧‧‧結構1116‧‧‧共形層1118‧‧‧結構1119‧‧‧ILD材料層1120‧‧‧永久層間電介質(ILD)線1120’‧‧‧凹陷的ILD線1122‧‧‧結構1123‧‧‧共形材料層1124‧‧‧硬遮罩層1126‧‧‧結構1128‧‧‧永久ILD線1128’‧‧‧凹陷的ILD線1130‧‧‧結構1132‧‧‧溝槽1134‧‧‧材料層1136‧‧‧遮罩1136A‧‧‧光抗蝕劑層1136B‧‧‧抗反射塗層(ARC)1136C‧‧‧地形遮蔽部分1137‧‧‧開口1138‧‧‧第二遮罩1140‧‧‧金屬線1142,1144‧‧‧保留的插塞1199‧‧‧接縫1200‧‧‧半導體結構層1202‧‧‧金屬線1204‧‧‧層間電介質(ILD)線1206‧‧‧第一分子物種1208‧‧‧第二分子物種1210‧‧‧A/B表面1212‧‧‧C表面1214‧‧‧三區塊共聚物1220‧‧‧分離結構1222‧‧‧第一區1224‧‧‧第二區1226‧‧‧第三區1250‧‧‧分離三區塊BCP1252‧‧‧軸1260‧‧‧開始結構1262‧‧‧金屬線1262’‧‧‧線1264‧‧‧層間電介質線1266‧‧‧額外膜1268‧‧‧額外膜1270‧‧‧三區塊共聚物層1272‧‧‧區1274‧‧‧第二區1276‧‧‧第三區1280‧‧‧層1282‧‧‧層1290‧‧‧微影1292‧‧‧某些1294‧‧‧區1302‧‧‧金屬線1304‧‧‧電介質層1306‧‧‧範例1308,1310‧‧‧插塞1314‧‧‧電介質線1400‧‧‧開始點結構1402‧‧‧金屬線1404‧‧‧中間層間電介質(ILD)線1406‧‧‧插塞蓋層1408‧‧‧第一階金屬線1410‧‧‧硬遮罩層1412‧‧‧第二硬遮罩層1414‧‧‧溝槽1416‧‧‧第二ILD線1418‧‧‧開口1420‧‧‧光桶1422‧‧‧通孔位置1424‧‧‧區1426‧‧‧硬遮罩層1428‧‧‧光桶1430‧‧‧非插塞位置1432‧‧‧區1434‧‧‧通孔開口1436‧‧‧金屬線1438‧‧‧通孔1496‧‧‧接縫1497‧‧‧接縫1498‧‧‧接縫1499‧‧‧接縫1500‧‧‧開始插塞柵格結構1502‧‧‧ILD層1504‧‧‧第一硬遮罩層1506‧‧‧第三硬遮罩層1508‧‧‧第二硬遮罩層1510‧‧‧開口1512‧‧‧光桶1514‧‧‧插塞位置1516‧‧‧插塞1600‧‧‧開始點結構1602‧‧‧層間電介質(ILD)層1604‧‧‧硬遮罩層1606‧‧‧第一金屬線1607‧‧‧導電通孔1608‧‧‧溝槽1608A‧‧‧側壁1608B‧‧‧底部1610‧‧‧非共形電介質蓋層1610A‧‧‧第一部分1610B‧‧‧第二部分1612‧‧‧第二金屬線1613‧‧‧通孔1614‧‧‧第一硬遮罩層1616‧‧‧第二硬遮罩層1630‧‧‧開始點結構1632‧‧‧層間電介質(ILD)層1634‧‧‧硬遮罩層1636‧‧‧第一金屬線1636A‧‧‧突出部分1637‧‧‧導電通孔1638‧‧‧電介質間隔物1640‧‧‧犧牲硬遮罩層1642‧‧‧溝槽1644‧‧‧犧牲材料1646‧‧‧非共形電介質蓋層1648‧‧‧犧牲蓋層1650‧‧‧位置1652‧‧‧通孔位置1654‧‧‧第二金屬線1656‧‧‧導電通孔1658‧‧‧第一佔位材料1660‧‧‧第二佔位材料1662‧‧‧第一硬遮罩層1664‧‧‧第二硬遮罩層1666‧‧‧上ILD層1668‧‧‧開口1670‧‧‧導電通孔1672‧‧‧部分1700‧‧‧開始點結構1702‧‧‧層間電介質(ILD)層1704‧‧‧硬遮罩層1706‧‧‧第一金屬線1706A‧‧‧突出部分1707‧‧‧導電通孔1708‧‧‧電介質間隔物1710‧‧‧犧牲硬遮罩層1712‧‧‧溝槽1714‧‧‧犧牲材料1715‧‧‧凹陷犧牲材料1716‧‧‧非共形電介質蓋層1716A‧‧‧上部分1722‧‧‧通孔位置1724‧‧‧第二金屬線1726‧‧‧導電通孔1728‧‧‧第一佔位材料1730‧‧‧第二佔位材料1732‧‧‧第一硬遮罩層1734‧‧‧第二硬遮罩層1736‧‧‧上ILD層1738‧‧‧開口1740‧‧‧導電通孔1742‧‧‧部分1800‧‧‧開始點結構1802‧‧‧金屬線1804‧‧‧電介質線1806‧‧‧蝕刻停止層1808‧‧‧層間電介質層1810‧‧‧圖案化硬遮罩1812‧‧‧圖案化的層間電介質層1814‧‧‧金屬線區1816‧‧‧硬遮罩層1818‧‧‧蝕刻停止層1820‧‧‧圖案累積層1822‧‧‧圖案化硬遮罩1824‧‧‧硬遮罩1826‧‧‧一次圖案化記憶體層1828‧‧‧阻擋線1830‧‧‧絕緣間隔物形成材料層1832‧‧‧間隔物1834‧‧‧二次圖案化記憶體層1836‧‧‧圖案化蝕刻停止層1838‧‧‧圖案化硬遮罩層1840‧‧‧二次圖案化層間電介質層1842‧‧‧圖案化蝕刻停止層1844‧‧‧通孔位置1846‧‧‧位置1848‧‧‧金屬通孔1850‧‧‧金屬線1900‧‧‧開始點結構1900’‧‧‧結構1900”‧‧‧結構1902‧‧‧金屬線1904‧‧‧電介質線1906‧‧‧硬遮罩層1908‧‧‧下一圖案化層1910‧‧‧蝕刻停止層1912‧‧‧電介質層1914‧‧‧光柵結構1916‧‧‧圖案化電介質層1918‧‧‧圖案化蝕刻停止層1920‧‧‧通孔位置1922‧‧‧圖案化硬遮罩1924‧‧‧區1926‧‧‧圖案化微影遮罩1928‧‧‧區1930‧‧‧下方結構1932‧‧‧金屬通孔1934‧‧‧金屬線1936‧‧‧金屬線1938‧‧‧金屬線1940‧‧‧硬遮罩層2000‧‧‧開始點結構2002‧‧‧層間電介質(ILD)材料層2004‧‧‧第一硬遮罩層2006‧‧‧第二硬遮罩層2008‧‧‧第三硬遮罩層2010‧‧‧微影圖案化遮罩2012‧‧‧圖案化硬遮罩層2014‧‧‧圖案化ILD層2016‧‧‧圖案化硬遮罩層2018‧‧‧金屬線2020‧‧‧導電通孔2100‧‧‧金屬化層2102‧‧‧金屬線2103‧‧‧下方通孔2104‧‧‧電介質層2105‧‧‧線端或插塞區2106‧‧‧線溝槽2108‧‧‧通孔溝槽2110‧‧‧硬遮罩層2112‧‧‧線溝槽2114‧‧‧通孔溝槽2120‧‧‧下方金屬化層2122‧‧‧金屬線2124‧‧‧電介質層2126‧‧‧層間電介質(ILD)材料層2128,2128’‧‧‧線溝槽2130‧‧‧下部分2130’‧‧‧圖案化下部分2132A,2132B‧‧‧通孔溝槽2134‧‧‧犧牲材料2134’‧‧‧已圖案化及已填充犧牲材料2136‧‧‧圖案化硬遮罩層2138‧‧‧電介質材料2140A,2140B‧‧‧電介質插塞2142‧‧‧金屬線2144‧‧‧導電通孔2150‧‧‧接縫2152‧‧‧電介質插塞2152A,2152B‧‧‧電介質插塞2154’‧‧‧圖案化電介質層2202‧‧‧基底或層2204‧‧‧孔/溝槽2206‧‧‧佔位材料2208‧‧‧少量凹陷2210‧‧‧圖案化層2212‧‧‧開口2214‧‧‧再暴露孔/溝槽2216‧‧‧材料層2252‧‧‧金屬化層2254‧‧‧金屬線2256,2258‧‧‧ILD材料2260‧‧‧犧牲佔位材料2262‧‧‧遮罩層2264‧‧‧開口2266‧‧‧通孔位置2300‧‧‧開始結構2302‧‧‧層間電介質(ILD)層2302’‧‧‧圖案化的ILD層2304‧‧‧第一硬遮罩材料層2306‧‧‧圖案化遮罩2308‧‧‧間隔物2310‧‧‧第一圖案化硬遮罩2312‧‧‧第二圖案化硬遮罩2314‧‧‧硬遮罩蓋層2316‧‧‧第一圖案化硬遮罩2318‧‧‧光桶2320‧‧‧通孔位置2322‧‧‧硬遮罩材料2324‧‧‧光桶2326‧‧‧位置2328‧‧‧通孔開口2330‧‧‧金屬線開口2332‧‧‧金屬化2334‧‧‧上區2350‧‧‧開始柵格結構2351‧‧‧基底2352‧‧‧光柵ILD層2354‧‧‧第一硬遮罩層2356‧‧‧第二硬遮罩層2358‧‧‧開口2358’‧‧‧上開口2360‧‧‧電介質層2362‧‧‧光桶2364‧‧‧通孔位置2364’‧‧‧開口2366‧‧‧剩餘開口2400‧‧‧開始點結構2402‧‧‧金屬線2404‧‧‧中間層間電介質(ILD)線2406‧‧‧第一階金屬線2408‧‧‧ILD材料層2410‧‧‧硬遮罩層2412‧‧‧溝槽2414‧‧‧圖案化的ILD層2416‧‧‧光桶2418‧‧‧通孔位置2420‧‧‧最後ILD材料2422‧‧‧金屬線2424‧‧‧通孔2450‧‧‧單一平面2497‧‧‧接縫2498‧‧‧接縫2499‧‧‧接縫2500‧‧‧開始結構2502‧‧‧層間電介質(ILD)層2502’‧‧‧圖案化的ILD層2504‧‧‧第一硬遮罩材料層2506‧‧‧圖案化遮罩2508‧‧‧間隔物2510‧‧‧溝槽2512‧‧‧第一顏色光桶2512A‧‧‧選定的第一顏色光桶2513A‧‧‧選定的通孔開口2514‧‧‧溝槽2516‧‧‧第二顏色光桶材料層2518‧‧‧第二顏色光桶2518A,2518B‧‧‧選定的第二顏色光桶2519A,2519B‧‧‧通孔開口2520‧‧‧第二硬遮罩2521‧‧‧紅色大量曝光2522‧‧‧第三硬遮罩2523‧‧‧綠色大量曝光2524‧‧‧通孔位置2526‧‧‧金屬線溝槽2600‧‧‧傳統BEOL金屬化層2602‧‧‧層間電介質層2604‧‧‧導電線或路由2606‧‧‧切割、中斷或插塞2608‧‧‧上或下層路由2610‧‧‧導電線2612‧‧‧導電通孔2650‧‧‧BEOL金屬化層2652‧‧‧層間電介質層2654‧‧‧導電線或路由2656‧‧‧切割、中斷或插塞2658‧‧‧導電片2700‧‧‧基底2702‧‧‧層間電介質(ILD)層2704‧‧‧覆蓋硬遮罩2706‧‧‧第一光柵硬遮罩2706’‧‧‧部分2708‧‧‧第二光柵硬遮罩2710‧‧‧上覆硬遮罩2712‧‧‧光桶2714‧‧‧第一次圖案化硬遮罩2715‧‧‧第二次圖案化硬遮罩2716‧‧‧電介質區2718‧‧‧光桶2720‧‧‧第三次圖案化硬遮罩2722‧‧‧圖案化ILD層2724‧‧‧導電線2726‧‧‧插塞2728‧‧‧導電片2800‧‧‧金屬層2802‧‧‧覆蓋硬遮罩層2804‧‧‧第一光柵硬遮罩2806‧‧‧第二光柵硬遮罩2808‧‧‧上覆硬遮罩2810‧‧‧第三硬遮罩2812‧‧‧第四硬遮罩2814‧‧‧開口2816‧‧‧光桶2818‧‧‧蝕刻溝槽2820‧‧‧第一次圖案化硬遮罩2822‧‧‧第一次圖案化金屬層2824‧‧‧導電通孔2826‧‧‧深硬遮罩區2828‧‧‧淺硬遮罩區2830‧‧‧開口2832‧‧‧光桶2834‧‧‧第二次圖案化硬遮罩2836‧‧‧溝槽2838‧‧‧深硬遮罩區2840‧‧‧淺硬遮罩區2842‧‧‧第三次圖案化硬遮罩2844‧‧‧第二次圖案化金屬層2846‧‧‧深硬遮罩區2848‧‧‧開口2850‧‧‧光桶2852‧‧‧第四次圖案化硬遮罩2854‧‧‧溝槽2856‧‧‧第三次圖案化金屬層2858‧‧‧通孔蓋2860‧‧‧ILD2861‧‧‧ILD回填2862‧‧‧插塞區2864‧‧‧導電線2866‧‧‧導電片2899‧‧‧ILD層2902‧‧‧基底2904‧‧‧預圖案化硬遮罩2906‧‧‧二階段烘烤光抗蝕劑2907‧‧‧曝光2908‧‧‧移位的空中影像2912‧‧‧封閉光桶2920‧‧‧開口2950‧‧‧部分地清除2952‧‧‧殘餘光抗蝕劑2954‧‧‧光桶3002,3002’,3002”‧‧‧第一光桶3004,3004’,3004”‧‧‧第二光桶3006,3006’‧‧‧曝光3010,3010’,3010”‧‧‧光酸產生(PAG)成分3012‧‧‧光基產生成分3014‧‧‧抑制劑3020,3022‧‧‧已擴散材料3024,3026‧‧‧材料3028,3030‧‧‧材料3032‧‧‧已清除光桶3034‧‧‧已阻擋光桶3050‧‧‧嫁接光基產生成分3060‧‧‧層3100‧‧‧圖案3102‧‧‧ILD線3104‧‧‧抗蝕劑線3106‧‧‧孔3202‧‧‧ILD材料3204‧‧‧溝槽3206‧‧‧化學放大的光抗蝕劑3208‧‧‧區3210‧‧‧預催化劑層3212‧‧‧電介質材料3212A,3212B‧‧‧部分3214‧‧‧交聯區3216‧‧‧金屬填充層3218‧‧‧金屬特徵3300‧‧‧三矽雜環己烷3320‧‧‧交聯材料3340‧‧‧鏈結三矽雜環己烷結構3400‧‧‧開始結構3402‧‧‧層間電介質(ILD)層3402’,3402”,3402’’’,3402’’’’,3402’’’’’‧‧‧圖案化ILD層3404‧‧‧第一硬遮罩材料層3406‧‧‧圖案化遮罩3408‧‧‧間隔物3410‧‧‧第一圖案化硬遮罩3412‧‧‧第二圖案化硬遮罩3414‧‧‧硬遮罩蓋層3416‧‧‧第一圖案化硬遮罩3418‧‧‧第四硬遮罩層3420‧‧‧第一對角線硬遮罩層3422‧‧‧最接近相鄰距離3424‧‧‧光桶3426‧‧‧通孔位置3428‧‧‧硬遮罩材料3430‧‧‧光桶3432‧‧‧通孔位置3434‧‧‧犧牲材料3436‧‧‧溝槽3438‧‧‧第二對角線硬遮罩層3440‧‧‧光桶3442‧‧‧最接近相鄰距離3444‧‧‧溝槽3446‧‧‧硬遮罩材料層3448‧‧‧光桶3450‧‧‧位置3452‧‧‧溝槽3454‧‧‧金屬化3456‧‧‧金屬特徵3502‧‧‧第一預圖案化硬遮罩3504‧‧‧第二預圖案化硬遮罩3506‧‧‧下方層3508‧‧‧開口3510‧‧‧光抗蝕劑層部分3512‧‧‧選定者3514‧‧‧微影曝光3516‧‧‧開口3602‧‧‧第一預圖案化硬遮罩3604‧‧‧第二預圖案化硬遮罩3610‧‧‧光抗蝕劑層部分3616‧‧‧開口3650A,3650B,3650C,3650D,3650E‧‧‧重疊影像3652A‧‧‧上半區3654A‧‧‧下半區3656A,3656B,3656C,3656D,3656E‧‧‧寬未暴露特徵3658A,3658B,3658C,3658D,3658E‧‧‧寬未暴露特徵3697‧‧‧度量衡結構3698‧‧‧層1特徵3699‧‧‧層2特徵3702‧‧‧第一預圖案化硬遮罩3704‧‧‧第二預圖案化硬遮罩3710‧‧‧光抗蝕劑層部分3716‧‧‧開口3750A,3750B,3750C,3750D,3750E‧‧‧重疊影像3760A,3760B,3760C,3760D,3760E‧‧‧區3800‧‧‧基底3801‧‧‧微影遮罩結構3802‧‧‧圖案化吸收劑層3804‧‧‧上層3806‧‧‧圖案化移位器層3808‧‧‧最上表面3810‧‧‧晶粒中區3812‧‧‧最上表面3814‧‧‧最上表面3820‧‧‧框區3830‧‧‧晶粒框介面區3840‧‧‧雙層堆疊3900‧‧‧電子束行3902‧‧‧電子源3904‧‧‧電子之束3906‧‧‧限制孔徑3908‧‧‧照明光學裝置3910‧‧‧輸出束3912‧‧‧狹縫3914‧‧‧薄透鏡3916‧‧‧成型孔徑3918‧‧‧消除器孔徑陣列(BAA)3920‧‧‧部分3921‧‧‧束部分3922‧‧‧最後孔徑3924‧‧‧級回饋偏轉器3926‧‧‧所得的電子束3928‧‧‧點3930‧‧‧晶圓3932‧‧‧級掃描3934‧‧‧箭號4000‧‧‧孔徑4002‧‧‧線4004‧‧‧箭號4006‧‧‧邊緣布局誤差(EPE)4100,4102‧‧‧孔徑4104,4106‧‧‧線4108‧‧‧箭號4110‧‧‧EPE4112‧‧‧距離需求4114‧‧‧間隔4200‧‧‧BAA4202,4204‧‧‧行4206‧‧‧孔徑4208‧‧‧線4210‧‧‧方向4300‧‧‧線4302‧‧‧開線位置4304‧‧‧通孔4306‧‧‧切割4310‧‧‧BAA4312‧‧‧掃描方向4350‧‧‧堆疊4352‧‧‧金屬化層4354,4356,4358,4360,4362,4364,4366,4368‧‧‧金屬層4370,4372‧‧‧金屬線4374‧‧‧通孔位置4400‧‧‧計算裝置4402‧‧‧電路板4404‧‧‧處理器4406‧‧‧通訊晶片4500‧‧‧插入器4502‧‧‧第一基底4504‧‧‧第二基底4506‧‧‧球柵陣列(BGA)4508‧‧‧金屬互連4510‧‧‧通孔4512‧‧‧穿越矽通孔(TSV)4514‧‧‧嵌入式裝置 100‧‧‧Starting Structure 102‧‧‧Interlayer Dielectric (ILD) Layer 104‧‧‧Hard Mask Material Layer 106‧‧‧Patterned Mask 108‧‧‧Spacers 110‧‧‧Patterned Hard Mask 402‧ ‧‧Bulk semiconductor substrate 404‧‧‧First patterned hard mask 406‧‧‧Pitch 408‧‧‧Second hard mask layer 410‧‧‧Selective brush material layer 414‧‧‧First polymer Block 416‧‧‧Second Polymer Block 416A‧‧‧Part 416B‧‧‧Part 418, 418', 418"‧‧‧Fin 420‧‧‧Patterned Base 422‧‧‧Surface 424‧‧‧ Second patterned hard mask layer 426‧‧‧resulting pitch 428, 428', 428"‧‧‧Interlayer dielectric (ILD) layer 430‧‧‧patterned mask 432‧‧‧opening 434‧‧‧edge layout Error (EPE) 436‧‧‧Cutting Size 438‧‧‧Position 440‧‧‧Level 442‧‧‧Level 444‧‧‧Level 446‧‧‧Protrusion 448‧‧‧Depression 450‧‧‧Patterning Mask 452‧‧‧Opening 454‧‧‧Position 456‧‧‧Level 458‧‧‧Level 460‧‧‧Level 462‧‧‧Level 464‧‧‧Protrusion 466‧‧‧Recess 468, 468 ', 468"‧‧‧Interlayer Dielectric (ILD) Layer 472‧‧‧Protrusion 474‧‧‧Lower Fin Section 476‧‧‧Recess Height 600‧‧‧Semiconductor Structure or Device 602‧‧‧Substrate 604‧‧‧ Protruding Fin Portions 604A, 604B‧‧‧Source and Drain Regions 605‧‧‧Sub-fin Regions 606‧‧‧Isolation Regions 608‧‧‧Gate Lines 614‧‧‧Gate Contacts 616‧‧Over Gate Pole Contact Vias 650‧‧‧Gate Electrode 652‧‧‧Gate Dielectric Layer 654‧‧‧Dielectric Layer Cap 660‧‧‧Overlying Metal Interconnect 670‧‧‧Interlayer Dielectric Stack or Layer 680‧‧‧Interface 699‧‧‧Residual Overhangs 700‧‧‧Target Base Layer 702‧‧‧Patterning Layer 704‧‧‧Hard Mask Layer 706‧‧‧Transfer Layer 708‧‧‧Substrate 710‧‧‧Backbone Features 712‧‧‧ Middle Group 714‧‧‧Small Features of Second Material Type 716‧‧‧Small Features of First Material Type 718‧‧‧Small Features of Third Material Type 750‧‧‧Target Base Layer 752‧‧‧Patterned Layer 754‧‧‧Hard Mask Layer 756‧‧‧Transfer Layer 758‧‧‧Substrate 760‧‧‧Backbone Features 762‧‧‧Intermediate Group 764‧‧‧Small Features of First Material Type 766‧‧‧Second Material Types of Small Features 768‧‧‧Small Features of Third Material Type 802‧‧‧Substrate 804‧‧‧Transfer Layer 806‧‧‧Hard Mask Layer 808 ‧‧‧Second set of small features 814‧‧‧Third set of small features 816‧‧‧Fourth set of small features 818 ‧‧‧Additional Spacer Layer 820‧‧‧Opening 822‧‧‧Opening 824‧‧‧Spacer 826‧‧‧Spacer 828‧‧‧Final Wide Feature 830‧‧‧Metal Line Patterning Feature 830'‧‧‧ Metal Line 832‧‧‧Lower Via Patterned Feature 834‧‧‧Layer 836‧‧‧Overlying Hard Mask Cap Layer 838‧‧‧Second Metal Line Patterned Feature 840‧‧‧Lower Second Via Patterned Features 842‧‧‧Overlying Hard Mask Cap Layer 850‧‧‧Plug Material 900‧‧‧Starting Point Structure 902‧‧‧Hard Mask Layer 904‧‧‧Sacrificial Layer 906‧‧‧Interlayer Dielectric (ILD) Layer 908‧‧‧Patterned hard mask layer 910‧‧‧Patterned sacrificial layer 912‧‧‧First line opening 914‧‧‧Line termination area 916‧‧‧Through hole opening 918‧‧‧Patterned ILD layer 920‧ ‧‧Interconnection line 922‧‧‧Conductive hole 924‧‧‧Wire end opening 926‧‧‧Spacer material layer 928‧‧‧Spacer 930‧‧‧Wire end occupying part 932‧‧‧Plug placeholder Layer 934‧‧‧Plug Placement 936‧‧‧Interconnect Line 938‧‧‧Conductive Via 940‧‧‧Patterned ILD Layer 942‧‧‧Line Terminal Opening 946/948‧‧‧Interlayer Dielectric (ILD) Layer 999‧‧‧Semiconductor Structure 1000‧‧‧Starting Structure 1002‧‧‧Metal Line 1002'‧‧‧Line 1004‧‧‧Interlayer Dielectric Line (ILD) 1006‧‧‧Extra Film 1008‧‧‧Extra Film 1010‧‧‧ Interlayer Dielectric (ILD) Lines 1012‧‧‧Hard Mask 1014‧‧‧Surface Modification Layer 1016‧‧‧Intermediate Lines 1016A‧‧‧Polymer 1016B‧‧‧Polymer 1018‧‧‧Permanent Interlayer Dielectric (ILD) Layer 1020 ‧‧‧Hard Mask Layers 1022A, 1022B, 1022C‧‧‧Through Hole Locations 1024A, 1024B, 1024C‧‧‧Through Hole 1026‧‧‧ILD Layer 1026'‧‧‧Recessed ILD Layer 1028A, 1028B, 1028C‧‧ ‧Plug Location 1030‧‧‧Wire 1032‧‧‧Through Hole 1090‧‧‧First Material Type 1092‧‧‧Second Material Type 1097‧‧‧Seam 1099‧‧‧Seam 1100‧‧‧Starting Structure 1102‧‧‧Metal Lines 1102'‧‧‧Lines 1104‧‧‧Interlayer Dielectric Lines (ILDs) 1106‧‧‧Extra Films 1108‧‧‧Extra Films 1110‧‧‧Structures 1112‧‧‧Structures 1114‧‧‧Structures 1116 ‧‧‧Conformal Layer 1118‧‧‧Structure 1119‧‧‧ILD Material Layer 1120‧‧‧Permanent Interlayer Dielectric (ILD) Line 1120'‧‧‧Recessed ILD Line 1122‧‧‧Structure 1123‧‧‧Conformal Material Layer 1124‧‧‧Hard Mask Layer 1126‧‧‧Structure 1128‧‧‧Permanent ILD Line 1128'‧‧‧Recessed IL D Line 1130‧‧‧Structure 1132‧‧‧Trench 1134‧‧‧Material Layer 1136‧‧‧Mask 1136A‧‧‧Photoresist Layer 1136B‧‧‧Anti-Reflection Coating (ARC) 1136C‧‧‧Topography Shielded portion 1137‧‧‧opening 1138‧‧‧second mask 1140‧‧‧metal wire 1142, 1144‧‧‧reserved plug 1199‧‧‧seam 1200‧‧‧semiconductor structure layer 1202‧‧‧metal wire 1204‧‧‧Interlayer dielectric (ILD) lines 1206‧‧‧First molecular species 1208‧‧‧Second molecular species 1210‧‧‧A/B surface 1212‧‧‧C surface 1214‧‧‧Triblock copolymer 1220 ‧‧‧Separation structure 1222‧‧‧First area 1224‧‧‧Second area 1226‧‧‧Third area 1250‧‧‧Separating three blocks BCP1252‧‧‧Shaft 1260‧‧‧Starting structure 1262‧‧‧Metal Line 1262'‧‧‧Line 1264‧‧‧Interlayer Dielectric Line 1266‧‧‧Extra Film 1268‧‧‧Extra Film 1270‧‧‧Triblock Copolymer Layer 1272‧‧‧Region 1274‧‧‧Second Region 1276‧ ‧‧Third Zone 1280‧‧‧Layer 1282‧‧‧Layer 1290‧‧‧Lithography 1292‧‧‧Some 1294‧‧‧Area 1302‧‧‧Metal Line 1304‧‧‧Dielectric Layer 1306‧‧‧Example 1308 , 1310‧‧‧Plug 1314‧‧‧Dielectric Line 1400‧‧‧Starting Point Structure 1402‧‧‧Metal Line 1404‧‧‧Interlayer Interlayer Dielectric (ILD) Line 1406‧‧‧Plug Cap 1408‧‧‧Section First order metal lines 1410‧‧‧Hard mask layer 1412‧‧‧Second hard mask layer 1414‧‧‧Trenches 1416‧‧‧Second ILD lines 1418‧‧‧Opening 1420‧‧‧Light barrels 1422‧‧ ‧Through Hole Location 1424‧‧‧Area 1426‧‧‧Hard Mask Layer 1428‧‧‧Light Barrel 1430‧‧‧Non-Plug Location 1432‧‧‧Area 1434‧‧‧Through Hole Opening 1436‧‧‧Metal Line 1438 ‧‧‧Through hole 1496‧‧‧Seam 1497‧‧‧Seam 1498‧‧‧Seam 1499‧‧‧Seam 1500‧‧‧Start plug grid structure 1502‧‧‧ILD layer 1504‧‧‧Section A hard mask layer 1506‧‧‧Third hard mask layer 1508‧‧‧Second hard mask layer 1510‧‧‧Opening 1512‧‧‧Light barrel 1514‧‧‧Plug position 1516‧‧‧Plug 1600 ‧‧‧Starting Point Structure 1602‧‧‧Interlayer Dielectric (ILD) Layer 1604‧‧‧Hard Mask Layer 1606‧‧‧First Metal Line 1607‧‧‧Conductive Via 1608‧‧‧Trench 1608A‧‧‧Sidewall 1608B‧‧‧Bottom 1610‧‧‧Non-Conformal Dielectric Cap 1610A‧‧‧First Part 1610B‧‧‧Second Part 16 12‧‧‧Second Metal Line 1613‧‧‧Through Hole 1614‧‧‧First Hard Mask Layer 1616‧‧‧Second Hard Mask Layer 1630‧‧‧Starting Point Structure 1632‧‧‧Interlayer Dielectric (ILD) Layer 1634‧‧‧Hard Mask Layer 1636‧‧‧First Metal Line 1636A‧‧‧Projection 1637‧‧‧Conductive Via 1638‧‧‧Dielectric Spacer 1640‧‧‧Sacrificial Hard Mask Layer 1642‧‧‧ Trench 1644‧‧‧Sacrificial Material 1646‧‧‧Non-Conformal Dielectric Cap 1648‧‧‧Sacrificial Cap 1650‧‧‧Location 1652‧‧‧Via Location 1654‧‧‧Second Metal Line 1656‧‧‧Conductive Through hole 1658‧‧‧First placeholder material 1660‧‧‧Second placeholder material 1662‧‧‧First hard mask layer 1664‧‧‧Second hard mask layer 1666‧‧‧Top ILD layer 1668‧‧ ‧Opening 1670‧‧‧Conductive Via 1672‧‧‧Part 1700‧‧‧Starting Point Structure 1702‧‧‧Interlayer Dielectric (ILD) Layer 1704‧‧‧Hard Mask Layer 1706‧‧‧First Metal Line 1706A‧‧ ‧Projections 1707‧‧‧Conductive Vias 1708‧‧‧Dielectric Spacers 1710‧‧‧Sacrificial Hardmask 1712‧‧‧Trenches 1714‧‧‧Sacrificial Material 1715‧‧‧Recessed Sacrificial Material 1716‧‧‧Non Conformal Dielectric Cap Layer 1716A‧‧‧Top Part 1722‧‧‧Via Location 1724‧‧‧Second Metal Line 1726‧‧‧Conductive Via 1728‧‧‧First Placeholder Material 1730‧‧‧Second Placeholder Material 1732‧‧‧First Hard Mask Layer 1734‧‧‧Second Hard Mask Layer 1736‧‧‧Upper ILD Layer 1738‧‧‧Opening 1740‧‧‧Conductive Via 1742‧‧‧Part 1800‧‧‧Start Dot Structures 1802‧‧‧Metal Lines 1804‧‧‧Dielectric Lines 1806‧‧‧Etch Stop Layer 1808‧‧‧Interlayer Dielectric Layer 1810‧‧‧Patterned Hardmask 1812‧‧‧Patterned Interlayer Dielectric Layer 1814‧‧ ‧Metal Line Area 1816‧‧‧Hard Mask Layer 1818‧‧‧Etch Stop Layer 1820‧‧‧Pattern Accumulation Layer 1822‧‧‧Patterned Hard Mask 1824‧‧‧Hard Mask 1826‧‧‧One Pattern Memory Bulk Layer 1828‧‧‧Barrier Line 1830‧‧‧Insulating Spacer Forming Material Layer 1832‧‧‧Spacer 1834‧‧‧Secondary Patterned Memory Layer 1836‧‧‧Patterned Etch Stop Layer 1838‧‧‧Patterned Hardmask Cap Layer 1840‧‧‧Secondary Patterned Interlayer Dielectric Layer 1842‧‧‧Patterned Etch Stop Layer 1844‧‧‧Via Location 1846‧‧‧Location 1848‧‧‧Metal Via 1850‧‧‧Metal Line 1900‧‧ ‧Starting Point Structure 1900'‧‧‧Structure 1900"‧‧‧Structure 1902‧‧‧Metal Line 1904‧‧‧Dielectric Line 1 906‧‧‧Hard mask layer 1908‧‧‧Next patterned layer 1910‧‧‧Etch stop layer 1912‧‧‧Dielectric layer 1914‧‧‧Grate structure 1916‧‧‧Patterned dielectric layer 1918‧‧‧Patterned Etch Stop Layer 1920‧‧‧Via Locations 1922‧‧‧Patterned Hard Mask 1924‧‧‧Region 1926‧‧‧Patterned Lithography Mask 1928‧‧‧Region 1930‧‧‧Underlying Structure 1932‧‧‧Metal Vias 1934‧‧‧Metal Lines 1936‧‧‧Metal Lines 1938‧‧‧Metal Lines 1940‧‧‧Hard Mask Layer 2000‧‧‧Starting Point Structure 2002‧‧‧Interlayer Dielectric (ILD) Material Layer 2004‧‧‧ First Hard Mask Layer 2006‧‧‧Second Hard Mask Layer 2008‧‧‧Third Hard Mask Layer 2010‧‧‧Photolithography Patterned Mask 2012‧‧‧Patterned Hard Mask Layer 2014‧‧‧ Patterned ILD Layer 2016‧‧‧Patterned Hard Mask Layer 2018‧‧‧Metal Lines 2020‧‧‧Conductive Vias 2100‧‧‧Metalization Layer 2102‧‧‧Metal Lines 2103‧‧‧Lower Vias 2104‧‧ ‧Dielectric layer 2105‧‧‧Line termination or plug area 2106‧‧‧Line trench 2108‧‧‧Through hole trench 2110‧‧‧Hard mask layer 2112‧‧‧Line trench 2114‧‧‧Through hole trench Trenches 2120‧‧‧Metalization layer 2122‧‧‧Metal line 2124‧‧‧Dielectric layer 2126‧‧‧Interlayer dielectric (ILD) material layer 2128, 2128'‧‧‧Line trench 2130‧‧‧Lower portion 2130' ‧‧‧Patterned lower portion 2132A, 2132B‧‧‧Through hole trench 2134‧‧‧Sacrificial material 2134'‧‧‧Patterned and filled sacrificial material 2136‧‧‧Patterned hard mask layer 2138‧‧‧ Dielectric Material 2140A, 2140B‧‧‧Dielectric Plug 2142‧‧‧Metal Line 2144‧‧‧Conductive Via 2150‧‧‧Seam 2152‧‧‧Dielectric Plug 2152A, 2152B‧‧‧Dielectric Plug 2154'‧‧ ‧Patterned dielectric layer 2202‧‧‧Substrate or layer 2204‧‧‧holes/trenches 2206‧‧‧placeholder material 2208‧‧‧Minor depressions 2210‧‧‧patterned layer 2212‧‧‧openings 2214‧‧‧re Exposed holes/trenches 2216‧‧‧Material layer 2252‧‧‧Metalization layer 2254‧‧‧Metal line 2256, 2258‧‧‧ILD material 2260‧‧‧Sacrificial placeholder material 2262‧‧‧mask layer 2264‧‧ ‧Opening 2266‧‧‧Via location 2300‧‧‧Starting structure 2302‧‧‧Interlayer dielectric (ILD) layer 2302'‧‧‧Patterned ILD layer 2304‧‧‧First hard mask material layer 2306‧‧‧ Patterned Mask 2308‧‧‧Spacer 2310‧‧‧First Patterned Hard Mask 2312‧‧‧Second Image Patterned Hard Mask 2314‧‧‧Hard Mask Cover Layer 2316‧‧‧First Patterned Hard Mask 2318‧‧‧Light Barrel 2320‧‧‧Through Hole Positions 2322‧‧‧Hard Mask Material 2324‧‧‧ Light Barrel 2326‧‧‧Location 2328‧‧‧Through Hole Opening 2330‧‧‧Wire Opening 2332‧‧‧Metalization 2334‧‧‧Top Area 2350‧‧‧Start Grid Structure 2351‧‧‧Substrate 2352‧‧‧ Grating ILD layer 2354‧‧‧First hard mask layer 2356‧‧‧Second hard mask layer 2358‧‧‧Opening 2358'‧‧‧Opening 2360‧‧‧Dielectric layer 2362‧‧‧Light barrel 2364‧‧ ‧Through Hole Location 2364'‧‧‧Opening 2366‧‧‧Remaining Opening 2400‧‧‧Starting Point Structure 2402‧‧‧Metal Line 2404 ‧‧‧ILD Material Layer 2410‧‧‧Hard Mask Layer 2412‧‧‧Trench 2414‧‧‧Patterned ILD Layer 2416 ‧‧‧Metal Lines 2424‧‧‧Through Holes 2450‧‧‧Single Plane 2497‧‧‧Seam 2498‧‧‧Seam 2499‧‧‧Seam 2500‧‧‧Starting Structure 2502‧‧‧Interlayer Dielectric (ILD) Layer 2502'‧‧‧Patterned ILD Layer 2504‧‧‧First Hard Mask Material Layer 2506‧‧‧Patterned Mask 2508‧‧‧Spacer 2510‧‧‧Trenches 2512‧‧‧First Color Light Barrel 2512A‧‧‧Selected 1st Color Light Barrel 2513A‧‧‧Selected Via Opening 2514‧‧‧Groove 2516‧‧‧Second Color Light Barrel Material Layer 2518‧‧‧Second Color Light Barrel 2518A, 2518B ‧‧‧Selected 2nd Color Light Barrel 2519A, 2519B‧‧‧Through Hole Opening 2520‧‧‧Second Hard Mask 2521‧‧‧Red Mass Exposure 2522‧‧‧Third Hard Mask 2523‧‧‧Green Mass Exposure 2524‧‧‧Through Hole Locations 2526‧‧‧Metal Line Trench 2600‧‧‧Traditional BEOL Metallization 2602‧‧‧Interlayer Dielectric Layer 2604‧‧‧Conductive Lines or Routing 2606‧‧‧Cutting, Interrupting, or Plugging 2608‧‧‧Top or Bottom Routing 2610‧‧‧Conducting Lines 2612‧‧‧Conducting Vias 2650‧‧‧BEOL Metallization 2652‧‧‧Interlayer Dielectric Layer 2654‧‧‧Conducting Lines or Routing 2656‧‧‧Cutting, Interruption or Plug 2658‧‧‧Conductive Sheet 2700‧‧‧Substrate 2702‧‧‧Interlayer Dielectric (ILD) Layer 2704‧‧‧Covering Hard Mask 2706‧‧‧First Grating Hard Mask 2706'‧‧‧Part 2708 ‧‧‧Second Grating Hard Mask 2710‧‧‧Overlying Hard Mask 2712‧‧‧Light Barrel 2714‧ ‧‧First Pattern Hard Mask 2715‧‧‧Second Pattern Hard Mask 2716‧‧‧Dielectric Region 2718‧‧‧Light Barrel 2720‧‧‧Third Pattern Hard Mask 2722‧‧‧ Patterned ILD layer 2724‧‧‧conductive line 2726‧‧‧plug 2728‧‧‧conducting sheet 2800‧‧‧metal layer 2802‧‧‧covering hard mask layer 2804‧‧‧first grating hard mask 2806‧‧ ‧Second Grating Hard Mask 2808‧‧‧Overlying Hard Mask 2810‧‧‧Third Hard Mask 2812‧‧‧Fourth Hard Mask 2814‧‧‧Opening 2816‧‧‧Light Barrel 2818‧‧‧Etching Trench 2820‧‧‧First pattern hard mask 2822‧‧‧First pattern metal layer 2824‧‧‧Conductive via 2826‧‧‧Deep hard mask area 2828‧‧‧Shallow hard mask area 2830‧‧‧Opening 2832‧‧‧Light Barrel 2834‧‧‧Second Patterning Hard Mask 2836‧‧‧Groove2838‧‧‧Deep Hard Mask Area 2840‧‧‧Light Hard Mask Area 2842‧‧ ‧Third time patterning hard mask 2844‧‧‧Second time patterning metal layer 2846‧‧‧Deep hard mask area 2848‧‧‧Opening 2850‧‧‧Light barrel 2852‧‧‧Fourth time patterning hard mask Mask 2854‧‧‧Trench 2856‧‧‧Third Pattern Metal Layer 2858‧‧‧Through Hole Cover 2860‧‧‧ILD2861‧‧‧ILD Backfill 2862‧‧‧Plug Area 2864‧‧‧Conductive Line 2866 ‧‧‧Conductive sheet 2899‧‧‧ILD layer 2902‧‧‧Substrate 2904‧‧‧Pre-patterned hard mask 2906‧‧‧Two-stage bake photoresist 2907‧‧‧Exposure 2908‧‧‧Shifted Aerial Image 2912‧‧‧Closed Light Barrel 2920‧‧‧Opening 2950‧‧‧Partially Cleared 2952‧‧‧Residual Photoresist 2954‧‧‧Light Barrel 3002, 3002', 3002"‧‧‧First Light Barrel 3004, 3004', 3004"‧‧‧Second Light Barrel 3006, 3006'‧‧‧Exposure 3010, 3010', 3010"‧‧‧Photo Acid Generating (PAG) Component 3012‧‧‧Light Radical Generating Component 3014‧‧ ‧Inhibitor 3020, 3022‧‧‧Diffused material 3024, 3026‧‧‧Material 3028, 3030‧‧‧Material 3032‧‧‧Removed light barrel 3034‧‧‧Blocked light barrel 3050‧‧‧Grafted light base Composition 3060‧‧‧Layer 3100‧‧‧Pattern 3102‧‧‧ILD Line 3104‧‧‧Resist Line 3106‧‧‧hole 3202‧‧‧ILD Material 3204‧‧‧Trench 3206‧‧‧Chemical Amplified Light Resist 3208‧‧‧region 3210‧‧‧precatalyst layer 3212‧‧‧dielectric material 3212A, 3212B‧‧‧part 3214‧‧‧crosslinking region 3216‧‧‧metal filling layer 3218‧‧‧Metallic Features 3300‧‧‧Trisilacyclohexane 3320‧‧‧Crosslinking Material 3340‧‧‧Linking Trisilacyclohexane Structure 3400‧‧‧Starting Structure 3402‧‧‧Interlayer Dielectric (ILD) ) layer 3402', 3402", 3402''', 3402'''', 3402'''''‧‧‧Patterned ILD layer 3404‧‧‧First hard mask material layer 3406‧‧‧Patterned mask Mask 3408‧‧‧Spacer 3410‧‧‧First patterned hard mask 3412‧‧‧Second patterned hard mask 3414‧‧‧Hard mask cover layer 3416‧‧‧First patterned hard mask 3418 ‧‧‧Fourth hard mask layer 3420‧‧‧First diagonal hard mask layer 3422‧‧‧Closest adjacent distance 3424‧‧‧Light barrel 3426‧‧‧Through hole position 3428‧‧‧Hard mask Mask Material 3430‧‧‧Light Barrel 3432‧‧‧Through Hole Locations 3434‧‧‧Sacrificial Material 3436‧‧‧Groove 3438‧‧‧Second Diagonal Hard Mask Layer 3440‧‧‧Light Barrel 3442‧‧‧ Nearest Adjacent Distance 3444‧‧‧Trench 3446‧‧‧Hard Mask Material Layer 3448‧‧‧Light Barrel 3450‧‧‧Location 3452‧‧‧Trench 3454‧‧‧Metalization 3456‧‧‧Metal Feature 3502 ‧‧‧First Pre-Patterned Hard Mask 3504‧‧‧Second Pre-Patterned Hard Mask 3506‧‧‧Lower Layer 3508‧‧‧Opening 3510‧‧‧Part of Photoresist Layer 3512‧‧‧Selected 3514‧‧‧Lithographic exposure 3516‧‧‧Opening 3602‧‧‧First pre-patterned hard mask 3604‧‧‧Second pre-patterned hard mask 3610‧‧‧Part of photoresist layer 3616‧‧‧ Openings 3650A, 3650B, 3650C, 3650D, 3650E‧‧‧Overlay Image 3652A‧‧‧Top Half 3654A‧‧‧Bottom Half 3656A, 3656B, 3656C, 3656D, 3656E‧‧‧ Wide Unexposed Features 3658A, 3658B, 3658C , 3658D, 3658E‧‧‧Wide Unexposed Features 3697‧‧‧Measuring Structures 3698‧‧‧Layer 1 Features 3699‧‧‧Layer 2 Features 3702‧‧‧First Pre-Patterned Hardmask 3704‧‧‧Second Pre Patterned hard mask 3710‧‧‧Photoresist layer portion 3716‧‧‧Opening 3750A, 3750B, 3750C, 3750D, 3750E‧‧‧Overlay image 3760A, 3760B, 3760C, 3760D, 3760E‧‧‧area 3800‧‧ ‧Substrate 3801‧‧‧Photolithography mask structure 3802‧‧‧Patterned absorber layer 3804‧‧‧Top layer 3806‧‧‧Patterned shifter layer 3808‧‧‧Top surface 3810‧‧‧Middle grain area 3812 ‧‧‧Top surface 3814‧‧‧Top surface 3820‧‧‧Frame Area 3830‧‧‧Die Frame Interface Area 3840‧‧‧Double Stacking 3900‧‧‧Electron Beam Row 3902‧‧‧Electron Source 3904‧‧‧Electron Beam 3906‧‧‧Limited Aperture 3908‧‧‧Illumination Optics 3910‧‧‧Output Beam 3912‧‧‧Slit 3914‧‧‧Thin Lens 3916‧‧‧Formed Aperture 3918‧‧‧Canceller Aperture Array (BAA) 3920‧‧‧Section 3921‧‧‧Beam Section 3922‧‧‧ Final Aperture 3924‧‧‧ Stage Feedback Deflector 3926‧‧‧Resulting Electron Beam 3928‧‧‧Spot 3930‧‧‧Wafer 3932‧‧‧ Stage Scanning 3934‧‧‧Arrow 4000‧‧‧Aperture 4002‧‧‧ Line 4004‧‧‧Arrow 4006‧‧‧Edge Layout Error (EPE) 4100, 4102‧‧‧Aperture 4104, 4106‧‧‧Line 4108‧‧‧Arrow 4110‧‧‧EPE4112‧‧‧Distance Requirement 4114‧‧ ‧Interval 4200‧‧‧BAA4202, 4204‧‧‧Line 4206‧‧‧Aperture 4208‧‧‧Line 4210‧‧‧Direction 4300‧‧‧Line 4302‧‧‧Open Line Position 4304‧‧‧Through Hole 4306‧‧‧ Cutting 4310‧‧‧BAA4312‧‧‧Scanning Direction 4350‧‧‧Stack 4352‧‧‧Metalization 4354, 4356, 4358, 4360, 4362, 4364, 4366, 4368‧‧‧Metal 4370, 4372‧‧‧Metal Line 4374‧‧‧Through Hole Location 4400‧‧‧Computer Device 4402‧‧‧Circuit Board 4404‧‧‧Processor 4406‧‧‧Communication Chip 4500‧‧‧Interposer 4502‧‧‧First Substrate 4504‧‧‧Part Two Substrates 4506‧‧‧Ball Grid Array (BGA) 4508‧‧‧Metal Interconnect 4510‧‧‧Through Via 4512‧‧‧Through Silicon Via (TSV) 4514‧‧‧Embedded Device

圖1A闡明接續於層間電介質(ILD)層上所形成之硬遮罩材料層的沈積後(但在圖案化前)之開始結構的橫斷面視圖。1A illustrates a cross-sectional view of the starting structure following deposition (but before patterning) of a layer of hard mask material formed on an interlayer dielectric (ILD) layer.

圖1B闡明接續於藉由節距減半的硬遮罩層之圖案化後的圖1A之結構的橫斷面視圖。FIG. 1B illustrates a cross-sectional view of the structure of FIG. 1A subsequent to patterning by a pitch halved hard mask layer.

圖2闡明在一種涉及六之因數的節距分割之間隔物為基的六倍圖案化(SBSP)處理方案中之橫斷面視圖。2 illustrates a cross-sectional view in a spacer-based six-fold patterning (SBSP) processing scheme involving a factor of six pitch division.

圖3闡明在一種涉及九之因數的節距分割之間隔物為基的九倍圖案化(SBNP)處理方案中之橫斷面視圖。3 illustrates a cross-sectional view in a spacer-based nine-fold patterning (SBNP) processing scheme involving factor-of-nine pitch divisions.

圖4A-4N闡明一種製造非平面半導體裝置的方法中之各種操作的橫斷面視圖,依據本發明之實施例,其中:4A-4N illustrate cross-sectional views of various operations in a method of fabricating a non-planar semiconductor device, in accordance with an embodiment of the present invention, wherein:

圖5闡明接續於複數鰭片之上部分的暴露後之圖4N的結構,依據本發明之實施例。5 illustrates the structure of FIG. 4N following exposure of the upper portion of the plurality of fins, in accordance with an embodiment of the present invention.

圖6A闡明一非平面半導體裝置的橫斷面視圖,依據本發明之實施例。6A illustrates a cross-sectional view of a non-planar semiconductor device, in accordance with an embodiment of the present invention.

圖6B闡明沿著圖6A之半導體裝置的a-a’軸所取的平面視圖,依據本發明之實施例。6B illustrates a plan view taken along the a-a' axis of the semiconductor device of FIG. 6A, in accordance with an embodiment of the present invention.

圖7A及7B闡明用以致能半導體層之極緊密節距最後圖案的目標基礎結構之橫斷面視圖,依據本發明之實施例。7A and 7B illustrate cross-sectional views of a target infrastructure for enabling a very tight pitch final pattern of semiconductor layers, in accordance with an embodiment of the present invention.

圖8A-8H闡明橫斷面視圖,其表示一種製造用以致能半導體層之極緊密節距最後圖案的目標基礎結構之方法中的各個操作,依據本發明之實施例。8A-8H illustrate cross-sectional views representing various operations in a method of fabricating a target base structure for enabling a very tight pitch final pattern of semiconductor layers, in accordance with an embodiment of the present invention.

圖8H’及8H”闡明接續於通孔及插塞圖案化後之範例結構的橫斷面視圖,依據本發明之實施例。8H' and 8H" illustrate cross-sectional views of exemplary structures following patterning of vias and plugs, in accordance with embodiments of the present invention.

圖9A-9L闡明積體電路層之部分的斜角橫斷面視圖,其表示一種涉及用於後段製程(BEOL)互連製造之增加重疊容限的節距分割圖案化之方法中的各個操作,依據本發明之實施例。9A-9L illustrate oblique cross-sectional views of a portion of an integrated circuit layer representing various operations in a method involving pitch division patterning for increased overlap tolerance for back end of line (BEOL) interconnect fabrication , according to an embodiment of the present invention.

圖10A-10M闡明其表示一種自對準通孔及金屬圖案化的方法中之各個操作的積體電路層之部分,依據本發明之實施例。10A-10M illustrate portions of integrated circuit layers that represent various operations in a method of self-aligned vias and metal patterning, in accordance with embodiments of the present invention.

圖11A-11M闡明其表示一種自對準通孔及金屬圖案化的方法中之各個操作的積體電路層之部分,依據本發明之實施例。11A-11M illustrate portions of integrated circuit layers that represent various operations in a method of self-aligned vias and metal patterning, in accordance with embodiments of the present invention.

圖12A-12C闡明斜角橫斷面視圖,其表示一種使用三區塊共聚物以形成後段製程(BEOL)互連之自對準通孔或接點的方法中之各個操作,依據本發明之實施例。FIGS. 12A-12C illustrate oblique cross-sectional views representing various operations in a method of using triblock copolymers to form self-aligned vias or contacts for back end of line (BEOL) interconnects, in accordance with the present invention. Example.

圖12D闡明斜角橫斷面視圖,其表示一種使用三區塊共聚物以形成後段製程(BEOL)互連之自對準通孔或接點的方法中之操作,依據本發明之實施例。12D illustrates an oblique cross-sectional view representing operation in a method of using triblock copolymers to form self-aligned vias or contacts for back end of line (BEOL) interconnects, in accordance with an embodiment of the present invention.

圖12E闡明斜角橫斷面視圖,其表示另一種使用三區塊共聚物以形成後段製程(BEOL)互連之自對準通孔或接點的方法中之操作,依據本發明之另一實施例。12E illustrates an oblique cross-sectional view showing operation in another method of using triblock copolymers to form self-aligned vias or contacts for back end of line (BEOL) interconnects, according to another aspect of the present invention Example.

圖12F闡明一種用以形成後段製程(BEOL)互連之自對準通孔或接點的三區塊共聚物,依據本發明之實施例。12F illustrates a triblock copolymer used to form self-aligned vias or contacts for back end of line (BEOL) interconnects, in accordance with an embodiment of the present invention.

圖12G及12H闡明平面視圖及相應的橫斷面視圖,其表示一種使用三區塊共聚物以形成後段製程(BEOL)互連之自對準通孔或接點的方法中之各個操作,依據本發明之實施例。Figures 12G and 12H illustrate plan views and corresponding cross-sectional views representing various operations in a method of using triblock copolymers to form self-aligned vias or contacts for back end of line (BEOL) interconnects, according to Embodiments of the present invention.

圖12I-12L闡明平面視圖及相應的橫斷面視圖,其表示一種使用三區塊共聚物以形成後段製程(BEOL)互連之自對準通孔或接點的方法中之各個操作,依據本發明之實施例。Figures 12I-12L illustrate plan views and corresponding cross-sectional views representing various operations in a method of using triblock copolymers to form self-aligned vias or contacts for back end of line (BEOL) interconnects, according to Embodiments of the present invention.

圖13闡明接續於金屬線、通孔及插塞形成後的自對準通孔結構之平面視圖及相應的橫斷面視圖,依據本發明之實施例。13 illustrates a plan view and corresponding cross-sectional view of a self-aligned via structure subsequent to the formation of metal lines, vias, and plugs, in accordance with an embodiment of the present invention.

圖14A-14N闡明其表示一種減成自對準通孔及插塞圖案化的方法中之各個操作的積體電路層之部分,依據本發明之實施例。14A-14N illustrate portions of integrated circuit layers that represent operations in a method of subtracting self-aligned vias and plugs patterning, in accordance with embodiments of the present invention.

圖15A-15D闡明其表示一種減成自對準插塞圖案化的方法中之各個操作的積體電路層之部分,依據本發明之另一實施例。15A-15D illustrate portions of integrated circuit layers that represent operations in a method of subtractive self-aligned plug patterning, in accordance with another embodiment of the present invention.

圖16A-16D闡明積體電路層之部分的橫斷面視圖,其表示一種涉及用於後段製程(BEOL)互連製造之電介質盔形成的方法中之各個操作,依據本發明之實施例。16A-16D illustrate cross-sectional views of portions of integrated circuit layers representing various operations in a method involving the formation of a dielectric helmet for back-end-of-line (BEOL) interconnect fabrication, in accordance with an embodiment of the present invention.

圖16E-16P闡明積體電路層之部分的橫斷面視圖,其表示另一種涉及用於後段製程(BEOL)互連製造之電介質盔形成的方法中之各個操作,依據本發明之實施例。16E-16P illustrate cross-sectional views of a portion of an integrated circuit layer representing various operations in another method involving the formation of a dielectric helmet for back end of line (BEOL) interconnect fabrication, in accordance with an embodiment of the present invention.

圖17A-17J闡明積體電路層之部分的橫斷面視圖,其表示另一種涉及用於後段製程(BEOL)互連製造之電介質盔形成的方法中之各個操作,依據本發明之實施例。17A-17J illustrate cross-sectional views of portions of integrated circuit layers representing various operations in another method involving the formation of dielectric helmets for back-end-of-line (BEOL) interconnect fabrication, in accordance with embodiments of the present invention.

圖18A-18W闡明平面視圖及相應的斜角和橫斷面視圖,其表示一種用於後段製程(BEOL)互連之金屬通孔處理方案中的各個操作,依據本發明之實施例。18A-18W illustrate plan views and corresponding oblique and cross-sectional views representing various operations in a through metal via processing scheme for back end of line (BEOL) interconnects, in accordance with embodiments of the present invention.

圖19A-19L闡明平面視圖及相應的斜角橫斷面視圖,其表示一種用於後段製程(BEOL)互連之柵格自對準金屬通孔處理方案中的各個操作,依據本發明之實施例。FIGS. 19A-19L illustrate plan views and corresponding oblique cross-sectional views representing various operations in a grid self-aligned metal via processing scheme for back end of line (BEOL) interconnects, in accordance with an implementation of the present invention. example.

圖20A-20G闡明平面視圖及相應的橫斷面視圖,其表示一種製造光柵為基的插塞及切割以供後段製程(BEOL)互連之特徵端形成的方法中之各個操作,依據本發明之實施例。20A-20G illustrate plan views and corresponding cross-sectional views representing various operations in a method of fabricating grating-based plugs and dicing feature end formation for back-end-of-line (BEOL) interconnects, in accordance with the present invention example.

圖21A闡明沿著一種目前已知的半導體裝置之金屬化層的平面視圖之a-a’軸所取的平面視圖及相應的橫斷面視圖。Figure 21A illustrates a plan view and a corresponding cross-sectional view taken along the a-a' axis of a plan view of a metallization layer of a currently known semiconductor device.

圖21B闡明使用目前已知的處理方案所製造之線端或插塞的橫斷面視圖。21B illustrates a cross-sectional view of a wire end or plug fabricated using currently known processing schemes.

圖21C闡明使用目前已知的處理方案所製造之線端或插塞的另一橫斷面視圖。Figure 21C illustrates another cross-sectional view of a wire end or plug fabricated using currently known processing schemes.

圖21D-21J闡明橫斷面視圖,其表示一種用以圖案化後段製程(BEOL)互連之金屬線端的程序中之各個操作,依據本發明之實施例。21D-21J illustrate cross-sectional views representing various operations in a process for patterning metal line terminations for back end of line (BEOL) interconnects, in accordance with embodiments of the present invention.

圖21K闡明一種半導體晶粒之互連結構的金屬化層之橫斷面視圖,該半導體晶粒包括具有接縫於其中之電介質線端或插塞,依據本發明之實施例。21K illustrates a cross-sectional view of a metallization layer of an interconnect structure of a semiconductor die including a dielectric line end or plug having a seam therein, in accordance with an embodiment of the present invention.

圖21L闡明一種半導體晶粒之互連結構的金屬化層之橫斷面視圖,該半導體晶粒包括並未緊鄰導電通孔之電介質線端或插塞,依據本發明之實施例。21L illustrates a cross-sectional view of a metallization layer of an interconnect structure of a semiconductor die that includes dielectric line ends or plugs that are not immediately adjacent conductive vias, in accordance with an embodiment of the present invention.

圖22A-22G闡明其表示一種涉及預形成通孔或插塞位置之自對準等向蝕刻的方法中之各個操作的積體電路層之部分,依據本發明之實施例。22A-22G illustrate portions of integrated circuit layers that represent various operations in a method involving self-aligned isotropic etching of pre-formed vias or plug locations, in accordance with embodiments of the present invention.

圖22H-22J闡明其顯示積體電路層之部分的斜角橫斷面視圖,其表示一種涉及預形成通孔位置之自對準等向蝕刻的方法中之各個操作,依據本發明之實施例。22H-22J illustrate oblique cross-sectional views showing portions of integrated circuit layers representing various operations in a method involving self-aligned isotropic etching of preformed via locations, in accordance with embodiments of the present invention .

圖23A-23L闡明其表示一種減成自對準通孔及插塞圖案化的方法中之各個操作的積體電路層之部分,依據本發明之實施例。23A-23L illustrate portions of an integrated circuit layer that represent operations in a method of subtracting self-aligned via and plug patterning, in accordance with an embodiment of the present invention.

圖23M-23S闡明其表示一種減成自對準通孔圖案化的方法中之各個操作的積體電路層之部分,依據本發明之實施例。23M-23S illustrate portions of integrated circuit layers that represent operations in a method of subtracting self-aligned via patterning, in accordance with embodiments of the present invention.

圖24A-24I闡明其表示一種減成自對準通孔及插塞圖案化的方法中之各個操作的積體電路層之部分,依據本發明之實施例。24A-24I illustrate portions of an integrated circuit layer that represent operations in a method of subtracting self-aligned vias and plugs patterning, in accordance with embodiments of the present invention.

圖25A-25H闡明其表示一種使用多色光桶之減成自對準通孔圖案化的方法中之各個操作的積體電路層之部分,依據本發明之實施例。25A-25H illustrate portions of integrated circuit layers that represent various operations in a method of subtractive self-aligned via patterning using a polychromatic light barrel, in accordance with an embodiment of the present invention.

圖25I闡明針對一種光桶類型的範例雙色調抗蝕劑及針對另一種光桶類型的範例單色調抗蝕劑,依據本發明之實施例。25I illustrates an example duotone resist for one photobarrel type and an exemplary monotone resist for another photobarrel type, in accordance with embodiments of the present invention.

圖26A闡明傳統後段製程(BEOL)金屬化層之平面視圖。26A illustrates a plan view of a conventional back end of line (BEOL) metallization layer.

圖26B闡明後段製程(BEOL)金屬化層之平面視圖,該金屬化層具有導電片以耦合該金屬化層之金屬線,依據本發明之實施例。26B illustrates a plan view of a back end of line (BEOL) metallization layer having conductive pads to couple metal lines of the metallization layer, in accordance with an embodiment of the present invention.

圖27A-27K闡明斜角橫斷面視圖,其表示一種製造後段製程(BEOL)金屬化層之方法中的各個操作,該金屬化層具有導電片以耦合該金屬化層之金屬線,依據本發明之實施例。27A-27K illustrate oblique cross-sectional views representing various operations in a method of fabricating a back end of line (BEOL) metallization layer having conductive sheets to couple metal lines of the metallization layer, in accordance with the present disclosure. Examples of inventions.

圖28A-28T闡明斜角橫斷面視圖,其表示一種製造後段製程(BEOL)金屬化層之方法中的各個操作,該金屬化層具有導電片以耦合該金屬化層之金屬線,依據本發明之實施例。Figures 28A-28T illustrate oblique cross-sectional views representing various operations in a method of fabricating a back end of line (BEOL) metallization layer having conductive sheets to couple the metal lines of the metallization layer, in accordance with the present invention. Examples of inventions.

圖29A-29C闡明一種使用包括二階段烘烤光抗蝕劑之光桶的圖案化之方法中的各個操作之橫斷面視圖及相應的平面視圖,依據本發明之實施例。29A-29C illustrate cross-sectional views and corresponding plan views of various operations in a method of patterning using a photobucket including a two-stage bake photoresist, in accordance with an embodiment of the present invention.

圖29D闡明接續於失準曝光後之光桶顯影後的傳統抗蝕劑光桶結構之橫斷面視圖。29D illustrates a cross-sectional view of a conventional resist photobarrel structure following photobarrel development after misalignment exposure.

圖30A-30E闡明一種使用包括二階段烘烤光抗蝕劑之光桶的圖案化之方法中的各個操作之概略視圖,依據本發明之實施例。30A-30E illustrate schematic views of various operations in a method of patterning using a photobucket including a two-stage bake photoresist, in accordance with an embodiment of the present invention.

圖30A’闡明另一種使用光桶的圖案化之方法中的操作之概略視圖,依據本發明之實施例。Figure 30A' illustrates a schematic view of operations in another method of patterning using photobuckets, in accordance with an embodiment of the present invention.

圖30A’’闡明另一種使用光桶的圖案化之方法中的操作之概略視圖,依據本發明之實施例。Figure 30A'' illustrates a schematic view of operations in another method of patterning using photobuckets, in accordance with an embodiment of the present invention.

圖31闡明層間電介質(ILD)線與抗蝕劑線之交替型態的斜角視圖,其具有形成於該些抗蝕劑線之一中的孔,依據本發明之實施例。31 illustrates an oblique view of an alternating pattern of interlayer dielectric (ILD) lines and resist lines with holes formed in one of the resist lines, in accordance with an embodiment of the present invention.

圖32A-32H闡明一種涉及使用由下而上交聯之具有電介質的影像色調反轉之製造程序中的橫斷面視圖,依據本發明之實施例。32A-32H illustrate cross-sectional views in a fabrication process involving tone inversion of images with dielectrics using bottom-up cross-linking, in accordance with embodiments of the present invention.

圖33A闡明三矽雜環己烷(trisilacyclohexane)分子,依據本發明之實施例。Figure 33A illustrates a trisilacyclohexane molecule, according to embodiments of the present invention.

圖33B闡明用以形成交聯材料之兩個交聯(XL)三矽雜環己烷分子,依據本發明之實施例。33B illustrates two cross-linked (XL) trisilacyclohexane molecules used to form a cross-linked material, in accordance with an embodiment of the present invention.

圖33C闡明鏈結三矽雜環己烷結構之理想化表示,依據本發明之實施例。Figure 33C illustrates an idealized representation of a linked trisilacyclohexane structure, in accordance with an embodiment of the present invention.

圖34A-34X闡明其表示一種使用對角線硬遮罩之自對準通孔及插塞圖案化的方法中之各個操作的積體電路層之部分,依據本發明之實施例。34A-34X illustrate portions of integrated circuit layers that represent various operations in a method of self-aligned via and plug patterning using diagonal hardmasks, in accordance with embodiments of the present invention.

圖35A-35D闡明橫斷面視圖及相應的由上而下視圖,其表示一種使用預圖案化硬遮罩之圖案化處理方案中之各個操作,依據本發明之實施例。35A-35D illustrate cross-sectional views and corresponding top-down views representing various operations in a patterning process scheme using a pre-patterned hardmask, in accordance with an embodiment of the present invention.

圖36A闡明重疊情境之由上而下視圖,其中目前層被重疊在下方預圖案化硬遮罩柵格上,依據本發明之實施例。36A illustrates a top-down view of an overlay scenario where the current layer is overlaid on the underlying pre-patterned hardmask grid, in accordance with an embodiment of the present invention.

圖36B闡明重疊情境之由上而下視圖,其中目前層具有相對於下方預圖案化硬遮罩柵格之四分之一節距的正重疊,依據本發明之實施例。36B illustrates a top-down view of an overlap scenario, where the current layer has a quarter-pitch positive overlap relative to the underlying pre-patterned hardmask grid, in accordance with an embodiment of the present invention.

圖36C闡明重疊情境之由上而下視圖,其中目前層具有相對於下方預圖案化硬遮罩柵格之半節距的正重疊,依據本發明之實施例。36C illustrates a top-down view of an overlapping scenario, where the current layer has a positive overlap relative to the half-pitch of the underlying pre-patterned hardmask grid, in accordance with an embodiment of the present invention.

圖36D闡明重疊情境之由上而下視圖,其中目前層具有相對於下方預圖案化硬遮罩柵格之任意值Δ的正重疊,依據本發明之實施例。36D illustrates a top-down view of an overlap scenario, where the current layer has a positive overlap relative to an arbitrary value of Δ of the underlying pre-patterned hardmask grid, in accordance with an embodiment of the present invention.

圖36E闡明重疊情境之由上而下視圖,其中目前層具有相對於下方預圖案化硬遮罩柵格之任意值Δ的正重疊,其中可測量Δ係藉由改變抗蝕劑敏感度及/或已描繪特徵大小而被變為如所需般小,依據本發明之實施例。36E illustrates a top-down view of an overlap scenario where the current layer has a positive overlap relative to an arbitrary value Δ of the underlying pre-patterned hardmask grid, where Δ can be measured by changing resist sensitivity and/or Or the feature size has been depicted and made as small as desired, in accordance with embodiments of the present invention.

圖36F闡明適於以上相關於圖36A-36E所述之方式的範例度量衡結構,依據本發明之實施例。36F illustrates an example metrology structure suitable for the manner described above with respect to FIGS. 36A-36E, in accordance with an embodiment of the present invention.

圖37A闡明重疊情境之由上而下視圖,其中目前層被重疊在下方預圖案化硬遮罩上,依據本發明之實施例。37A illustrates a top-down view of an overlay scenario where the current layer is overlaid on the underlying pre-patterned hardmask, in accordance with an embodiment of the present invention.

圖37B闡明重疊情境之由上而下視圖,其中目前層具有相對於X方向上的下方預圖案化硬遮罩柵格之四分之一節距的正重疊,依據本發明之實施例。37B illustrates a top-down view of an overlap scenario, where the current layer has a quarter-pitch positive overlap relative to the underlying pre-patterned hardmask grid in the X-direction, in accordance with an embodiment of the present invention.

圖37C闡明重疊情境之由上而下視圖,其中目前層具有相對於X方向上的下方預圖案化硬遮罩柵格之四分之一節距的負重疊,依據本發明之實施例。37C illustrates a top-down view of an overlap scenario, where the current layer has a negative overlap of a quarter pitch relative to the underlying pre-patterned hardmask grid in the X-direction, in accordance with an embodiment of the present invention.

圖37D闡明重疊情境之由上而下視圖,其中目前層具有相對於Y方向上的下方預圖案化硬遮罩柵格之四分之一節距的正重疊,依據本發明之實施例。37D illustrates a top-down view of an overlay scenario where the current layer has a quarter-pitch positive overlap relative to the underlying pre-patterned hardmask grid in the Y direction, in accordance with an embodiment of the present invention.

圖37E闡明重疊情境之由上而下視圖,其中目前層具有相對於X方向上的下方預圖案化硬遮罩柵格之四分之一節距的正重疊且具有相對於Y方向上的下方預圖案化硬遮罩柵格之四分之一節距的正重疊,依據本發明之實施例。37E illustrates a top-down view of an overlay scenario, where the current layer has a quarter-pitch positive overlap relative to the underlying pre-patterned hardmask grid in the X-direction and has a lower relative to the Y-direction A quarter-pitch positive overlap of the pre-patterned hardmask grid, in accordance with embodiments of the present invention.

圖38闡明微影遮罩結構之橫斷面視圖,依據本發明之實施例。38 illustrates a cross-sectional view of a lithography mask structure, in accordance with an embodiment of the present invention.

圖39為一種電子束微影設備之電子束行(column)的橫斷面概略圖示。Figure 39 is a schematic cross-sectional view of an electron beam column of an electron beam lithography apparatus.

圖40闡明相對於待切割或具有置於目標位置中之通孔的線(右)之遮沒孔徑陣列(BAA)的孔徑(左),當線被掃描於孔徑下方時。Figure 40 illustrates the aperture (left) of the Blind Aperture Array (BAA) relative to the line to be cut or with the through hole placed in the target location (right), when the line is scanned under the aperture.

圖41闡明相對於待切割或具有置於目標位置中之通孔的兩條線(右)之BAA的兩個非交錯孔徑(左),當線被掃描於孔徑下方時。Figure 41 illustrates two non-staggered apertures (left) relative to the BAA to be cut or with two lines (right) of through holes placed in the target location, when the lines are scanned under the apertures.

圖42闡明相對於待切割或具有置於目標位置中之通孔的複數線(右)之BAA的兩行交錯孔徑(左),當線被掃描於孔徑下方時,以掃描方向由箭號所顯示,依據本發明之實施例。Figure 42 illustrates two rows of staggered apertures (left) relative to the BAA to be cut or with multiple lines (right) of through-holes placed in target locations, with the scan direction indicated by arrows as the lines are scanned under the apertures shown, in accordance with embodiments of the present invention.

圖43A闡明相對於具有切割(水平線中之斷裂)或使用交錯BAA而圖案化之通孔(填入方盒)的複數線(右)之BAA的兩行交錯孔徑(左),以掃描方向由箭號所顯示,依據本發明之實施例。Figure 43A illustrates two rows of staggered apertures (left) with respect to BAAs with cuts (breaks in horizontal lines) or complex lines (right) with vias patterned using staggered BAAs (filled square boxes), in scan direction by As shown by the arrows, embodiments are in accordance with the present invention.

圖43B闡明積體電路中之金屬化層堆疊的橫斷面視圖,根據圖21A中所示之類型的金屬線佈局,依據本發明之實施例。43B illustrates a cross-sectional view of a metallization layer stack in an integrated circuit, according to a metal line layout of the type shown in FIG. 21A, in accordance with an embodiment of the present invention.

圖44闡明一計算裝置,依據本發明之一實施方式。44 illustrates a computing device, in accordance with one embodiment of the present invention.

圖45闡明其包括本發明之一或更多實施例的插入器。Figure 45 illustrates an inserter which includes one or more embodiments of the present invention.

100‧‧‧開始結構 100‧‧‧Start Structure

102‧‧‧層間電介質(ILD)層 102‧‧‧Interlayer Dielectric (ILD) Layer

104‧‧‧硬遮罩材料層 104‧‧‧Hard mask material layer

106‧‧‧圖案化遮罩 106‧‧‧Patterned Mask

108‧‧‧間隔物 108‧‧‧Spacers

Claims (55)

一種積體電路結構,包含:   複數從半導體基底之表面突出的半導體本體,該些複數半導體本體具有由部分本體部分所中斷的光柵圖案;   溝槽隔離層,其係介於該些複數半導體本體之間並相鄰於該些複數半導體本體之下部分,但不相鄰於該些複數半導體本體之上部分,其中該溝槽隔離層位於該部分本體部分之上;   一或更多閘極電極堆疊,其係於該些複數半導體本體之該些上部分的頂部表面上且側面地相鄰於該些複數半導體本體之該些上部分的側壁,以及於該溝槽隔離層之部分上;及   後段製程(BEOL)金屬化層,其係於該些一或更多閘極電極堆疊上方,該BEOL金屬化層包含沿著相同方向之複數交替的第一與第二導電線類型,其中該第一導電線類型之總組成係不同於該第二導電線類型之總組成。An integrated circuit structure, comprising: a plurality of semiconductor bodies protruding from a surface of a semiconductor substrate, the plurality of semiconductor bodies having a grating pattern interrupted by part of the body portion; a trench isolation layer interposed between the plurality of semiconductor bodies Between and adjacent to the lower part of the plurality of semiconductor bodies, but not adjacent to the upper part of the plurality of semiconductor bodies, wherein the trench isolation layer is located above the part of the body part; One or more gate electrode stacks , which are on the top surfaces of the upper portions of the plurality of semiconductor bodies and are laterally adjacent to the sidewalls of the upper portions of the plurality of semiconductor bodies, and on portions of the trench isolation layer; and subsequent stages a process (BEOL) metallization layer over the one or more gate electrode stacks, the BEOL metallization layer comprising a plurality of alternating first and second conductive line types along the same direction, wherein the first The overall composition of the conductive line type is different from the overall composition of the second conductive line type. 如申請專利範圍第1項之積體電路結構,其中該第一導電線類型之線被隔離以一節距,及其中該第二導電線類型之線被隔離以該節距。The integrated circuit structure of claim 1, wherein the wires of the first conductive line type are separated by a pitch, and wherein the wires of the second conductive wire type are separated by the pitch. 如申請專利範圍第1項之積體電路結構,其中該些複數交替的第一與第二導電線類型係位於層間電介質(ILD)層中。The integrated circuit structure of claim 1, wherein the plurality of alternating first and second conductive line types are in an interlayer dielectric (ILD) layer. 如申請專利範圍第1項之積體電路結構,其中該些複數交替的第一與第二導電線類型之該些線係由空氣間隙所分離。The integrated circuit structure of claim 1, wherein the lines of the plurality of alternating first and second conductive line types are separated by air gaps. 如申請專利範圍第1項之積體電路結構,其中該第一導電線類型之該總組成實質上包含銅,及其中該第二導電線類型之該總組成實質上包含選自由Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、Cu、W、Ag、Au及其合金所組成之群組的材料。The integrated circuit structure of claim 1, wherein the overall composition of the first conductive line type substantially comprises copper, and wherein the overall composition of the second conductive line type substantially comprises a group selected from Al, Ti, A material of the group consisting of Zr, Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au and their alloys. 如申請專利範圍第1項之積體電路結構,其中該些複數交替的第一與第二導電線類型之該些線各包含沿著該線之底部及側壁的障壁層。The integrated circuit structure of claim 1, wherein the lines of the plurality of alternating first and second conductive line types each include barrier layers along bottoms and sidewalls of the lines. 如申請專利範圍第1項之積體電路結構,其中該些複數交替的第一與第二導電線類型之該些線各包括沿著該線之底部但非沿著該線之側壁的障壁層。The integrated circuit structure of claim 1, wherein the lines of the plurality of alternating first and second conductive line types each include a barrier layer along the bottom of the line but not along sidewalls of the line . 如申請專利範圍第1項之積體電路結構,其中該些複數交替的第一與第二導電線類型之該些線的一或更多者被連接至下方通孔,其被連接至下方金屬化層,該下方金屬化層係介於該些一或更多閘極電極堆疊與該BEOL金屬化層之間,及其中該些複數交替的第一與第二導電線類型之該些線的一或更多者係由電介質插塞所中斷。The integrated circuit structure of claim 1, wherein one or more of the lines of the plurality of alternating first and second conductive line types are connected to an underlying via, which is connected to an underlying metal a metallization layer, the underlying metallization layer is between the one or more gate electrode stacks and the BEOL metallization layer, and wherein the plurality of alternating first and second conductive line types of the lines One or more are interrupted by dielectric plugs. 如申請專利範圍第1項之積體電路結構,其中該光柵圖案具有恆定節距。The integrated circuit structure of claim 1, wherein the grating pattern has a constant pitch. 如申請專利範圍第1項之積體電路結構,進一步包含:   該些一或更多閘極電極堆疊之兩側上的源極或汲極區,其中該些源極或汲極區係相鄰於該些複數半導體本體之該些上部分並包含不同於該些半導體本體之該半導體材料的半導體材料。The integrated circuit structure of claim 1 further comprises: source or drain regions on both sides of the one or more gate electrode stacks, wherein the source or drain regions are adjacent A semiconductor material different from the semiconductor material of the semiconductor bodies is included in the upper portions of the plurality of semiconductor bodies. 如申請專利範圍第1項之積體電路結構,進一步包含:   該些一或更多閘極電極堆疊之兩側上的源極或汲極區,其中該些源極或汲極區係位於該些複數半導體本體之該些上部分內。The integrated circuit structure of claim 1, further comprising: source or drain regions on both sides of the one or more gate electrode stacks, wherein the source or drain regions are located in the within the upper portions of the plurality of semiconductor bodies. 如申請專利範圍第1項之積體電路結構,其中該些一或更多閘極電極堆疊之各者包含高k閘極電介質層及金屬閘極電極。The integrated circuit structure of claim 1, wherein each of the one or more gate electrode stacks includes a high-k gate dielectric layer and a metal gate electrode. 如申請專利範圍第1項之積體電路結構,其中該些第一導電線類型具有上表面,其具有不同於該些第二導電線類型之上表面的金屬組成之金屬組成。The integrated circuit structure of claim 1, wherein the first conductive line types have upper surfaces having a metal composition different from the metal composition of the upper surfaces of the second conductive line types. 一種積體電路結構,包含:   複數從半導體基底之表面突出的半導體本體,該些複數半導體本體具有由部分本體部分所中斷的光柵圖案;   溝槽隔離層,其係介於該些複數半導體本體之間並相鄰於該些複數半導體本體之下部分,但不相鄰於該些複數半導體本體之上部分,其中該溝槽隔離層位於該部分本體部分之上;   一或更多閘極電極堆疊,其係於該些複數半導體本體之該些上部分的頂部表面上且側面地相鄰於該些複數半導體本體之該些上部分的側壁,以及於該溝槽隔離層之部分上;及   後段製程(BEOL)金屬化層,其係於該些一或更多閘極電極堆疊上方,該BEOL金屬化層包含沿著相同方向之複數交替的第一與第二導電線類型,其中該些複數交替的第一與第二導電線類型之線各包含沿著該線之底部但非沿著該線之側壁的障壁層。An integrated circuit structure, comprising: a plurality of semiconductor bodies protruding from a surface of a semiconductor substrate, the plurality of semiconductor bodies having a grating pattern interrupted by part of the body portion; a trench isolation layer interposed between the plurality of semiconductor bodies Between and adjacent to the lower part of the plurality of semiconductor bodies, but not adjacent to the upper part of the plurality of semiconductor bodies, wherein the trench isolation layer is located above the part of the body part; One or more gate electrode stacks , which are on the top surfaces of the upper portions of the plurality of semiconductor bodies and are laterally adjacent to the sidewalls of the upper portions of the plurality of semiconductor bodies, and on portions of the trench isolation layer; and subsequent stages a process (BEOL) metallization layer over the one or more gate electrode stacks, the BEOL metallization layer comprising a plurality of alternating first and second conductive line types along the same direction, wherein the plurality of Alternating lines of the first and second conductive line types each include barrier layers along the bottom of the line but not along the sidewalls of the line. 如申請專利範圍第14項之積體電路結構,其中該第一導電線類型之線被隔離以一節距,及其中該第二導電線類型之線被隔離以該節距。The integrated circuit structure of claim 14, wherein the wires of the first conductive line type are separated by a pitch, and wherein the wires of the second conductive wire type are separated by the pitch. 如申請專利範圍第14項之積體電路結構,其中該些複數交替的第一與第二導電線類型係位於層間電介質(ILD)層中。The integrated circuit structure of claim 14, wherein the plurality of alternating first and second conductive line types are in an interlayer dielectric (ILD) layer. 如申請專利範圍第14項之積體電路結構,其中該些複數交替的第一與第二導電線類型之該些線係由空氣間隙所分離。The integrated circuit structure of claim 14, wherein the lines of the plurality of alternating first and second conductive line types are separated by air gaps. 如申請專利範圍第14項之積體電路結構,其中該第一導電線類型之總組成係相同於該第二導電線類型之總組成。The integrated circuit structure of claim 14, wherein the overall composition of the first conductive line type is the same as the overall composition of the second conductive line type. 如申請專利範圍第14項之積體電路結構,其中該第一導電線類型之總組成實質上包含銅,及其中該第二導電線類型之總組成實質上包含選自由Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、Cu、W、Ag、Au及其合金所組成之群組的材料。The integrated circuit structure of claim 14, wherein the overall composition of the first conductive line type substantially comprises copper, and wherein the overall composition of the second conductive line type substantially comprises the group consisting of Al, Ti, Zr, A material of the group consisting of Hf, V, Ru, Co, Ni, Pd, Pt, Cu, W, Ag, Au and their alloys. 如申請專利範圍第14項之積體電路結構,其中該些複數交替的第一與第二導電線類型之該些線的一或更多者被連接至下方通孔,其被連接至下方金屬化層,該下方金屬化層係介於該些一或更多閘極電極堆疊與該BEOL金屬化層之間,及其中該些複數交替的第一與第二導電線類型之該些線的一或更多者係由電介質插塞所中斷。The integrated circuit structure of claim 14, wherein one or more of the lines of the plurality of alternating first and second conductive line types are connected to an underlying via which is connected to an underlying metal a metallization layer, the underlying metallization layer is between the one or more gate electrode stacks and the BEOL metallization layer, and wherein the plurality of alternating first and second conductive line types of the lines One or more are interrupted by dielectric plugs. 如申請專利範圍第14項之積體電路結構,其中該光柵圖案具有恆定節距。The integrated circuit structure of claim 14, wherein the grating pattern has a constant pitch. 如申請專利範圍第14項之積體電路結構,進一步包含:   該些一或更多閘極電極堆疊之兩側上的源極或汲極區,其中該些源極或汲極區係相鄰於該些複數半導體本體之該些上部分並包含不同於該些半導體本體之該半導體材料的半導體材料。The integrated circuit structure of claim 14, further comprising: source or drain regions on both sides of the one or more gate electrode stacks, wherein the source or drain regions are adjacent A semiconductor material different from the semiconductor material of the semiconductor bodies is included in the upper portions of the plurality of semiconductor bodies. 如申請專利範圍第14項之積體電路結構,進一步包含:   該些一或更多閘極電極堆疊之兩側上的源極或汲極區,其中該些源極或汲極區係位於該些複數半導體本體之該些上部分內。The integrated circuit structure of claim 14, further comprising: source or drain regions on both sides of the one or more gate electrode stacks, wherein the source or drain regions are located in the within the upper portions of the plurality of semiconductor bodies. 如申請專利範圍第14項之積體電路結構,其中該些一或更多閘極電極堆疊之各者包含高k閘極電介質層及金屬閘極電極。The integrated circuit structure of claim 14, wherein each of the one or more gate electrode stacks includes a high-k gate dielectric layer and a metal gate electrode. 一種積體電路結構,包含:   複數從半導體基底之表面突出的半導體本體,該些複數半導體本體具有由部分本體部分所中斷的第一光柵圖案;   溝槽隔離層,其係介於該些複數半導體本體之間並相鄰於該些複數半導體本體之下部分,但不相鄰於該些複數半導體本體之上部分,其中該溝槽隔離層位於該部分本體部分之上;   一或更多閘極電極堆疊,其係於該些複數半導體本體之該些上部分的頂部表面上且側面地相鄰於該些複數半導體本體之該些上部分的側壁,以及於該溝槽隔離層之部分上;   第一後段製程(BEOL)金屬化層,其係於該些一或更多閘極電極堆疊上方,該第一BEOL金屬化層包含於第一方向之交替金屬線與電介質線的第二光柵;及   第二BEOL金屬化層,其係於該第一BEOL金屬化層上方,該第二BEOL金屬化層包含於第二方向之交替金屬線與電介質線的第三光柵,該第二方向係正交於該第一方向,其中該第二BEOL金屬化層之該第三光柵的各金屬線係於電介質層上,該電介質層包含相應於該第一BEOL金屬化層的交替金屬線與電介質層線之第一電介質材料與第二電介質材料的交替不同區,及其中該第二BEOL金屬化層之該第三光柵的各電介質線包含第三電介質材料之連續區,其係不同於該第一電介質材料與該第二電介質材料的該些交替不同區。An integrated circuit structure, comprising: a plurality of semiconductor bodies protruding from a surface of a semiconductor substrate, the plurality of semiconductor bodies having a first grating pattern interrupted by a portion of the body portion; a trench isolation layer interposed between the plurality of semiconductor bodies Between the bodies and adjacent to the lower part of the plurality of semiconductor bodies, but not adjacent to the upper part of the plurality of semiconductor bodies, wherein the trench isolation layer is located above the part of the body part; One or more gates electrode stacks on top surfaces of the upper portions of the plurality of semiconductor bodies and laterally adjacent to sidewalls of the upper portions of the plurality of semiconductor bodies, and on portions of the trench isolation layer; a first back end of line (BEOL) metallization layer over the one or more gate electrode stacks, the first BEOL metallization layer comprising a second grating of alternating metal and dielectric lines in a first direction; and a second BEOL metallization layer over the first BEOL metallization layer, the second BEOL metallization layer comprising a third grating of alternating metal and dielectric lines in a second direction, the second direction being positive intersecting the first direction, wherein the metal lines of the third grating of the second BEOL metallization layer are tied to a dielectric layer comprising alternating metal lines and dielectric layers corresponding to the first BEOL metallization layer alternating distinct regions of a first dielectric material and a second dielectric material of lines, and wherein each dielectric line of the third grating of the second BEOL metallization layer includes a continuous region of a third dielectric material that is distinct from the first The alternating regions of dielectric material and the second dielectric material. 如申請專利範圍第25項之積體電路結構,其中該第二BEOL金屬化層之金屬線係藉由通孔而被電耦合至該第一BEOL金屬化層之金屬線,該通孔具有一與該第一BEOL金屬化層之該金屬線的中心以及與該第二BEOL金屬化層之該金屬線的中心直接對準的中心。The integrated circuit structure of claim 25, wherein the metal lines of the second BEOL metallization layer are electrically coupled to the metal lines of the first BEOL metallization layer through vias having a A center directly aligned with the center of the metal line of the first BEOL metallization layer and with the center of the metal line of the second BEOL metallization layer. 如申請專利範圍第25項之積體電路結構,其中該第二BEOL金屬化層之金屬線係藉由插塞而被中斷,該插塞具有與該第一BEOL金屬化層之電介質線的中心直接對準的中心。The integrated circuit structure of claim 25, wherein the metal lines of the second BEOL metallization layer are interrupted by plugs having centers with the dielectric lines of the first BEOL metallization layer Align directly to the center. 如申請專利範圍第25項之積體電路結構,其中該第一電介質材料、該第二電介質材料、及該第三電介質材料均非相同材料。The integrated circuit structure of claim 25, wherein the first dielectric material, the second dielectric material, and the third dielectric material are not the same material. 如申請專利範圍第25項之積體電路結構,其中該第一電介質材料、該第二電介質材料、及該第三電介質材料之僅兩者為相同材料。The integrated circuit structure of claim 25, wherein only two of the first dielectric material, the second dielectric material, and the third dielectric material are the same material. 如申請專利範圍第25項之積體電路結構,其中該第一電介質材料與該第二電介質材料之該些交替不同區係由接縫所分離,及其中該第三電介質材料之該連續區係藉由接縫而與該第一電介質材料和該第二電介質材料之該些交替不同區分離。The integrated circuit structure of claim 25, wherein the alternating distinct regions of the first dielectric material and the second dielectric material are separated by seams, and wherein the continuous region of the third dielectric material The alternating distinct regions of the first dielectric material and the second dielectric material are separated by seams. 如申請專利範圍第25項之積體電路結構,其中該第一電介質材料、該第二電介質材料、及該第三電介質材料均為相同材料。The integrated circuit structure of claim 25, wherein the first dielectric material, the second dielectric material, and the third dielectric material are all the same material. 如申請專利範圍第25項之積體電路結構,其中該第一光柵圖案具有恆定節距。The integrated circuit structure of claim 25, wherein the first grating pattern has a constant pitch. 如申請專利範圍第25項之積體電路結構,進一步包含:   該些一或更多閘極電極堆疊之兩側上的源極或汲極區,其中該些源極或汲極區係相鄰於該些複數半導體本體之該些上部分並包含不同於該些半導體本體之該半導體材料的半導體材料。The integrated circuit structure of claim 25, further comprising: source or drain regions on both sides of the one or more gate electrode stacks, wherein the source or drain regions are adjacent A semiconductor material different from the semiconductor material of the semiconductor bodies is included in the upper portions of the plurality of semiconductor bodies. 如申請專利範圍第25項之積體電路結構,進一步包含:   該些一或更多閘極電極堆疊之兩側上的源極或汲極區,其中該些源極或汲極區係位於該些複數半導體本體之該些上部分內。The integrated circuit structure of claim 25, further comprising: source or drain regions on both sides of the one or more gate electrode stacks, wherein the source or drain regions are located in the within the upper portions of the plurality of semiconductor bodies. 如申請專利範圍第25項之積體電路結構,其中該些一或更多閘極電極堆疊之各者包含高k閘極電介質層及金屬閘極電極。The integrated circuit structure of claim 25, wherein each of the one or more gate electrode stacks includes a high-k gate dielectric layer and a metal gate electrode. 如申請專利範圍第25項之積體電路結構,其中蝕刻停止層或額外電介質層係分離該第一BEOL金屬化層與該第二BEOL金屬化層。The integrated circuit structure of claim 25, wherein an etch stop layer or additional dielectric layer separates the first BEOL metallization layer and the second BEOL metallization layer. 一種製造積體電路結構之方法,該方法包含:     形成複數骨幹特徵於基底上方;     沿著該些複數骨幹特徵之各者的側壁形成第一組間隔物,該些第一組間隔物具有不同於該些複數骨幹特徵之材料組成的第一材料組成;     沿著該些第一組間隔物之各者的側壁形成第二組間隔物,該些第二組間隔物具有不同於該第一材料組成且不同於該些複數骨幹特徵之該材料組成的第二材料組成;     沿著該些第二組間隔物之各者的側壁形成第三組間隔物,該些第三組間隔物具有不同於該第一材料組成、不同於該第二材料組成、且不同於該些複數骨幹特徵之該材料組成的第三材料組成;     沿著該些第三組間隔物之各者的側壁形成第四組間隔物,該些第四組間隔物具有該第二材料組成;     形成側面地相鄰於該些第四組間隔物之各者的側壁之第五組間隔物,該第五組間隔物具有該第一材料組成;     在形成該些第五組間隔物後,移除該些複數骨幹特徵;     在移除該些複數骨幹特徵後,沿著該些第一組間隔物之各者的側壁及沿著該些第五組間隔物之各者的側壁形成第六組間隔物,該些第六組間隔物具有該第二材料組成;     形成最後特徵於該些第六組間隔物的相鄰對間隔物之間的各開口中;     平坦化該些第一組間隔物、該些第二組間隔物、該些第三組間隔物、該些第四組間隔物、該些第五組間隔物、該些第六組間隔物、及該些最後特徵以形成目標基礎層;及     使用該目標基礎層以形成半導體結構之金屬化層。A method of fabricating an integrated circuit structure, the method comprising: forming a plurality of backbone features over a substrate; forming a first set of spacers along sidewalls of each of the plurality of backbone features, the first set of spacers having different forming a second set of spacers along sidewalls of each of the first set of spacers, the second set of spacers having a different material composition than the first set of spacers and a second material composition different from the material composition of the plurality of backbone features; forming a third group of spacers along sidewalls of each of the second group of spacers, the third group of spacers having different a first material composition, a third material composition different from the second material composition, and a third material composition different from the material composition of the plurality of backbone features; forming a fourth set of spacers along sidewalls of each of the third set of spacers forming a fifth set of spacers laterally adjacent to the sidewalls of each of the fourth set of spacers, the fifth set of spacers having the second set of spacers a material composition; after forming the fifth set of spacers, removing the plurality of backbone features; after removing the plurality of backbone features, along the sidewalls of each of the first set of spacers and along the The sidewalls of each of the fifth set of spacers form a sixth set of spacers, the sixth set of spacers having the second material composition; forming an adjacent pair of spacers finally characterized by the sixth set of spacers in each opening between; flattening the first group of spacers, the second group of spacers, the third group of spacers, the fourth group of spacers, the fifth group of spacers, the the sixth set of spacers, and the final features to form a target base layer; and using the target base layer to form a metallization layer of the semiconductor structure. 如申請專利範圍第37項之方法,其中形成該些複數骨幹特徵包含使用標準微影操作。The method of claim 37, wherein forming the plurality of backbone features comprises using standard lithography operations. 如申請專利範圍第37項之方法,其中形成該些複數骨幹特徵包含形成包含一材料之複數特徵,該材料係選自由氮化矽、氧化矽及碳化矽所組成之群組。The method of claim 37, wherein forming the plurality of backbone features comprises forming the plurality of features comprising a material selected from the group consisting of silicon nitride, silicon oxide, and silicon carbide. 如申請專利範圍第37項之方法,其中形成該些第一組間隔物包含:   使用原子層沈積(ALD)製程以沈積與該些複數骨幹特徵共形之該些第一組間隔物的材料;及   各向異性地蝕刻該些第一組間隔物的該材料以沿著該些複數骨幹特徵之各者的該些側壁形成該些第一組間隔物。The method of claim 37, wherein forming the first set of spacers comprises: using an atomic layer deposition (ALD) process to deposit material of the first set of spacers conformal to the plurality of backbone features; and anisotropically etching the material of the first set of spacers to form the first set of spacers along the sidewalls of each of the plurality of backbone features. 如申請專利範圍第37項之方法,其中形成該些第一組間隔物包含沿著該些複數骨幹特徵之各者的該些側壁選擇性地生長該些第一組間隔物的材料。The method of claim 37, wherein forming the first set of spacers comprises selectively growing material of the first set of spacers along the sidewalls of each of the plurality of backbone features. 如申請專利範圍第37項之方法,其中各最後特徵具有大於來自該些第一組間隔物、該些第二組間隔物、該些第三組間隔物、該些第四組間隔物、該些第五組間隔物、及該些第六組間隔物之各間隔物的側面寬度之側面寬度。37. The method of claim 37, wherein each final feature has a greater value from the first set of spacers, the second set of spacers, the third set of spacers, the fourth set of spacers, the the side width of the side widths of the spacers of the fifth group of spacers and the spacers of the sixth group of spacers. 如申請專利範圍第37項之方法,其中各最後特徵係藉由沿著該些第六組間隔物之相鄰對間隔物所形成的材料生長之合併來形成。The method of claim 37, wherein each final feature is formed by amalgamation of material growth formed along adjacent pairs of spacers of the sixth set of spacers. 如申請專利範圍第37項之方法,其中各最後特徵包含該第三材料組成。The method of claim 37, wherein each final feature comprises the third material composition. 如申請專利範圍第37項之方法,其中使用該目標基礎層以形成該半導體結構之該金屬化層包含:   移除該第一材料組成之所有部分以形成第一複數溝槽;及   形成第一複數導電線於該些第一複數溝槽中。The method of claim 37, wherein using the target base layer to form the metallization layer of the semiconductor structure comprises: removing all portions of the first material composition to form a first plurality of trenches; and forming a first plurality of trenches A plurality of conductive lines are in the first plurality of trenches. 如申請專利範圍第45項之方法,其中使用該目標基礎層以形成該半導體結構之該金屬化層進一步包含:   移除該第三材料組成之所有部分以形成第二複數溝槽;及   形成第二複數導電線於該些第二複數溝槽中。The method of claim 45, wherein using the target base layer to form the metallization layer of the semiconductor structure further comprises: removing all portions of the third material composition to form a second plurality of trenches; and forming a first plurality of trenches Two pluralities of conductive lines are in the second pluralities of trenches. 如申請專利範圍第46項之方法,其中該些第一複數導電線與該些第二複數導電線為相同組成。The method of claim 46, wherein the first plurality of conductive lines and the second plurality of conductive lines have the same composition. 如申請專利範圍第46項之方法,其中該些第一複數導電線與該些第二複數導電線為不同組成。The method of claim 46, wherein the first plurality of conductive lines and the second plurality of conductive lines are of different compositions. 如申請專利範圍第37項之方法,進一步包含形成額外20-200組間隔物在形成該些第五組間隔物與該些第六組間隔物之間,且在移除該些複數骨幹特徵之前。The method of claim 37, further comprising forming an additional 20-200 sets of spacers between forming the fifth set of spacers and the sixth set of spacers, and before removing the plurality of backbone features . 一種用以製造積體電路結構之目標結構,該目標結構包含:    基底上方之硬遮罩層上方的第一組間隔物,該些第一組間隔物具有第一材料組成;    沿著該些第一組間隔物之各者的外側壁之第二組間隔物,該些第二組間隔物具有不同於該第一材料組成之第二材料組成;    沿著該些第二組間隔物之各者的側壁之第三組間隔物,該些第三組間隔物具有不同於該第一材料組成、且不同於該第二材料組成之第三材料組成;    沿著該些第三組間隔物之各者的側壁之第四組間隔物,該些第四組間隔物具有該第二材料組成;    側面地相鄰於該些第四組間隔物之各者的側壁之第五組間隔物,該些第五組間隔物具有該第一材料組成;    沿著該些第一組間隔物之各者的內側壁及沿著該些第五組間隔物之各者的側壁之第六組間隔物,該些第六組間隔物具有該第二材料組成;及    於該些第六組間隔物的相鄰對間隔物之間的各開口中之最後特徵。A target structure for fabricating an integrated circuit structure, the target structure comprising: a first set of spacers over a hard mask layer over a substrate, the first set of spacers having a first material composition; along the first set of spacers a second set of spacers of the outer sidewalls of each of a set of spacers, the second set of spacers having a second material composition different from the first material composition; along each of the second set of spacers a third group of spacers of the sidewalls, the third group of spacers having a third material composition different from the first material composition and different from the second material composition; along each of the third group of spacers a fourth group of spacers of the sidewalls of the fourth group of spacers, the fourth group of spacers having the second material composition; a fifth group of spacers laterally adjacent to the sidewalls of each of the fourth group of spacers, the a fifth set of spacers having the first material composition; a sixth set of spacers along inner sidewalls of each of the first set of spacers and along sidewalls of each of the fifth set of spacers, the The sixth sets of spacers have the second material composition; and the last feature in each opening between adjacent pairs of spacers of the sixth set of spacers. 如申請專利範圍第50項之目標結構,其中該些第一組間隔物、該些第二組間隔物、該些第三組間隔物、該些第四組間隔物、該些第五組間隔物、該些第六組間隔物、與該些最後特徵係實質上彼此共面的。The target structure of claim 50, wherein the first group of spacers, the second group of spacers, the third group of spacers, the fourth group of spacers, and the fifth group of spacers The spacers, the sixth set of spacers, and the final features are substantially coplanar with each other. 如申請專利範圍第50項之目標結構,其中各最後特徵具有大於來自該些第一組間隔物、該些第二組間隔物、該些第三組間隔物、該些第四組間隔物、該些第五組間隔物、及該些第六組間隔物之各間隔物的側面寬度之側面寬度。The target structure of claim 50, wherein each final feature has greater values from the first set of spacers, the second set of spacers, the third set of spacers, the fourth set of spacers, The side width of the spacers of the fifth group of spacers and the side width of each spacer of the sixth group of spacers. 如申請專利範圍第52項之目標結構,其中各最後特徵之該側面寬度係於6-12奈米之範圍中。The target structure of claim 52, wherein the side width of each final feature is in the range of 6-12 nm. 如申請專利範圍第50項之目標結構,其中各最後特徵具有大約集中於該最後特徵內之接縫。The target structure of claim 50, wherein each final feature has a seam approximately centered within the final feature. 如申請專利範圍第50項之目標結構,其中各最後特徵包含該第三材料組成。The target structure of claim 50, wherein each final feature comprises the third material composition.
TW107106019A 2018-02-22 2018-02-22 Advanced lithography and self-assembled devices TWI766949B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW107106019A TWI766949B (en) 2018-02-22 2018-02-22 Advanced lithography and self-assembled devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW107106019A TWI766949B (en) 2018-02-22 2018-02-22 Advanced lithography and self-assembled devices

Publications (2)

Publication Number Publication Date
TW201936486A TW201936486A (en) 2019-09-16
TWI766949B true TWI766949B (en) 2022-06-11

Family

ID=68618576

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107106019A TWI766949B (en) 2018-02-22 2018-02-22 Advanced lithography and self-assembled devices

Country Status (1)

Country Link
TW (1) TWI766949B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI809745B (en) * 2021-12-20 2023-07-21 南亞科技股份有限公司 Semiconductor device with integrated decoupling and alignment features
US11791328B2 (en) 2021-12-20 2023-10-17 Nanya Technology Corporation Method for fabricating semiconductor device with integrated decoupling and alignment features

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974729B2 (en) * 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US7488650B2 (en) * 2005-02-18 2009-02-10 Infineon Technologies Ag Method of forming trench-gate electrode for FinFET device
TW201714208A (en) * 2015-10-07 2017-04-16 聯華電子股份有限公司 Semiconductor device and method for fabricating the same
EP3174105A1 (en) * 2012-09-19 2017-05-31 Intel Corporation Gate contact structure over active gate and method to fabricate same
US20170294350A1 (en) * 2011-12-22 2017-10-12 Intel Corporation Gate aligned contact and method to fabricate same
TW201801319A (en) * 2015-12-23 2018-01-01 英特爾股份有限公司 Transistor with dual-gate spacer

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974729B2 (en) * 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US7488650B2 (en) * 2005-02-18 2009-02-10 Infineon Technologies Ag Method of forming trench-gate electrode for FinFET device
US20170294350A1 (en) * 2011-12-22 2017-10-12 Intel Corporation Gate aligned contact and method to fabricate same
EP3174105A1 (en) * 2012-09-19 2017-05-31 Intel Corporation Gate contact structure over active gate and method to fabricate same
TW201714208A (en) * 2015-10-07 2017-04-16 聯華電子股份有限公司 Semiconductor device and method for fabricating the same
TW201801319A (en) * 2015-12-23 2018-01-01 英特爾股份有限公司 Transistor with dual-gate spacer

Also Published As

Publication number Publication date
TW201936486A (en) 2019-09-16

Similar Documents

Publication Publication Date Title
JP7251040B2 (en) Advanced lithography and self-assembled devices
KR102475024B1 (en) Inherently Selective Precursors for Deposition of Second or Third Row Transition Metal Thin Films
TWI540621B (en) Previous layer self-aligned via and plug patterning for back end of line (beol) interconnects
US10297467B2 (en) Self-aligned via and plug patterning for back end of line (BEOL) interconnects
KR102287787B1 (en) Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
KR102350503B1 (en) Photodefinable alignment layer for chemical assisted patterning
TWI751188B (en) Photobucket floor colors with selective grafting
TWI766949B (en) Advanced lithography and self-assembled devices
TWI806638B (en) Advanced lithography and self-assembled devices
TW202411153A (en) Advanced lithography and self-assembled devices