TWI764050B - Semiconductor devices and methods for forming the same - Google Patents

Semiconductor devices and methods for forming the same

Info

Publication number
TWI764050B
TWI764050B TW108135427A TW108135427A TWI764050B TW I764050 B TWI764050 B TW I764050B TW 108135427 A TW108135427 A TW 108135427A TW 108135427 A TW108135427 A TW 108135427A TW I764050 B TWI764050 B TW I764050B
Authority
TW
Taiwan
Prior art keywords
region
fin
forming
etching process
reshaped
Prior art date
Application number
TW108135427A
Other languages
Chinese (zh)
Other versions
TW202029349A (en
Inventor
林千
李堃毓
沙哈吉 B 摩爾
李承翰
張世杰
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/429,262 external-priority patent/US11315838B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202029349A publication Critical patent/TW202029349A/en
Application granted granted Critical
Publication of TWI764050B publication Critical patent/TWI764050B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A method for forming a semiconductor device includes patterning a substrate to form a strip including a first semiconductor material, forming an isolation region along a sidewall of the strip, an upper portion of the strip extending above the isolation region, forming a dummy structure along sidewalls and a top surface of the upper portion of the strip, performing a first etching process on an exposed portion of the upper portion of the strip to form a first recess, the exposed portion of the strip being exposed by the dummy structure, after performing the first etching process, reshaping the first recess to have a V-shaped bottom surface using a second etching process, wherein the second etching process is selective to first crystalline planes having a first orientation relative to second crystalline planes having a second orientation, and epitaxially growing a source/drain region in the reshaped first recess.

Description

半導體裝置及其形成方法Semiconductor device and method of forming the same

本發明實施例係有關於半導體技術,且特別是有關於半導體裝置及其形成方法。Embodiments of the invention relate to semiconductor technology, and more particularly, to semiconductor devices and methods of forming the same.

半導體裝置用於各式各樣的電子應用中,例如個人電腦、手機、數位相機和其他電子設備。半導體裝置一般透過在半導體基底上依序地沉積絕緣層或介電層、導電層和半導體層材料,並使用微影技術將各種材料層圖案化,以形成電路組件和元件於其上。Semiconductor devices are used in a wide variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic equipment. Semiconductor devices generally form circuit components and components thereon by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductor layer materials on a semiconductor substrate, and patterning the various material layers using lithography techniques.

半導體工業透過持續降低最小部件(feature)的尺寸,持續改善各種電子組件(例如電晶體、二極體、電阻、電容等)的集成密度,使得更多的組件集成於既定面積中。然而,當降低最小部件的尺寸,出現了應解決的附加問題。The semiconductor industry continues to improve the integration density of various electronic components (such as transistors, diodes, resistors, capacitors, etc.) by continuously reducing the size of the smallest features, so that more components are integrated into a given area. However, when reducing the size of the smallest components, additional problems arise that should be addressed.

在一些實施例中,提供半導體裝置的形成方法,此方法包含在基底上形成鰭;形成隔離區與鰭相鄰;在鰭上方形成虛設結構;使用第一蝕刻製程將與虛設結構相鄰的鰭凹陷,以形成第一凹口;使用第二蝕刻製程將第一凹口重塑,以形成重塑的第一凹口,其中重塑的第一凹口的底部由第一側壁表面的晶面與第二側壁表面的晶面相交來定義,其中第一側壁表面面向第二側壁表面;以及在重塑的第一凹口中磊晶成長源極/汲極區。In some embodiments, a method of forming a semiconductor device is provided, the method comprising forming a fin on a substrate; forming an isolation region adjacent to the fin; forming a dummy structure over the fin; Recessing to form a first notch; using a second etching process to reshape the first notch to form a reshaped first notch, wherein the bottom of the reshaped first notch is formed by the crystal plane of the first sidewall surface Defined by intersection with a crystal plane of the second sidewall surface, wherein the first sidewall surface faces the second sidewall surface; and source/drain regions are epitaxially grown in the reshaped first recess.

在一些其他實施例中,提供半導體裝置的形成方法,此方法包含將基底圖案化以形成條帶,條帶包括第一半導體材料;沿條帶的側壁形成隔離區,條帶的上部延伸於隔離區的頂表面之上;沿條帶的上部的側壁和頂表面形成虛設結構;對條帶的上部的暴露部分進行第一蝕刻製程以形成第一凹口,條帶的暴露部分被虛設結構暴露出來;在進行第一蝕刻製程之後,使用第二蝕刻製程重塑第一凹口以具有V形底表面,其中相對於具有第二晶向的第二晶面,第二蝕刻製程對具有第一晶向的第一晶面有選擇性;以及在重塑的第一凹口中磊晶成長源極/汲極區。In some other embodiments, a method of forming a semiconductor device is provided, the method comprising patterning a substrate to form strips, the strips comprising a first semiconductor material; forming isolation regions along sidewalls of the strips, upper portions of the strips extending from the isolation above the top surface of the region; forming a dummy structure along the sidewall and top surface of the upper part of the strip; performing a first etching process on the exposed part of the upper part of the strip to form a first recess, the exposed part of the strip is exposed by the dummy structure out; after the first etching process is performed, the first recess is reshaped to have a V-shaped bottom surface using a second etching process, wherein the second etching process pair has the first notch with respect to the second crystal plane having the second crystal orientation The first crystal plane of the crystal orientation is selective; and the source/drain regions are epitaxially grown in the reshaped first recess.

在另外一些實施例中,提供半導體裝置,半導體裝置包含鰭,位於基底上方,其中鰭的底部的第一側壁表面沿第一晶向的晶面延伸;隔離區,與鰭相鄰;閘極結構,沿鰭的側壁及鰭的頂表面上方延伸;閘極間隙壁,與閘極結構橫向相鄰;以及磊晶區,與鰭相鄰,其中磊晶區的底部漸縮至一點。In other embodiments, a semiconductor device is provided, the semiconductor device comprising a fin located over a substrate, wherein a first sidewall surface of a bottom of the fin extends along a crystal plane of a first crystallographic direction; an isolation region adjacent to the fin; and a gate structure , extending along the sidewalls of the fin and over the top surface of the fin; a gate spacer, laterally adjacent to the gate structure; and an epitaxial region adjacent to the fin, wherein the bottom of the epitaxial region tapers to a point.

要瞭解的是以下的揭露內容提供許多不同的實施例或範例,以實施提供之主體的不同部件。以下敘述各個構件及其排列方式的特定範例,以求簡化揭露內容的說明。當然,這些僅為範例並非用以限定本發明。例如,以下的揭露內容敘述了將一第一部件形成於一第二部件之上或上方,即表示其包含了所形成的上述第一部件與上述第二部件是直接接觸的實施例,亦包含了尚可將附加的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與上述第二部件可能未直接接觸的實施例。此外,揭露內容中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。It is to be understood that the following disclosure provides many different embodiments or examples for implementing different components of the provided subject matter. Specific examples of various components and their arrangements are described below in order to simplify the description of the disclosure. Of course, these are only examples and are not intended to limit the present invention. For example, the following disclosure describes that a first part is formed on or over a second part, which means that it includes embodiments in which the formed first part and the second part are in direct contact, and also includes For example, an additional component may be formed between the first component and the second component, and the first component and the second component may not be in direct contact with each other. In addition, different examples in the disclosure may use repeated reference symbols and/or words. These repeated symbols or words are used for the purpose of simplicity and clarity, and are not used to limit the relationship between the various embodiments and/or the appearance structures.

再者,為了方便描述圖式中一元件或部件與另一(複數)元件或(複數)部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語也涵蓋裝置在使用或操作中的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。Furthermore, for convenience in describing the relationship of one element or component to another (plural) element or (plural) component in the drawings, spatially relative terms such as "under", "under", "under" may be used. ”, “above”, “upper” and similar terms. In addition to the orientation depicted in the drawings, spatially relative terms also encompass different orientations of the device in use or operation. The device may also be otherwise oriented (eg, rotated 90 degrees or at other orientations) and the description of the spatially relative terms used interpreted accordingly.

以下將針對特定情況討論本發明實施例,即鰭式場效電晶體裝置及其形成方法。本文討論的各種實施例允許控制鰭式場效電晶體裝置的磊晶源極/汲極區的形狀,使得磊晶源極/汲極區的底部具有由晶面定義的尖頭形狀。透過以此種方式控制鰭式場效電晶體的磊晶源極/汲極區的形狀,可改善鰭式場效電晶體裝置的效能。在使用閘極後製製程形成的鰭式場效電晶體的情況下討論本文呈現的各種實施例。在其他實施例中,可使用閘極先製製程。一些實施例考慮了用於平面裝置的方面,例如平面場效電晶體。一些實施例也可用於非場效電晶體的半導體裝置中。Embodiments of the present invention will be discussed below for a specific case, ie, a fin field effect transistor device and a method of forming the same. Various embodiments discussed herein allow the shape of the epitaxial source/drain regions of the FinFET device to be controlled such that the bottoms of the epitaxial source/drain regions have a pointed shape defined by the crystal planes. By controlling the shape of the epitaxial source/drain regions of the finFET in this way, the performance of the finFET device can be improved. Various embodiments presented herein are discussed in the context of fin field effect transistors formed using post-gate processing. In other embodiments, a gate-first process may be used. Some embodiments contemplate aspects for planar devices, such as planar field effect transistors. Some embodiments may also be used in semiconductor devices that are not field effect transistors.

第1圖顯示依據一些實施例之鰭式場效電晶體(FinFET)30的範例的三維視圖。鰭式場效電晶體30包括在基底32上的鰭36。隔離區34圍繞鰭36設置於基底32上,且鰭36突出於相鄰的隔離區34之上。閘極介電質38係沿鰭36的側壁和頂表面,而閘極電極40在閘極介電質38上方。源極/汲極區42和44設置於鰭36相對於閘極介電質38和閘極電極40的兩側上。第1圖更顯示用於之後圖式的參考剖面。剖面A-A橫跨鰭式場效電晶體30的通道區、閘極介電質38和閘極電極40。剖面C-C在平行於剖面A-A的平面中,並橫跨在通道區之外的鰭36。剖面B-B垂直於剖面A-A且沿鰭36的縱軸,並在例如源極/汲極區42和44之間的電流的方向。為了清楚起見,後續圖式參考這些參考剖面。FIG. 1 shows a three-dimensional view of an example of a fin field effect transistor (FinFET) 30 in accordance with some embodiments. FinFET 30 includes fins 36 on substrate 32 . The isolation regions 34 are disposed on the substrate 32 around the fins 36 , and the fins 36 protrude above the adjacent isolation regions 34 . Gate dielectric 38 is along the sidewalls and top surface of fin 36 , and gate electrode 40 is above gate dielectric 38 . Source/drain regions 42 and 44 are disposed on both sides of fin 36 relative to gate dielectric 38 and gate electrode 40 . Figure 1 further shows a reference section for subsequent drawings. Section A-A spans the channel region of finFET 30 , gate dielectric 38 and gate electrode 40 . Section C-C is in a plane parallel to section A-A and spans the fins 36 outside the channel region. Section B-B is perpendicular to section A-A and along the longitudinal axis of fin 36 , and in the direction of current flow, eg, between source/drain regions 42 and 44 . For clarity, subsequent figures refer to these reference sections.

第2A-22圖為依據一些實施例之製造鰭式場效電晶體的中間階段的剖面示意圖。在第2A到11A-11C圖和第16A-16C到21A-21C圖中,以“A”標記結尾的圖式沿著第1圖中的參考剖面A-A顯示,除了上述圖式有多個鰭式場效電晶體且每個鰭式場效電晶體有多個鰭。以“B”標記結尾的圖式沿著第1圖中的參考剖面B-B顯示。以“C”標記結尾的圖式沿著第1圖中的參考剖面C-C顯示。第12-15C和22圖為沿著第1圖中的參考剖面B-B顯示。FIGS. 2A-22 are schematic cross-sectional views of intermediate stages of fabricating a finFET in accordance with some embodiments. In Figures 2A to 11A-11C and Figures 16A-16C to 21A-21C, the figures ending with the "A" mark are shown along the reference section A-A in Figure 1, except that the above figures have multiple fin fields effect transistors and each fin field effect transistor has a plurality of fins. Figures ending with a "B" mark are shown along reference section B-B in Figure 1. Figures ending with a "C" mark are shown along reference section C-C in Figure 1. Figures 12-15C and 22 are shown along reference section B-B in Figure 1.

在第2圖中,提供基底50。基底50可為半導體基底,例如塊狀(bulk)半導體、絕緣層上覆半導體(semiconductor-on-insulator,SOI)基底或類似物,基底50可為摻雜(例如摻雜p型或n型摻雜物)或未摻雜。基底50可為晶圓,例如矽晶圓,且可具有特定晶向,例如(100)、(111)或(110)。一般來說,絕緣層上覆半導體基底包括形成於絕緣層上的半導體材料層。絕緣層可為例如埋置氧化(buried oxide,BOX)層、氧化矽層或類似物。絕緣層提供於基底上,一般為矽基底或玻璃基底。也可使用其他基底,例如多層或梯度(gradient)基底。在一些實施例中,基底50的半導體材料可包含矽、鍺、化合物半導體(包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP)或前述之組合。In Figure 2, a substrate 50 is provided. The substrate 50 may be a semiconductor substrate, such as a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like, and the substrate 50 may be doped (eg, doped p-type or n-type doped). impurities) or undoped. The substrate 50 can be a wafer, such as a silicon wafer, and can have a specific crystal orientation, such as (100), (111), or (110). Generally, a semiconductor-on-insulator substrate includes a layer of semiconductor material formed on the insulating layer. The insulating layer may be, for example, a buried oxide (BOX) layer, a silicon oxide layer, or the like. The insulating layer is provided on a substrate, typically a silicon substrate or a glass substrate. Other substrates can also be used, such as multilayer or gradient substrates. In some embodiments, the semiconductor material of the substrate 50 may include silicon, germanium, compound semiconductors (including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and/or indium antimonide), alloy semiconductors ( Including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP) or a combination of the foregoing.

基底50可更包含積體電路元件(未顯示)。本發明所屬技術領域中具通常知識者將理解各式各樣的積體電路元件(例如電晶體、二極體、電容、電阻、類似物或前述之組合)可形成於基底50中及/或基底50上,以產生用於最終鰭式場效電晶體的設計的結構和功能需求。積體電路元件可透過使用任何合適的方法形成。The substrate 50 may further include integrated circuit elements (not shown). Those of ordinary skill in the art to which this invention pertains will understand that a wide variety of integrated circuit elements (eg, transistors, diodes, capacitors, resistors, the like, or combinations of the foregoing) may be formed in the substrate 50 and/or substrate 50 to generate the structural and functional requirements for the final FinFET design. Integrated circuit elements may be formed using any suitable method.

在一些實施例中,基底50可包含第一區100A和第二區100B。第一區100A可用於形成n型裝置,例如n型金屬氧化物半導體(n-type metal oxide semiconductor,NMOS)電晶體,例如n型鰭式場效電晶體。第二區100B可用於形成p型裝置,例如p型金屬氧化物半導體(p-type metal oxide semiconductor,PMOS)電晶體,例如p型鰭式場效電晶體。因此,第一區100A也可被稱為N型金屬氧化物半導體區,而第二區100B也可被稱為P型金屬氧化物半導體區。在一些實施例中,第一區100A可與第二區100B物理隔開。第一區100A可透過任何數量的部件與與第二區100B隔開。In some embodiments, the substrate 50 may include a first region 100A and a second region 100B. The first region 100A may be used to form n-type devices, such as n-type metal oxide semiconductor (NMOS) transistors, such as n-type fin field effect transistors. The second region 100B may be used to form a p-type device, such as a p-type metal oxide semiconductor (PMOS) transistor, such as a p-type FinFET. Therefore, the first region 100A may also be referred to as an N-type metal oxide semiconductor region, and the second region 100B may also be referred to as a P-type metal oxide semiconductor region. In some embodiments, the first region 100A may be physically separated from the second region 100B. The first region 100A may be separated from the second region 100B by any number of components.

第2A圖更顯示遮罩53形成於基底50上方。在一些實施例中,遮罩53可用於後續的蝕刻步驟中,以將基底50圖案化(請參照第3A圖)。如第2A圖所示,遮罩53可包含第一遮罩層53A和第二遮罩層53B。第一遮罩層53A可為硬遮罩層,且可包含氮化矽(SiN)、氮氧化矽(SiON)、碳化矽(SiC)、氮碳化矽(SiCN)、前述之組合或類似物,且可透過使用任何合適的製程形成,例如原子層沉積(atomic layer deposition,ALD)、物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積(chemical vapor deposition,CVD)、前述之組合或類似方法。第一遮罩層53A也可包含多層,且此多層可為不同材料。舉例來說,第一遮罩層53A可包含氮化矽層在氧化矽層上方,但是也可使用其他材料和材料的組合。第二遮罩層53B可包括光阻,且在一些實施例中,第二遮罩層53B可用於上述後續的蝕刻步驟中,以將第一遮罩層53A圖案化。第二遮罩層53B可透過使用旋塗技術形成,且可透過使用合適的光微影技術來圖案化。在一些實施例中,遮罩53可包含三個或更多個遮罩層。FIG. 2A further shows that the mask 53 is formed over the substrate 50 . In some embodiments, the mask 53 can be used in a subsequent etching step to pattern the substrate 50 (please refer to FIG. 3A). As shown in FIG. 2A , the mask 53 may include a first mask layer 53A and a second mask layer 53B. The first mask layer 53A may be a hard mask layer, and may include silicon nitride (SiN), silicon oxynitride (SiON), silicon carbide (SiC), silicon carbide nitride (SiCN), a combination of the foregoing, or the like, And can be formed by using any suitable process, such as atomic layer deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (chemical vapor deposition, CVD), a combination of the foregoing or similar method. The first mask layer 53A may also include multiple layers, and the multiple layers may be of different materials. For example, the first mask layer 53A may comprise a silicon nitride layer over the silicon oxide layer, although other materials and combinations of materials may also be used. The second mask layer 53B may include photoresist, and in some embodiments, the second mask layer 53B may be used in the subsequent etching steps described above to pattern the first mask layer 53A. The second mask layer 53B can be formed by using a spin coating technique, and can be patterned by using a suitable photolithography technique. In some embodiments, mask 53 may include three or more mask layers.

第3A圖顯示半導體條帶52形成於基底50中。首先,可將第一遮罩層53A和第二遮罩層53B圖案化,其中第一遮罩層53A和第二遮罩層53B中的開口暴露出基底50將形成隔離區54(有時被稱為淺溝槽隔離(Shallow Trench Isolation,STI)區)的區域55。接著,可進行蝕刻製程,其中蝕刻製程通過遮罩53中的開口形成基底50中的溝槽55。基底50在圖案化遮罩53下方的剩下部分形成複數個半導體條帶52。蝕刻可為任何合適的蝕刻製程,例如反應性離子蝕刻(reactive ion etch,RIE)、中子束蝕刻(neutral beam etch,NBE)、類似方法或前述之組合。蝕刻製程可為非等向性。在一些實施例中,半導體條帶52可具有高度H1 在約200nm與約400nm之間,且可具有寬度W1 在約10nm與約40nm之間。FIG. 3A shows semiconductor strips 52 formed in substrate 50 . First, first mask layer 53A and second mask layer 53B may be patterned, wherein openings in first mask layer 53A and second mask layer 53B exposing substrate 50 will form isolation regions 54 (sometimes The region 55 is called Shallow Trench Isolation (STI) region. Next, an etching process may be performed, wherein the etching process forms the trenches 55 in the substrate 50 through the openings in the mask 53 . The remainder of the substrate 50 below the patterned mask 53 forms a plurality of semiconductor strips 52 . The etching can be any suitable etching process, such as reactive ion etch (RIE), neutral beam etch (NBE), the like, or a combination of the foregoing. The etching process may be anisotropic. In some embodiments, the semiconductor strips 52 may have a height H 1 between about 200 nm and about 400 nm, and may have a width W 1 between about 10 nm and about 40 nm.

半導體條帶52可透過任何合適的方法圖案化。舉例來說,半導體條帶52可透過使用一個或多個光微影製程(包含雙重圖案化或多重圖案化製程)來圖案化。一般來說,雙重圖案化或多重圖案化製程結合了光微影和自對準製程,以創造具有較小間距的圖案,舉例來說,此圖案具有比使用單一直接光微影製程可獲得的間距更小的圖案。舉例來說,在一實施例中,犧牲層形成於基底50上方並透過使用光微影製程圖案化。間隔物透過使用自對準製程形成於圖案化犧牲層旁邊。接著,移除犧牲層,且可接著使用剩下的間隔物或心軸(mandrel)作為遮罩來將半導體條帶52圖案化。The semiconductor strips 52 may be patterned by any suitable method. For example, the semiconductor strips 52 may be patterned using one or more photolithography processes, including double-patterning or multi-patterning processes. In general, double-patterning or multi-patterning processes combine photolithography and self-alignment processes to create patterns with smaller pitches that, for example, have a Patterns with smaller spacing. For example, in one embodiment, a sacrificial layer is formed over substrate 50 and patterned by using a photolithography process. Spacers are formed beside the patterned sacrificial layer by using a self-aligned process. Next, the sacrificial layer is removed, and the semiconductor strips 52 can then be patterned using the remaining spacers or mandrels as masks.

第4A圖顯示絕緣材料形成於相鄰半導體條帶52之間的溝槽55(請參照第3A圖)中,以形成隔離區54。絕緣材料可為氧化物(例如氧化矽)、氮化物、類似物或前述之組合,且可透過高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、可流動化學氣相沉積(flowable CVD,FCVD)(例如在遠端電漿系統中的基於化學氣相沉積的材料沉積,並後固化使其轉變為另一材料,例如氧化物)、類似方法或前述之組合形成。也可使用任何合適的製程形成的其他絕緣材料。FIG. 4A shows insulating material formed in trenches 55 (see FIG. 3A ) between adjacent semiconductor strips 52 to form isolation regions 54 . The insulating material can be oxide (such as silicon oxide), nitride, the like, or a combination of the foregoing, and can be processed by high-density plasma chemical vapor deposition (HDP-CVD), flowable chemical vapor deposition Flowable CVD (FCVD) (eg, chemical vapor deposition-based material deposition in a remote plasma system, and post-curing to convert it to another material, such as an oxide), similar methods, or a combination of the foregoing . Other insulating materials formed by any suitable process may also be used.

再者,在一些實施例中,隔離區54可包含在以隔離區54的絕緣材料填充溝槽55之前,形成於溝槽55(請參照第3A圖)的側壁和底表面上的順應性襯墊(未顯示)。在一些實施例中,襯墊可包括半導體(例如矽)、氮化物、半導體(例如矽)氧化物、熱半導體(例如矽)氧化物、半導體(例如矽)氮氧化物、聚合物介電質、前述之組合或類似物。襯墊的形成可包含任何合適的方法,例如原子層沉積、化學氣相沉積、高密度電漿化學氣相沉積、物理氣相沉積、前述之組合或類似方法。在這些實施例中,襯墊可防止(或至少減少)半導體材料在後續隔離區54的退火期間從半導體條帶52(例如Si及/或Ge)擴散至圍繞的隔離區54中。舉例來說,在沉積隔離區54的絕緣材料之後,可對隔離區54的絕緣材料進行退火製程。Furthermore, in some embodiments, isolation regions 54 may include compliant liners formed on the sidewalls and bottom surfaces of trenches 55 (see FIG. 3A ) prior to filling trenches 55 with the insulating material of isolation regions 54 pad (not shown). In some embodiments, the liner may include semiconductor (eg, silicon), nitride, semiconductor (eg, silicon) oxide, thermal semiconductor (eg, silicon) oxide, semiconductor (eg, silicon) oxynitride, polymer dielectrics , a combination of the foregoing, or the like. Formation of the liner may comprise any suitable method, such as atomic layer deposition, chemical vapor deposition, high density plasma chemical vapor deposition, physical vapor deposition, combinations of the foregoing, or the like. In these embodiments, the liner may prevent (or at least reduce) diffusion of semiconductor material from semiconductor strips 52 (eg, Si and/or Ge) into surrounding isolation regions 54 during subsequent annealing of isolation regions 54 . For example, after the insulating material of the isolation regions 54 is deposited, an annealing process may be performed on the insulating material of the isolation regions 54 .

在第4A圖中,平坦化製程(例如化學機械研磨(chemical mechanical polishing,CMP))可移除隔離區54的多餘絕緣材料,使得隔離區54的頂表面和半導體條帶52的頂表面共平面。在一些實施例中,化學機械研磨也可移除遮罩53。在其他實施例中,遮罩53可透過使用與化學機械研磨分開的濕蝕刻製程移除。In FIG. 4A, a planarization process (eg, chemical mechanical polishing (CMP)) may remove excess insulating material from the isolation regions 54 so that the top surfaces of the isolation regions 54 and the top surfaces of the semiconductor strips 52 are coplanar . In some embodiments, chemical mechanical polishing may also remove mask 53 . In other embodiments, mask 53 may be removed by using a wet etch process separate from chemical mechanical polishing.

第5A圖顯示將隔離區54凹陷,以形成鰭56。將隔離區54凹陷,使得在第一區100A和第二區100B中的鰭56從相鄰的隔離區54之間突出。在一些實施例中,半導體條帶52可被視為鰭56的一部分。再者,隔離區54的頂表面可具有如圖所示的平坦表面、凸面、凹面(例如凹陷)或前述之組合。隔離區54的頂表面可透過合適的製程形成平坦、凸形及/或凹形。隔離區54可透過使用合適的蝕刻製程凹陷,例如對隔離區54的材料有選擇性的蝕刻製程。FIG. 5A shows that isolation regions 54 are recessed to form fins 56 . The isolation regions 54 are recessed so that the fins 56 in the first and second regions 100A and 100B protrude from between adjacent isolation regions 54 . In some embodiments, semiconductor strips 52 may be considered part of fins 56 . Furthermore, the top surface of the isolation region 54 may have a flat surface as shown, a convex surface, a concave surface (eg, a depression), or a combination of the foregoing. The top surface of the isolation region 54 may be flat, convex and/or concave by suitable processes. The isolation regions 54 can be recessed by using a suitable etching process, such as an etching process that is selective to the material of the isolation regions 54 .

本發明所屬技術領域中具通常知識者將容易理解關於第2A-5A圖所描述的製程僅為可如何形成鰭56的一範例。在其他實施例中,介電層可形成於基底50的頂表面上方,可蝕刻溝槽通過介電層,同質磊晶結構可磊晶成長於溝槽中,以及可將介電層凹陷,使得同質磊晶結構從介電層突出,以形成鰭56。在其他實施例中,異質磊晶結構可用於鰭。舉例來說,可將第4A圖中的半導體條帶52凹陷,並在凹陷處磊晶成長不同於半導體條帶52的材料。在其他實施例中,介電層可形成於基底50的頂表面上方,可蝕刻溝槽通過介電層,異質磊晶結構可透過使用不同於基底50的材料磊晶成長於溝槽中,以及將介電層凹陷,使得異質磊晶結構從介電層突出,以形成鰭56。在磊晶成長同質磊晶或異質磊晶結構的一些實施例中,成長材料可在成長期間原位(in situ)摻雜。在其他實施例中,同質磊晶或異質磊晶結構可例如在磊晶成長同質磊晶或異質磊晶結構之後,透過使用離子佈植摻雜。再者,在N型金屬氧化物半導體區中磊晶成長的材料不同於在P型金屬氧化物半導體區中磊晶成長的材料可為有利的。在各種實施例中,鰭56可包含矽鍺(Six Ge1-x ,其中x可在約0與1之間)、碳化矽、純鍺或大致純鍺、第III-V族化合物半導體、第II-VI族化合物半導體或類似物。舉例來說,可用於形成第III-V族化合物半導體的材料包含InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP和類似物,但不限於此。Those of ordinary skill in the art to which this invention pertains will readily appreciate that the process described with respect to FIGS. 2A-5A is only one example of how fins 56 may be formed. In other embodiments, a dielectric layer may be formed over the top surface of substrate 50, trenches may be etched through the dielectric layer, a homo-epitaxial structure may be epitaxially grown in the trenches, and the dielectric layer may be recessed such that The epitaxial structure protrudes from the dielectric layer to form fins 56 . In other embodiments, hetero-epitaxial structures may be used for the fins. For example, the semiconductor strips 52 in FIG. 4A may be recessed, and a material different from the semiconductor strips 52 may be epitaxially grown in the recesses. In other embodiments, a dielectric layer may be formed over the top surface of substrate 50, trenches may be etched through the dielectric layer, hetero-epitaxial structures may be epitaxially grown in the trenches by using a different material than substrate 50, and The dielectric layer is recessed so that the hetero epitaxial structure protrudes from the dielectric layer to form fins 56 . In some embodiments of epitaxially grown homo-epitaxial or hetero-epitaxial structures, the growth material may be doped in situ during growth. In other embodiments, the homo-epitaxial or hetero-epitaxial structure may be doped by using ion implantation, eg, after epitaxial growth of the homo-epitaxial or hetero-epitaxial structure. Furthermore, it may be advantageous for the epitaxially grown material in the N-type metal oxide semiconductor region to be different from the material epitaxially grown in the P-type metal oxide semiconductor region. In various embodiments, the fins 56 may comprise silicon germanium (S x Ge 1-x , where x may be between about 0 and 1), silicon carbide, pure or substantially pure germanium, Group III-V compound semiconductors, Group II-VI compound semiconductors or the like. Materials that can be used to form Group III-V compound semiconductors include, but are not limited to, InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP, and the like, for example.

在第6A-6B圖中,虛設介電層58形成於鰭56上。虛設介電層58可例如為氧化矽、氮化矽、前述之組合或類似物,且可透過合適的技術(例如化學氣相沉積、物理氣相沉積、前述之組合或類似方法)來沉積或熱成長(例如使用熱氧化或類似方法)。虛設閘極層60形成於虛設介電層58上方,且遮罩62形成於虛設閘極層60上方。在一些實施例中,虛設閘極層60可沉積於虛設介電層58上方,且接著透過使用例如化學機械研磨製成將虛設閘極層60平坦化。遮罩62可沉積於虛設閘極層60上方。虛設閘極層6可例如由多晶矽製成,但是也可使用相對於隔離區54的材料具有高蝕刻選擇性地其他材料。遮罩62可包含一層或多層例如氮化矽、氮氧化矽、碳化矽、氮碳化矽、類似物或前述之組合。In FIGS. 6A-6B , a dummy dielectric layer 58 is formed on the fins 56 . The dummy dielectric layer 58 may be, for example, silicon oxide, silicon nitride, combinations of the foregoing, or the like, and may be deposited by a suitable technique (eg, chemical vapor deposition, physical vapor deposition, combinations of the foregoing, or the like) or Thermal growth (eg using thermal oxidation or similar). The dummy gate layer 60 is formed over the dummy dielectric layer 58 , and the mask 62 is formed over the dummy gate layer 60 . In some embodiments, dummy gate layer 60 may be deposited over dummy dielectric layer 58 and then planarized by fabrication using, for example, chemical mechanical polishing. Mask 62 may be deposited over dummy gate layer 60 . The dummy gate layer 6 may be made of polysilicon, for example, but other materials with high etch selectivity relative to the material of the isolation regions 54 may also be used. Mask 62 may comprise one or more layers such as silicon nitride, silicon oxynitride, silicon carbide, silicon nitride carbide, the like, or a combination of the foregoing.

請參照第6A-6B圖,在顯示的實施例中,單一虛設介電層58、單一虛設閘極層60、單一遮罩62形成橫跨第一區100A和第二區100B。在其他實施例中,個別的虛設介電層、個別的虛設閘極層、個別的遮罩可形成於第一區100A和第二區100B中。在一些實施例中,虛設介電層58可具有厚度在約0.8nm與約2.0nm之間,虛設閘極層60可具有厚度在約50nm與約100nm之間。6A-6B, in the embodiment shown, a single dummy dielectric layer 58, a single dummy gate layer 60, and a single mask 62 are formed across the first region 100A and the second region 100B. In other embodiments, individual dummy dielectric layers, individual dummy gate layers, and individual masks may be formed in the first region 100A and the second region 100B. In some embodiments, dummy dielectric layer 58 may have a thickness between about 0.8 nm and about 2.0 nm, and dummy gate layer 60 may have a thickness between about 50 nm and about 100 nm.

在第7A-7C圖中,遮罩62(請參照第6A和6B圖)可透過使用合適的光微影和蝕刻技術以在第一區100A和第二區100B中形成遮罩72。遮罩72可為硬遮罩,且在第一區100A和第二區100B中的遮罩72的圖案可為不同。接著,第一區100A和第二區100B中的遮罩72的圖案可透過合適的蝕刻技術轉移至虛設閘極層60。為了方便,虛設閘極層60和遮罩72可被合稱為虛設結構70。在一些實施例中,虛設閘極層60和遮罩72在個別的製程形成於第一區100A和第二區100B中,且在第一區100A和第二區100B中可由不同材料形成。選擇性地,可將遮罩72的圖案相似地轉移至虛設介電層58。虛設結構70的圖案覆蓋鰭56的個別通道區,虛設結構70的圖案同時暴露出鰭56的源極/汲極區。虛設結構70也可具有長度方向大致垂直於個別鰭56的長度方向。虛設結構70的尺寸或虛設結構70之間的間距可取決於虛設閘極形成於其上的晶粒的區域。在一些實施例中,相較於位於晶粒的邏輯區(例如設置邏輯電路的區域)中的虛設結構70,位於晶粒的輸入/輸出區(例如設置輸入/輸出電路的區域)中的虛設結構70可具有較大尺寸或較大間距。在一些實施例中,虛設結構70可具有寬度在約15nm與約40nm之間。In Figures 7A-7C, mask 62 (please refer to Figures 6A and 6B) may be formed by using suitable photolithography and etching techniques to form mask 72 in first region 100A and second region 100B. The mask 72 may be a hard mask, and the pattern of the mask 72 in the first region 100A and the second region 100B may be different. Next, the pattern of the mask 72 in the first region 100A and the second region 100B may be transferred to the dummy gate layer 60 by a suitable etching technique. For convenience, the dummy gate layer 60 and the mask 72 may be collectively referred to as a dummy structure 70 . In some embodiments, the dummy gate layer 60 and the mask 72 are formed in the first region 100A and the second region 100B in separate processes, and may be formed of different materials in the first region 100A and the second region 100B. Alternatively, the pattern of mask 72 can be similarly transferred to dummy dielectric layer 58 . The patterns of the dummy structures 70 cover the individual channel regions of the fins 56 , and the patterns of the dummy structures 70 expose the source/drain regions of the fins 56 at the same time. The dummy structures 70 may also have a length direction substantially perpendicular to the length direction of the individual fins 56 . The size of the dummy structures 70 or the spacing between the dummy structures 70 may depend on the area of the die on which the dummy gates are formed. In some embodiments, the dummy structures 70 located in the input/output regions of the die (eg, the regions where the input/output circuits are located) are compared to the dummy structures 70 located in the logic regions of the die (eg, the regions where the input/output circuits are located) Structures 70 may have larger dimensions or larger spacing. In some embodiments, the dummy structures 70 may have a width between about 15 nm and about 40 nm.

請參照第7A-7C圖,合適的井區(未顯示)可形成於鰭56、半導體條帶52及/或基底50中。舉例來說,P型井可形成於第一區100A中,且N型井可形成於第二區100B中。可透過使用光阻或其他遮罩(未顯示)來達成用於第一區100A和第二區100B的不同佈植步驟。舉例來說,光阻可形成於第一區100A和第二區100B中的鰭56和隔離區54上方。將光阻圖案化以暴露出基底50的第二區100B(例如P型金屬氧化物半導體區),同時保護第一區100A(例如N型金屬氧化物半導體區)。光阻可透過使用旋塗技術形成,且可透過使用合適的光微影技術圖案化。在將光阻圖案化之後,在第二區100B中植入n型雜質,且光阻可作為遮罩來大致防止n型雜質植入第一區100A中。n型雜質可為磷、砷或類似物,且可被植入第二區100B至濃度等於或小於1018 cm-3 ,例如在約1017 cm-3 至約1018 cm-3 之間的範圍中。在佈植製程之後,例如透過使用合適的灰化製程然後進行濕清潔製程來移除光阻。Referring to FIGS. 7A-7C , suitable well regions (not shown) may be formed in fins 56 , semiconductor strips 52 and/or substrate 50 . For example, P-type wells can be formed in the first region 100A, and N-type wells can be formed in the second region 100B. The different implantation steps for the first region 100A and the second region 100B can be achieved through the use of a photoresist or other mask (not shown). For example, photoresist may be formed over the fins 56 and the isolation regions 54 in the first region 100A and the second region 100B. The photoresist is patterned to expose the second region 100B (eg, the P-type metal oxide semiconductor region) of the substrate 50 while protecting the first region 100A (eg, the N-type metal oxide semiconductor region). The photoresist can be formed by using spin coating techniques and can be patterned by using suitable photolithography techniques. After the photoresist is patterned, n-type impurities are implanted in the second region 100B, and the photoresist can be used as a mask to substantially prevent the implantation of the n-type impurities in the first region 100A. The n-type impurity may be phosphorus, arsenic, or the like, and may be implanted in the second region 100B to a concentration equal to or less than 10 18 cm -3 , eg, between about 10 17 cm -3 to about 10 18 cm -3 in the range. After the implantation process, the photoresist is removed, for example, by using a suitable ashing process followed by a wet cleaning process.

在第二區100B的佈植之後,第二光阻(未顯示)形成於第一區100A和第二區100B中的鰭56和隔離區54上方。將第二光阻圖案化以暴露出基底50的第一區100A,同時保護第二區100B。光阻可透過使用旋塗技術形成,且可透過使用合適的光微影技術圖案化。第二光阻可透過使用旋塗技術形成,且可透過使用合適的光微影技術圖案化。在將第二光阻圖案化之後,在第一區100A中植入p型雜質,且第二光阻可作為遮罩來大致防止p型雜質植入第二區100B中。p型雜質可為硼、BF2 或類似物,且可被植入第一區100A至濃度等於或小於1018 cm-3 ,例如在約1017 cm-3 至約1018 cm-3 之間的範圍中。在佈植製程之後,例如透過使用合適的灰化製程然後進行濕清潔製程來移除光阻。After implantation of the second region 100B, a second photoresist (not shown) is formed over the fins 56 and isolation regions 54 in the first and second regions 100A and 100B. The second photoresist is patterned to expose the first region 100A of the substrate 50 while protecting the second region 100B. The photoresist can be formed by using spin coating techniques and can be patterned by using suitable photolithography techniques. The second photoresist can be formed by using spin coating techniques and can be patterned by using suitable photolithography techniques. After the second photoresist is patterned, p-type impurities are implanted in the first region 100A, and the second photoresist can be used as a mask to substantially prevent the p-type impurities from being implanted in the second region 100B. The p-type impurity may be boron, BF 2 or the like, and may be implanted in the first region 100A to a concentration equal to or less than 10 18 cm -3 , eg, between about 10 17 cm -3 to about 10 18 cm -3 in the range. After the implantation process, the photoresist is removed, for example, by using a suitable ashing process followed by a wet cleaning process.

在第一區100A和第二區100B的佈植之後,可進行退火來活化被植入的p型及/或n型雜質。佈植製程可形成第一區100A中的P型井和第二區100B中的N型井。在磊晶成長鰭的一些實施例中,鰭56的成長材料可在成長製程期間原位摻雜。After the implantation of the first region 100A and the second region 100B, annealing may be performed to activate the implanted p-type and/or n-type impurities. The implantation process may form P-type wells in the first region 100A and N-type wells in the second region 100B. In some embodiments of epitaxially grown fins, the growth material of fins 56 may be doped in-situ during the growth process.

在第8A-8C圖中,第一間隔層80A形成於虛設結構70(請參照第8A和8B圖)及/或鰭56上方的虛設介電層58(請參照第8C圖)的暴露表面上。可使用任何合適的方法來形成第一間隔層80A。在一些實施例中,可使用沉積(例如化學氣相沉積、原子層沉積或類似方法)來形成第一間隔層80A。在一些實施例中,第一間隔層80A可包含一層或多層例如氮化矽(SiN)、氮氧化矽、氮碳化矽、氮碳氧化矽(SiOCN)、前述之組合或類似物。In FIGS. 8A-8C , a first spacer layer 80A is formed on the exposed surface of the dummy structure 70 (see FIGS. 8A and 8B ) and/or the dummy dielectric layer 58 (see FIG. 8C ) over the fins 56 . The first spacer layer 80A may be formed using any suitable method. In some embodiments, deposition (eg, chemical vapor deposition, atomic layer deposition, or the like) may be used to form the first spacer layer 80A. In some embodiments, the first spacer layer 80A may comprise one or more layers such as silicon nitride (SiN), silicon oxynitride, silicon nitride carbide, silicon oxynitride (SiOCN), combinations of the foregoing, or the like.

請參照第8A-8C圖,輕摻雜源極/汲極(lightly doped source/drain,LDD)區75和79可分別形成於第一區100A和第二區100B中的基底50中。與以上參考第7A-7C圖討論的佈植製程相似,遮罩(未顯示)(例如光阻)可形成於第一區100A(例如N型金屬氧化物半導體區)上方,同時暴露出第二區100B(例如P型金屬氧化物半導體區),且可將p型雜質植入第二區100B中暴露的鰭56中,以形成輕摻雜源極/汲極區79。在佈植輕摻雜源極/汲極區79期間,虛設結構70可作為遮罩來防止(或至少減少)摻雜物植入暴露的鰭56的通道區。因此,輕摻雜源極/汲極區79可大致形成於暴露的鰭56的源極/汲極區中。接著,可移除遮罩。之後,第二遮罩(未顯示)(例如光阻)可形成於第二區100B上方,同時暴露出第一區100A,且可將n型雜質植入第一區100A中暴露的鰭56中,以形成輕摻雜源極/汲極區75。在佈植輕摻雜源極/汲極區75期間,虛設結構70可作為遮罩來防止(或至少減少)摻雜物植入暴露的鰭56的通道區。因此,輕摻雜源極/汲極區75可大致形成於暴露的鰭56的源極/汲極區中。接著,可移除第二遮罩。n型雜質可為任何前述的n型雜質,且p型雜質可為任何前述的p型雜質。輕摻雜源極/汲極區75和79各具有雜質濃度在約1015 cm-3 至約1016 cm-3 。可進行退火製程來活化植入的雜質。Referring to FIGS. 8A-8C, lightly doped source/drain (LDD) regions 75 and 79 may be formed in the substrate 50 in the first region 100A and the second region 100B, respectively. Similar to the implantation process discussed above with reference to FIGS. 7A-7C, a mask (not shown), such as a photoresist, may be formed over the first region 100A (eg, an NMOS region) while exposing the second region 100A. region 100B (eg, a p-type metal oxide semiconductor region), and p-type impurities may be implanted into the exposed fins 56 in the second region 100B to form lightly doped source/drain regions 79 . During implantation of the lightly doped source/drain regions 79 , the dummy structures 70 may act as a mask to prevent (or at least reduce) dopant implantation in the channel regions of the exposed fins 56 . Thus, lightly doped source/drain regions 79 may be formed substantially in the source/drain regions of exposed fins 56 . Next, the mask can be removed. Thereafter, a second mask (not shown), such as a photoresist, may be formed over the second region 100B while exposing the first region 100A, and n-type impurities may be implanted into the exposed fins 56 in the first region 100A , to form lightly doped source/drain regions 75 . During implantation of the lightly doped source/drain regions 75 , the dummy structures 70 may act as a mask to prevent (or at least reduce) dopant implantation in the channel regions of the exposed fins 56 . Accordingly, lightly doped source/drain regions 75 may be formed substantially in the source/drain regions of exposed fins 56 . Next, the second mask can be removed. The n-type impurities may be any of the aforementioned n-type impurities, and the p-type impurities may be any of the aforementioned p-type impurities. Lightly doped source/drain regions 75 and 79 each have an impurity concentration in the range of about 10 15 cm -3 to about 10 16 cm -3 . An annealing process may be performed to activate the implanted impurities.

請參照第9A-9C圖,對第一間隔層80A的一部分進行蝕刻製程。蝕刻製程可為乾蝕刻製程,且可為非等向性。在進行蝕刻製程之後,可移除第一間隔層80A在輕摻雜源極/汲極區75/79和隔離區54上方的橫向部分,以暴露出鰭56和虛設結構70的遮罩72的頂表面。可保留第一間隔層80A沿虛設結構70和鰭56的側壁的部分,並形成偏移間隙壁120。在其他實施例中,也可從鰭56的側壁移除第一間隔層80A。在一些實施例中,第一區100A中的偏移間隙壁120和第二區100B中的偏移間隙壁120同時形成,而在其他實施例中,第一區100A和第二區100B中的偏移間隙壁120在個別的製程中形成。在一些實施例中,也可移除虛設介電層58在輕摻雜源極/汲極區75/79和隔離區54上方的橫向部分。Referring to FIGS. 9A-9C, an etching process is performed on a portion of the first spacer layer 80A. The etching process may be a dry etching process, and may be anisotropic. After the etching process, lateral portions of the first spacer layer 80A over the lightly doped source/drain regions 75/79 and isolation regions 54 may be removed to expose the fins 56 and the mask 72 of the dummy structure 70 top surface. Portions of the first spacer layer 80A along the sidewalls of the dummy structures 70 and the fins 56 may remain, and the offset spacers 120 may be formed. In other embodiments, the first spacer layer 80A may also be removed from the sidewalls of the fins 56 . In some embodiments, the offset spacers 120 in the first region 100A and the offset spacers 120 in the second region 100B are formed simultaneously, while in other embodiments, the offset spacers 120 in the first region 100A and the second region 100B are formed simultaneously. The offset spacers 120 are formed in a separate process. In some embodiments, lateral portions of dummy dielectric layer 58 over lightly doped source/drain regions 75/79 and isolation regions 54 may also be removed.

在第10A-10C圖中,第二間隔層80B和第三間隔層80C形成於第一區100A和第二區100B上方。可使用形成第一間隔層80A的任何合適的方法。在一些實施例中,可使用沉積(例如化學氣相沉積、原子層沉積或類似方法)來形成第二間隔層80B和第三間隔層80C。在一些實施例中,第二間隔層80B和第三間隔層80C可包含一層或多層例如氧化物材料、氮化矽、氮氧化矽、氮碳化矽、氮碳氧化矽、前述之組合或類似物。在一些實施例中,可省略第二間隔層80B或第三間隔層80C的其中一者。In FIGS. 10A-10C, the second spacer layer 80B and the third spacer layer 80C are formed over the first region 100A and the second region 100B. Any suitable method of forming the first spacer layer 80A may be used. In some embodiments, deposition (eg, chemical vapor deposition, atomic layer deposition, or the like) may be used to form the second spacer layer 80B and the third spacer layer 80C. In some embodiments, the second spacer layer 80B and the third spacer layer 80C may include one or more layers such as oxide materials, silicon nitride, silicon oxynitride, silicon nitride carbide, silicon oxynitride, combinations of the foregoing, or the like . In some embodiments, one of the second spacer layer 80B or the third spacer layer 80C may be omitted.

請參照第11A-11C圖,進行圖案化製程以移除第一區100A中的第二間隔層80B和第三間隔層80C。可使用任何可接受的圖案化製程。在一些實施例中,遮罩118形成於第一區100A和第二區100B上方。遮罩118可為單一層或可包含多層,例如三層遮罩結構或其他類型的遮罩結構。在一些情況中,遮罩118可包含光阻,但是遮罩118可包含其他材料。將遮罩118圖案化以暴露出第一區100A。遮罩118可透過使用合適的光微影技術來圖案化。Referring to FIGS. 11A-11C , a patterning process is performed to remove the second spacer layer 80B and the third spacer layer 80C in the first region 100A. Any acceptable patterning process can be used. In some embodiments, the mask 118 is formed over the first region 100A and the second region 100B. The mask 118 may be a single layer or may include multiple layers, such as a three-layer mask structure or other types of mask structures. In some cases, mask 118 may include photoresist, although mask 118 may include other materials. The mask 118 is patterned to expose the first region 100A. Mask 118 can be patterned using suitable photolithography techniques.

請參照第11A-11C圖,使用遮罩118作為遮罩,對第二間隔層80B和第三間隔層80C的一部分進行蝕刻製程。蝕刻製程可為乾蝕刻製程,且可為非等向性。在進行蝕刻製程之後,可移除第二間隔層80B和第三間隔層80C在輕摻雜源極/汲極區75/79和隔離區54上方的橫向部分,以暴露出鰭56和遮罩72的頂表面。可保留第二間隔層80B和第三間隔層80C沿虛設結構70和鰭56的側壁的部分,並形成閘極間隙壁122和鰭間隙壁130。在一些實施例中,第一區100A中的閘極間隙壁122和鰭間隙壁130和第二區100B中的閘極間隙壁122和鰭間隙壁130同時形成,而在其他實施例中,在形成第一區100A中的閘極間隙壁122和鰭間隙壁130之前,形成第二區100B中的閘極間隙壁122和鰭間隙壁130。在一些實施例中,在形成第三間隔層80C之前,可如上述蝕刻第二間隔層80B,且接著可蝕刻第三間隔層80C以形成閘極間隙壁122和鰭間隙壁130。Referring to FIGS. 11A-11C , an etching process is performed on a part of the second spacer layer 80B and a part of the third spacer layer 80C using the mask 118 as a mask. The etching process may be a dry etching process, and may be anisotropic. After the etching process, lateral portions of the second spacer layer 80B and the third spacer layer 80C over the lightly doped source/drain regions 75/79 and isolation regions 54 may be removed to expose the fins 56 and the mask 72 top surface. Portions of the second spacer layer 80B and the third spacer layer 80C along the sidewalls of the dummy structures 70 and fins 56 may remain and form gate spacers 122 and fin spacers 130 . In some embodiments, gate spacers 122 and fin spacers 130 in the first region 100A and gate spacers 122 and fin spacers 130 in the second region 100B are formed simultaneously, while in other embodiments, Before forming the gate spacers 122 and fin spacers 130 in the first region 100A, the gate spacers 122 and the fin spacers 130 in the second region 100B are formed. In some embodiments, prior to forming the third spacer layer 80C, the second spacer layer 80B may be etched as described above, and then the third spacer layer 80C may be etched to form the gate spacers 122 and the fin spacers 130 .

第12-16C圖顯示在第一區100A中的相鄰鰭56之間形成磊晶源極/汲極區82。第12-15C圖係皆沿第1圖的參考剖面B-B顯示。在第一區100A中形成磊晶源極/汲極區82期間,可遮蔽(例如透過遮罩118)第二區100B。在一些實施例中,在第二區100B中形成磊晶源極/汲極區84之前,可在第一區100A中形成磊晶源極/汲極區82。在其他實施例中,在第一區100A中形成磊晶源極/汲極區82之前,可在第二區100B中形成磊晶源極/汲極區84。Figures 12-16C show the formation of epitaxial source/drain regions 82 between adjacent fins 56 in the first region 100A. Figures 12-15C are all shown along the reference section B-B of Figure 1 . During formation of the epitaxial source/drain regions 82 in the first region 100A, the second region 100B may be masked (eg, through the mask 118 ). In some embodiments, the epitaxial source/drain regions 82 may be formed in the first region 100A before the epitaxial source/drain regions 84 are formed in the second region 100B. In other embodiments, the epitaxial source/drain regions 84 may be formed in the second region 100B before the epitaxial source/drain regions 82 are formed in the first region 100A.

請參照第12圖,對鰭56進行第一圖案化製程,以在鰭56的源極/汲極區中形成凹口124。可以在相鄰虛設結構70之間(在鰭56的內部區域中)或隔離區54與相鄰的虛設結構70之間(在鰭56的末端區域中)形成凹口124的方式進行第一圖案化製程。在一些實施例中,第一圖案化製程可包含合適的非等向性乾蝕刻製程,同時使用虛設結構70、閘極間隙壁122、鰭間隙壁130及/或隔離區54作為合併遮罩。合適的非等向性乾蝕刻製程可包含反應性離子蝕刻、中子束蝕刻、類似方法或前述之組合。在第一圖案化製程使用反應性離子蝕刻的一些實施例中,可選擇例如製程氣體混合物、電壓偏壓和射頻功率的製程參數,使得蝕刻主要透過使用物理蝕刻來進行,例如離子轟擊。在一些實施例中,可增加電壓偏壓來增加在離子轟擊製程中使用的離子的能量,且因此增加物理蝕刻的速率。由於物理蝕刻在本質上為非等向性而化學蝕刻在本質上為等向性,因此這種蝕刻製程在垂直方向的蝕刻速率大於在橫向方向的蝕刻速率。在一些實施例中,非等向性蝕刻製程可透過使用包含BF2 、Cl2 、CH3 F、CH4 、HBr、O2 、Ar、其他蝕刻劑氣體、前述之組合或類似物的製程氣體混合物來進行。在一些實施例中,第一圖案化製程形成具有U形底表面的凹口124。凹口124也可被稱為U形的凹口,例如第12圖顯示的例示性凹口124。第12圖也顯示凹口124具有頂部接近距離TP0、中間接近距離MP0和底部接近距離BP0,頂部接近距離TP0、中間接近距離MP0和底部接近距離BP0的每一者從鄰近的虛設閘極層的邊緣到凹口124的側壁橫向測量。在鰭56的頂部測量頂部接近距離TP0,且頂部接近距離TP0可在約1nm與約30nm之間。在凹口124的底部測量底部接近距離BP0,且底部接近距離BP0可在約1nm與約30nm之間。在鰭56的頂部與凹口124的底部之間的大約一半處測量中間接近距離MP0,且中間接近距離MP0可在約1nm與約30nm之間。如第12圖所示,凹口124具有從鰭56的頂表面到凹口124的底部測量的凹口深度D0,深度D0可在約40nm與約100nm之間。在一些實施例中,形成凹口124的蝕刻製程也可蝕刻隔離區54。在一些情況中,蝕刻製程之後,可進行清潔製程,例如乾清潔製程(例如灰化製程)、濕清潔製程、類似方法或前述之組合。在一些情況中,原生氧化物(未顯示)可形成於U形的凹口124的暴露表面上。Referring to FIG. 12 , a first patterning process is performed on the fins 56 to form notches 124 in the source/drain regions of the fins 56 . The first patterning may be performed in such a way that notches 124 are formed between adjacent dummy structures 70 (in the inner region of fins 56 ) or between isolation regions 54 and adjacent dummy structures 70 (in the end regions of fins 56 ) chemical process. In some embodiments, the first patterning process may include a suitable anisotropic dry etch process while using dummy structures 70, gate spacers 122, fin spacers 130, and/or isolation regions 54 as merging masks. Suitable anisotropic dry etching processes may include reactive ion etching, neutron beam etching, the like, or a combination of the foregoing. In some embodiments in which the first patterning process uses reactive ion etching, process parameters such as process gas mixture, voltage bias, and RF power may be selected such that etching occurs primarily through the use of physical etching, such as ion bombardment. In some embodiments, the voltage bias can be increased to increase the energy of the ions used in the ion bombardment process, and thus increase the rate of physical etch. Since physical etching is anisotropic in nature and chemical etching is isotropic in nature, the etching rate of this etching process is higher in the vertical direction than in the lateral direction. In some embodiments, the anisotropic etch process can be accomplished by using process gases including BF2, Cl2, CH3F , CH4 , HBr , O2 , Ar, other etchant gases, combinations of the foregoing, or the like mixture to proceed. In some embodiments, the first patterning process forms the notch 124 having a U-shaped bottom surface. The notch 124 may also be referred to as a U-shaped notch, such as the exemplary notch 124 shown in FIG. 12 . FIG. 12 also shows that the notch 124 has a top access distance TP0, a middle access distance MP0, and a bottom access distance BP0, each of the top access distance TP0, the middle access distance MP0 and the bottom access distance BP0 from the adjacent dummy gate layers. Measured laterally from the edge to the sidewall of the notch 124 . The top proximity distance TP0 is measured at the top of the fin 56, and the top proximity distance TP0 may be between about 1 nm and about 30 nm. The bottom proximity distance BP0 is measured at the bottom of the notch 124, and the bottom proximity distance BP0 may be between about 1 nm and about 30 nm. The intermediate proximity distance MP0 is measured approximately halfway between the top of the fin 56 and the bottom of the notch 124, and may be between about 1 nm and about 30 nm. As shown in FIG. 12, the notch 124 has a notch depth D0, measured from the top surface of the fin 56 to the bottom of the notch 124, which may be between about 40 nm and about 100 nm. In some embodiments, the etch process that forms the recesses 124 may also etch the isolation regions 54 . In some cases, after the etching process, a cleaning process may be performed, such as a dry cleaning process (eg, an ashing process), a wet cleaning process, the like, or a combination of the foregoing. In some cases, native oxide (not shown) may be formed on the exposed surface of the U-shaped notch 124 .

請參照第13圖,對鰭56進行第二圖案化製程,以將U形的凹口124重塑並形成重塑的凹口126。如第13圖所示,第二圖案化製程擴展U形的凹口124(為比較起見,以虛線顯示於第13圖中)以形成重塑的凹口126。在第13-15C圖中,將位於或靠近凹口126的底部之凹口126的側壁區域標註為下側壁125,且將位於或靠近凹口126的頂部之凹口126的側壁區域標註為上側壁127。第13圖中標註為下側壁125和上側壁127的側壁區域為範例,且可不同於圖中所示。下側壁125可透過其他側壁區域與上側壁127隔開,或下側壁125可與上側壁127鄰接。在一些實施例中,上側壁127可從鰭56的頂表面延伸約10nm與約90nm之間。在一些實施例中,下側壁125可從凹口126的底表面延伸約10nm與約90nm之間。在一些實施例中,上側壁127可在凹口126的側壁深度的約10%與約90%之間延伸,例如約50%。在一些實施例中,下側壁125可在凹口126的側壁深度的約10%與約90%之間延伸,例如約50%。在一些情況中,將下側壁125定義為具有沿晶面的表面的凹口126的側壁區域,以下更詳細描述。在一些實施例中,第二圖案化製程導致重塑的凹口126的底部接近距離BP1大於凹口124的底部接近距離BP0。在一些實施例中,第二圖案化製程可包含非等向性乾蝕刻製程,同時使用虛設結構70、閘極間隙壁122及/或隔離區54作為合併遮罩。在一些情況中,第二圖案化製程可具有比第一圖案化製程更慢的蝕刻速率。Referring to FIG. 13 , a second patterning process is performed on the fin 56 to reshape the U-shaped notch 124 and form the reshaped notch 126 . As shown in FIG. 13 , the second patterning process expands the U-shaped notch 124 (shown in phantom in FIG. 13 for comparison) to form a reshaped notch 126 . In Figures 13-15C, the sidewall area of the notch 126 at or near the bottom of the notch 126 is labeled lower sidewall 125, and the sidewall area of the notch 126 at or near the top of the notch 126 is labeled upper side wall 127 . The sidewall regions labeled lower sidewall 125 and upper sidewall 127 in Figure 13 are exemplary and may differ from those shown. The lower sidewall 125 may be separated from the upper sidewall 127 by other sidewall regions, or the lower sidewall 125 may be adjacent to the upper sidewall 127 . In some embodiments, upper sidewall 127 may extend between about 10 nm and about 90 nm from the top surface of fin 56 . In some embodiments, the lower sidewall 125 may extend between about 10 nm and about 90 nm from the bottom surface of the recess 126 . In some embodiments, upper sidewall 127 may extend between about 10% and about 90%, eg, about 50%, of the depth of the sidewall of recess 126 . In some embodiments, the lower sidewall 125 may extend between about 10% and about 90%, such as about 50%, of the sidewall depth of the recess 126 . In some cases, the lower sidewall 125 is defined as the sidewall region of the notch 126 having a surface along the crystal plane, as described in more detail below. In some embodiments, the second patterning process causes the bottom approach distance BP1 of the reshaped notch 126 to be greater than the bottom approach distance BP0 of the notch 124 . In some embodiments, the second patterning process may include an anisotropic dry etching process while using the dummy structures 70, gate spacers 122, and/or isolation regions 54 as merging masks. In some cases, the second patterning process may have a slower etch rate than the first patterning process.

在一些實施例中,第二圖案化製程包含在製程腔體中進行的電漿蝕刻製程,其中將製程氣體供應至製程腔體。在一些實施例中,電漿為直接電漿。在其他實施例中,電漿為產生於連接至製程腔體的另外的電漿產生腔體中的遠端電漿。製程氣體可透過產生電漿的任何合適方法活化為電漿,例如變壓器耦合電漿(transformer coupled plasma,TCP)系統、感應耦合電漿(inductively coupled plasma,ICP)系統、磁性輔助反應性離子技術、電子迴旋共振技術或類似方法。In some embodiments, the second patterning process includes a plasma etch process performed in a process chamber, wherein process gases are supplied to the process chamber. In some embodiments, the plasma is direct plasma. In other embodiments, the plasma is remote plasma generated in another plasma generation chamber connected to the process chamber. Process gases can be activated to plasma by any suitable method for generating plasma, such as transformer coupled plasma (TCP) systems, inductively coupled plasma (ICP) systems, magnetically assisted reactive ion techniques, Electron cyclotron resonance technique or similar method.

在一些實施例中,用於電漿蝕刻製程的製程氣體包含蝕刻劑氣體,例如H2 、Ar、其他氣體或氣體的組合。在一些實施例中,可使用載氣來將製程氣體載送至製程腔體,載氣例如N2 、Ar、He、Xe或類似物。製程氣體可以速率在約10sccm與約3000sccm之間流入製程腔體。舉例來說,蝕刻劑氣體可以速率在約10sccm與約1000sccm之間流入製程腔體或電漿產生腔體,例如約70sccm。載氣可以速率在約10sccm與約3000sccm之間流入製程腔體,例如約130sccm。在一些情況中,製程氣體的較低流量可降低第二圖案化製程的蝕刻速率,並降低在第二圖案化製程期間對鰭56的損壞。在一些實施例中,電漿蝕刻製程在溫度約200ºC與約400ºC之間進行,例如約330ºC。在一些情況中,較高的製程溫度可降低第二圖案化製程的蝕刻速率,並降低在第二圖案化製程期間對鰭56的損壞。製程腔體中的壓力可在約60mTorr與約120mTorr之間,例如約100mTorr。在一些情況中,較高的製程壓力可使電漿較穩定或較可再生。較高的製程壓力也可降低在第二圖案化製程期間對鰭56的損壞。在一些實施例中,進行電漿蝕刻製程的時間在約10秒與約1000秒之間。在一些實施例中,電漿蝕刻製程包含多個步驟。In some embodiments, the process gas used for the plasma etch process includes an etchant gas such as H2 , Ar, other gases, or combinations of gases. In some embodiments, a carrier gas, such as N2 , Ar, He, Xe, or the like, may be used to carry the process gas to the process chamber. The process gas may flow into the process chamber at a rate between about 10 seem and about 3000 seem. For example, the etchant gas may flow into the process chamber or plasma generation chamber at a rate between about 10 seem and about 1000 seem, eg, about 70 seem. The carrier gas may flow into the process chamber at a rate between about 10 seem and about 3000 seem, eg, about 130 seem. In some cases, the lower flow of process gases can reduce the etch rate of the second patterning process and reduce damage to the fins 56 during the second patterning process. In some embodiments, the plasma etch process is performed at a temperature between about 200°C and about 400°C, eg, about 330°C. In some cases, higher process temperatures can reduce the etch rate of the second patterning process and reduce damage to the fins 56 during the second patterning process. The pressure in the process chamber may be between about 60 mTorr and about 120 mTorr, eg, about 100 mTorr. In some cases, higher process pressures can make the plasma more stable or reproducible. The higher process pressure may also reduce damage to the fins 56 during the second patterning process. In some embodiments, the plasma etch process is performed for between about 10 seconds and about 1000 seconds. In some embodiments, the plasma etch process includes multiple steps.

在一些實施例中,第二圖案化製程包含使用氫(H)自由基的電漿蝕刻製程。氫自由基可透過將H2 氣體流入電漿產生腔體,並點燃電漿產生腔體中的電漿來形成。在一些實施例中,可在電漿產生腔體中將額外的氣體點燃為電漿,例如Ar。鰭56暴露於氫自由基,且氫自由基橫向且垂直地蝕刻U形的凹口124的側壁,形成重塑的凹口126。在一些情況中,氫自由基可優先蝕刻鰭56的半導體材料的某些晶面。舉例來說,對於鰭56的材料為矽的實施例,相較於晶面(111)或晶面(110),氫自由基可選擇性蝕刻晶面(100)。雖然晶面(100)和晶面(111)的範例標示於第13圖中,但是也可能有未顯示的晶面(100)、(111)或(110)。在一些情況中,晶面(100)的蝕刻速率可大於晶面(111)的蝕刻速率約3倍。由於選擇性的緣故,因此在第二圖案化製程期間,氫自由基沿矽的晶面(111)或(110)的蝕刻可傾向較慢或停止。In some embodiments, the second patterning process includes a plasma etching process using hydrogen (H) radicals. Hydrogen radicals can be formed by flowing H2 gas into the plasma generation chamber and igniting the plasma in the plasma generation chamber. In some embodiments, an additional gas, such as Ar, may be ignited into plasma in the plasma generating chamber. The fins 56 are exposed to hydrogen radicals, and the hydrogen radicals etch the sidewalls of the U-shaped notch 124 laterally and vertically, forming the reshaped notch 126 . In some cases, hydrogen radicals may preferentially etch certain crystal planes of the semiconductor material of fin 56 . For example, for embodiments in which the material of fin 56 is silicon, hydrogen radicals can selectively etch facet (100) as compared to facet (111) or facet (110). Although examples of crystal planes (100) and (111) are shown in Figure 13, there may also be crystal planes (100), (111) or (110) that are not shown. In some cases, the etch rate of crystal plane (100) may be about 3 times greater than the etch rate of crystal plane (111). Due to selectivity, the etching of hydrogen radicals along the crystal planes (111) or (110) of the silicon may tend to be slower or stop during the second patterning process.

在一些實施例中,氫自由基的選擇性蝕刻可導致重塑的凹口126的一些側壁在第二圖案化製程之後具有維持晶面(111)或晶面(110)的表面。如第13圖所示,凹口126的一些或所有的下側壁125具有沿晶面的表面。下側壁125可具有包含晶面(111)、晶面(110)、或晶面(111)或晶面(110)的組合的表面。在一些情況中,下側壁125中的晶面(111)與晶面(110)比例可取決於鰭56或基底50的材料的晶向。在一些情況中,具有沿晶面的表面的下側壁125可導致重塑的凹口126在底部具有如第13圖所示的錐形、尖頭形狀或V形。舉例來說,在重塑的凹口126的底部處,兩側的下側壁125可具有沿晶面的表面,這些晶面由表面的晶面相交所定義的角度交會。舉例來說,在一些情況中,重塑的凹口126的底部可由第一側壁表面的晶面和第二側壁表面的晶面相交來定義。第13圖顯示重塑的凹口126具有底部的尖頭形狀橫向地位於兩側的閘極間隙壁122之間的中心,但是在其他情況中,凹口126的底部可具有橫向偏移的尖頭形狀。在一些情況中,一些、沒有或全部的重塑的凹口126的上側壁127具有平坦表面(例如晶面(111)或(110))。在一些情況中,重塑的凹口126可具有平坦或筆直但是不沿晶面的平面。舉例來說,重塑的凹口可具有不沿晶面的垂直、橫向或斜的表面。在一些情況中,如第13圖所示,上側壁127可具有曲面或凸面。In some embodiments, the selective etching of hydrogen radicals may result in some sidewalls of the reshaped recess 126 having a surface that maintains the crystal plane ( 111 ) or the crystal plane ( 110 ) after the second patterning process. As shown in FIG. 13, some or all of the lower sidewalls 125 of the notch 126 have surfaces along the crystal plane. The lower sidewall 125 may have a surface comprising crystal plane (111), crystal plane (110), or a combination of crystal plane (111) or crystal plane (110). In some cases, the crystal plane ( 111 ) to crystal plane ( 110 ) ratio in lower sidewall 125 may depend on the crystallographic orientation of the material of fin 56 or substrate 50 . In some cases, the lower sidewall 125 having a surface along the crystal plane can cause the reshaped notch 126 to have a tapered, pointed or V-shape at the bottom as shown in FIG. 13 . For example, at the bottom of the reshaped recess 126, the lower sidewalls 125 on both sides may have surfaces along crystal planes that meet at angles defined by the intersection of the crystal planes of the surfaces. For example, in some cases, the bottom of the reshaped notch 126 may be defined by the intersection of the crystallographic plane of the first sidewall surface and the crystallographic plane of the second sidewall surface. Figure 13 shows the reshaped notch 126 having a pointed tip shape at the bottom laterally centered between the gate spacers 122 on either side, but in other cases the bottom of the notch 126 may have a laterally offset tip head shape. In some cases, some, none, or all of the upper sidewalls 127 of the reshaped recesses 126 have flat surfaces (eg, crystal planes (111) or (110)). In some cases, the reshaped notch 126 may have a plane that is flat or straight but not along a crystal plane. For example, the reshaped notch may have vertical, lateral, or slanted surfaces that are not along the crystal planes. In some cases, as shown in FIG. 13, the upper sidewall 127 may have a curved or convex surface.

在一些情況中,透過具有由所描述的晶面相交所定義的重塑的凹口126的底部,可增加底部接近距離(例如第13圖所示的底部接近距離BP1)。舉例來說,具有V形底部的重塑的凹口126可比具有U形底部或更水平的底表面的凹口(例如第12圖所示的凹口124)更遠離相鄰的鰭。在一些情況中,較大的底部接近距離降低了在磊晶源極/汲極區中的摻雜物擴散至鰭式場效電晶體的通道中或通道下方的量。減少擴散的摻雜物可改善裝置效能。舉例來說,減少摻雜物的擴散可降低不想要的汲極引發能障降低(Drain-Induced Barrier Lowering,DIBL)效應或可減少鰭式場效電晶體的關態漏電流。In some cases, the bottom access distance (eg, bottom access distance BP1 shown in FIG. 13 ) can be increased by having the bottom of the reshaped notch 126 defined by the described crystal plane intersection. For example, a reshaped notch 126 with a V-shaped bottom may be further away from an adjacent fin than a notch with a U-shaped bottom or a more horizontal bottom surface, such as the notch 124 shown in FIG. 12 . In some cases, the larger bottom approach distance reduces the amount of dopant diffusion in the epitaxial source/drain regions into or under the channel of the finFET. Dopants that reduce diffusion can improve device performance. For example, reducing the diffusion of dopants can reduce the undesired Drain-Induced Barrier Lowering (DIBL) effect or can reduce the off-state leakage current of the FinFET.

第14圖顯示在已進行第二圖案化製程之後的重塑的凹口126的另一實施例。第14圖顯示的重塑的凹口126相似於第13圖顯示的重塑的凹口126,除了在第二圖案化製程之後,鰭56的一部分128保留在閘極間隙壁122下方。在一些實施例中,相較於沒有保留部分128的第二圖案化製程(如第13圖所示),保留部分128的第二圖案化製程可具有較短的持續時間。舉例來說,在一些實施例中,可以第一持續時間進行保留部分128的第二圖案化製程,第一持續時間小於移除部分128的第二圖案化製程的第二持續時間的一半,但是在其他實施例中,第一持續時間可為第二持續時間的另一分率。在一些實施例中,部分128可從閘極間隙壁122朝向鰭56延伸距離在約0.1nm與約10nm之間,且可從閘極間隙壁122向下延伸距離在約0.1nm與約10nm之間。在一些實施例中,部分128具有遠離凹口126的中心(即朝向鰭56)的側壁表面,以符號“S”標註於第14圖的範例中。在一些實施例中,表面S包含一個或多個結晶平坦表面。舉例來說,由於上述的氫自由基的選擇性蝕刻,因此表面S可具有晶面(111)或(110)。在一些實施例中,凹口126的側壁與表面S之間的角度A2可在約35°與約125°之間。在一些情況中,鰭56保留在閘極間隙壁122下方的部分128作為額外的高摻雜區,高摻雜區可有效地將輕摻雜源極/汲極區75/79延伸至閘極間隙壁122下方。在此方式中,部分128可提供類似於由輕摻雜源極/汲極區75/79所提供的額外裝置效能改善。在一些情況中,在第二圖案化製程之後,保留鰭56在閘極間隙壁122下方的部分128可保護取代閘極(請參照第20A-20C圖)免於摻雜物從磊晶源極/汲極區(請參照第16A-16C圖)擴散至取代閘極中,且因此可改善裝置效能。在一些實施例中,部分128的形狀(例如角度A2)或尺寸可透過控制第二圖案化製程的參數來控制,例如製程持續時間、製程溫度、製程壓力、製程氣體流量(例如H2 流量)或其他參數。Figure 14 shows another embodiment of the reshaped notch 126 after the second patterning process has been performed. The reshaped notch 126 shown in FIG. 14 is similar to the reshaped notch 126 shown in FIG. 13 except that a portion 128 of the fin 56 remains under the gate spacer 122 after the second patterning process. In some embodiments, the second patterning process with the remaining portion 128 may have a shorter duration than the second patterning process without the remaining portion 128 (as shown in FIG. 13 ). For example, in some embodiments, the second patterning process of retaining portion 128 may be performed for a first duration that is less than half the second duration of the second patterning process of removing portion 128, but In other embodiments, the first duration may be another fraction of the second duration. In some embodiments, portion 128 may extend from gate spacer 122 toward fin 56 a distance between about 0.1 nm and about 10 nm, and may extend downward from gate spacer 122 a distance between about 0.1 nm and about 10 nm between. In some embodiments, the portion 128 has a sidewall surface that is remote from the center of the recess 126 (ie, toward the fin 56 ), denoted by the symbol "S" in the example of FIG. 14 . In some embodiments, surface S comprises one or more crystalline planar surfaces. For example, surface S may have crystal planes (111) or (110) due to the above-mentioned selective etching of hydrogen radicals. In some embodiments, the angle A2 between the sidewall of the notch 126 and the surface S may be between about 35° and about 125°. In some cases, portions 128 of fins 56 remain below gate spacers 122 as additional highly doped regions that can effectively extend lightly doped source/drain regions 75/79 to the gate below the spacer 122 . In this manner, portion 128 may provide additional device performance improvement similar to that provided by lightly doped source/drain regions 75/79. In some cases, after the second patterning process, retaining the portion 128 of the fin 56 under the gate spacer 122 may protect the replacement gate (see FIGS. 20A-20C ) from dopants from the epitaxial source The /drain region (see Figures 16A-16C) diffuses into the replacement gate and thus improves device performance. In some embodiments, the shape (eg, angle A2) or size of portion 128 can be controlled by controlling parameters of the second patterning process, such as process duration, process temperature, process pressure, process gas flow (eg, H2 flow) or other parameters.

第15A-15C圖顯示可透過使用本文描述的第二圖案化製程形成具有不同形狀的重塑的凹口126的其他實施例。第15A-15C圖顯示的重塑的凹口126相似於第13-14圖顯示的重塑的凹口126。舉例來說,可使用有著用於電漿蝕刻製程中的氫自由基的第二圖案化製程來形成第15A-15C圖顯示的凹口126。此外,第13-15C圖顯示的重塑的凹口126為顯示目的,且重塑的凹口126可具有不同於顯示的重塑的凹口126的形狀或尺寸,或可具有顯示的重塑的凹口126的形狀或尺寸的組合。在一些實施例中,重塑的凹口126的形狀或尺寸可透過控制第二圖案化製程的參數來控制,例如製程持續時間、製程溫度、製程壓力、製程氣體流量或其他參數。在一些實施例中,可控制第二圖案化製程的參數以形成具有所期望的形狀或具有所期望的尺寸的重塑的凹口126。在一些情況中,透過控制重塑的凹口126的形狀,也控制了相鄰鰭式場效電晶體的通道區的形狀。在此方式中,可形成具有所期望特徵的通道區,例如特定的頂部接近距離、中間接近距離和底部接近距離。也可控制通道區的側壁輪廓有著特定特徵,例如一致的側壁、垂直的側壁、錐形的側壁等。在一些情況中,重塑的凹口126的特定形狀(例如具有V形底部或具有垂直側壁等)可能更適用於特定的源極/汲極磊晶材料或用以在重塑的凹口126中形成磊晶源極/汲極區的磊晶材料形成製程。在此方式中,本文顯示的實施例呈現可控制如本文所述的第二圖案化製程以產生的重塑的凹口126的一些形狀的顯示性範例。如此一來,本文描述的第二圖案化製程可使得在控制凹口的形狀或鰭式場效電晶體的通道區的形狀上有著更大的靈活性。Figures 15A-15C show other embodiments in which reshaped notches 126 having different shapes may be formed by using the second patterning process described herein. The reshaped notch 126 shown in Figures 15A-15C is similar to the reshaped notch 126 shown in Figures 13-14. For example, a second patterning process with hydrogen radicals used in a plasma etch process can be used to form the recesses 126 shown in FIGS. 15A-15C. In addition, the reshaped notch 126 shown in FIGS. 13-15C is for illustration purposes, and the reshaped notch 126 may have a different shape or size than the shown reshaped notch 126, or may have the shown reshape combination of the shapes or dimensions of the notches 126 . In some embodiments, the shape or size of the reshaped recesses 126 can be controlled by controlling parameters of the second patterning process, such as process duration, process temperature, process pressure, process gas flow, or other parameters. In some embodiments, the parameters of the second patterning process can be controlled to form reshaped recesses 126 having a desired shape or having a desired size. In some cases, by controlling the shape of the reshaped notch 126, the shape of the channel region of the adjacent finFET is also controlled. In this manner, channel regions can be formed with desired characteristics, such as specific top access distances, intermediate access distances, and bottom access distances. The sidewall profile of the channel region can also be controlled to have specific features, such as uniform sidewalls, vertical sidewalls, tapered sidewalls, and the like. In some cases, the particular shape of the reshaped recess 126 (eg, having a V-shaped bottom or having vertical sidewalls, etc.) may be more suitable for a particular source/drain epitaxial material or for use in the reshaped recess 126 The epitaxial material forming process for forming the epitaxial source/drain regions. In this manner, the embodiments shown herein present illustrative examples of some shapes of the reshaped notches 126 that can be controlled to produce a second patterning process as described herein. As such, the second patterning process described herein allows for greater flexibility in controlling the shape of the notch or the shape of the channel region of the FFET.

第15A圖顯示重塑的凹口126的形狀的另一實施例,此形狀相似於第13圖顯示的重塑的凹口126的形狀。凹口126的下側壁125可包含沿晶面(例如晶面(111)或(110))的表面,且上側壁127可包含不沿晶面(例如曲面)的表面。重塑的凹口126可具有從鰭56的頂表面至凹口126的底部垂直地測量的凹口深度D1在約40nm與約100nm之間。重塑的凹口126可具有從一鰭56的頂部至相對鰭56的頂部橫跨凹口126測量的頂部寬度W1在約15nm與約60nm之間。重塑的凹口126可具有在凹口深度D1的約一半處從一鰭56至相對鰭56橫跨凹口126測量的中間寬度W2在約15nm與約80nm之間。寬度W1:W2的比例可在約0.5:1與約1:1之間。重塑的凹口126可具有在中間寬度W2與凹口126的底部之間的約一半處從一鰭56至相對鰭56橫跨凹口126測量的寬度W3在約5nm與約50nm之間。寬度W3:W2的比例可在約0.5:1與約1:1之間。重塑的凹口可具有頂部接近距離TP1在約1nm與約15nm之間、中間接近距離MP1在約1nm與約10nm之間和底部接近距離BP1在約1nm與約25nm之間。本文描述的第二圖案化製程可允許較小的中間接近距離MP1,在一些情況中,較小的中間接近距離MP1可導致降低鰭式場效電晶體中的汲極引發能障降低效應。在一些情況中,相較於其他技術,第二圖案化製程可能夠在有著較少增加深度D1或有著較少降低頂部接近距離TP1的情況下降低中間接近距離MP1。凹口126的下側壁125可具有由晶面(例如晶面(111)或(110))定義之與水平面夾的角度A1。角度A1可在約20°與約80°之間。FIG. 15A shows another example of the shape of the reshaped notch 126 that is similar to the shape of the reshaped notch 126 shown in FIG. 13 . The lower sidewalls 125 of the notch 126 may include surfaces along crystal planes (eg, crystal planes (111) or (110)), and the upper sidewalls 127 may include surfaces that are not along crystal planes (eg, curved surfaces). The reshaped notch 126 may have a notch depth D1 of between about 40 nm and about 100 nm measured perpendicularly from the top surface of the fin 56 to the bottom of the notch 126 . The reshaped notch 126 may have a top width W1 of between about 15 nm and about 60 nm measured across the notch 126 from the top of one fin 56 to the top of the opposing fin 56 . The reshaped notch 126 may have an intermediate width W2 of between about 15 nm and about 80 nm, measured from one fin 56 to the opposite fin 56 across the notch 126 at about half the notch depth D1. The ratio of widths W1:W2 may be between about 0.5:1 and about 1:1. The reshaped notch 126 may have a width W3 of between about 5 nm and about 50 nm measured from one fin 56 to the opposite fin 56 across the notch 126 at about halfway between the intermediate width W2 and the bottom of the notch 126 . The ratio of widths W3:W2 may be between about 0.5:1 and about 1:1. The reshaped notch may have a top access distance TP1 between about 1 nm and about 15 nm, a middle access distance MP1 between about 1 nm and about 10 nm, and a bottom access distance BP1 between about 1 nm and about 25 nm. The second patterning process described herein may allow for a smaller intermediate proximity distance MP1, which in some cases may result in reduced drain induced barrier reduction effects in finFETs. In some cases, the second patterning process may be able to decrease the middle proximity distance MP1 with less increased depth D1 or with less decreased top proximity distance TP1 than other techniques. The lower sidewall 125 of the notch 126 may have an angle A1 defined by a crystal plane (eg, crystal plane (111) or (110)) with the horizontal plane. The angle A1 may be between about 20° and about 80°.

第15B圖顯示具有筆直上側壁127的重塑的凹口126的另一實施例。在一些情況中,上側壁127可為大致垂直(如第15B圖所示)或可具有角度。下側壁125可包含沿晶面(例如晶面(111)或(110))的表面。重塑的凹口126可具有從鰭56的頂表面至凹口126的底部垂直地測量的凹口深度D1在約40nm與約100nm之間。重塑的凹口126可具有從鰭56的頂表面至下側壁125垂直地測量的深度D2在約30nm與約100nm之間。重塑的凹口126可具有從一鰭56的頂部至相對鰭56的頂部橫跨凹口126測量的頂部寬度W1在約10nm與約60nm之間。重塑的凹口126可具有在凹口深度D1的約一半處從一鰭56至相對鰭56橫跨凹口126測量的中間寬度W2在約10nm與約80nm之間。寬度W1:W2的比例可在約0.5:1與約1:1之間。重塑的凹口126可具有在中間寬度W2與凹口126的底部之間的約一半處從一鰭56至相對鰭56橫跨凹口126測量的寬度W3在約5nm與約60nm之間。寬度W3:W2的比例可在約0.5:1與約1:1之間。重塑的凹口可具有頂部接近距離TP1在約1nm與約15nm之間、中間接近距離MP1在約1nm與約15nm之間和底部接近距離BP1在約1nm與約30nm之間。凹口126的下側壁125可具有由晶面(例如晶面(111)或(110))定義之與水平面夾的角度A1。角度A1可在約20°與約80°之間。在一些情況中,形成有著較垂直側壁的重塑的凹口126可允許鰭式場效電晶體的閘極堆疊物下方的通道區具有更均勻的輪廓。透過改善通道區輪廓的均勻性,鰭式場效電晶體可橫跨通道區更統一地開啟和關閉,其可改善裝置速度、電流均勻度和效率。FIG. 15B shows another embodiment of a reshaped notch 126 with a straight upper side wall 127 . In some cases, the upper sidewall 127 may be substantially vertical (as shown in Figure 15B) or may be angled. The lower sidewall 125 may include surfaces along a crystal plane (eg, crystal plane (111) or (110)). The reshaped notch 126 may have a notch depth D1 of between about 40 nm and about 100 nm measured perpendicularly from the top surface of the fin 56 to the bottom of the notch 126 . The reshaped notch 126 may have a depth D2 of between about 30 nm and about 100 nm, measured vertically from the top surface of the fin 56 to the lower sidewall 125 . The reshaped notch 126 may have a top width W1 of between about 10 nm and about 60 nm measured across the notch 126 from the top of one fin 56 to the top of the opposite fin 56 . The reshaped notch 126 may have an intermediate width W2 of between about 10 nm and about 80 nm measured across the notch 126 from one fin 56 to the opposite fin 56 at about half the notch depth D1. The ratio of widths W1:W2 may be between about 0.5:1 and about 1:1. The reshaped notch 126 may have a width W3 of between about 5 nm and about 60 nm measured across the notch 126 from one fin 56 to the opposite fin 56 at about halfway between the intermediate width W2 and the bottom of the notch 126 . The ratio of widths W3:W2 may be between about 0.5:1 and about 1:1. The reshaped notch may have a top access distance TP1 between about 1 nm and about 15 nm, a middle access distance MP1 between about 1 nm and about 15 nm, and a bottom access distance BP1 between about 1 nm and about 30 nm. The lower sidewall 125 of the notch 126 may have an angle A1 defined by a crystal plane (eg, crystal plane (111) or (110)) with the horizontal plane. The angle A1 may be between about 20° and about 80°. In some cases, forming the reshaped notch 126 with more vertical sidewalls may allow for a more uniform profile of the channel region under the gate stack of the finFET. By improving the uniformity of the channel region profile, finFETs can turn on and off more uniformly across the channel region, which can improve device speed, current uniformity, and efficiency.

第15C圖顯示具有上側壁127、中間側壁129和下側壁125的重塑的凹口126的另一實施例。在第15C圖顯示的範例凹口126中,上側壁127和下側壁125包含沿晶面(例如晶面(111)或(110))的表面。中間側壁129可為垂直的(如第15C圖所示)或可具有彎曲或傾斜的輪廓。重塑的凹口126可具有從鰭56的頂表面至凹口126的底部垂直地測量的凹口深度D1在約40nm與約100nm之間。重塑的凹口126可具有從鰭56的頂表面至中間側壁129垂直地測量的深度D3在約1nm與約30nm之間。中間側壁129可延伸垂直深度D4在約10nm與約50nm之間。重塑的凹口126可具有從一鰭56的頂部至相對鰭56的頂部橫跨凹口126測量的頂部寬度W1在約10nm與約60nm之間。重塑的凹口126可具有在中間側壁129的頂部處從一鰭56至相對鰭56橫跨凹口126測量的寬度W4在約10nm與約70nm之間。重塑的凹口126可具有在中間側壁129的底部處從一鰭56至相對鰭56橫跨凹口126測量的寬度W5在約10nm與約80nm之間。寬度W5:W4的比例可在約0.5:1與約1:1之間。重塑的凹口126可具有在寬度W5與凹口126的底部之間的約一半處從一鰭56至相對鰭56橫跨凹口126測量的寬度W3在約1nm與約40nm之間。重塑的凹口可具有頂部接近距離TP1在約1nm與約20nm之間、中間接近距離MP1在約1nm與約15nm之間和底部接近距離BP1在約2nm與約30nm之間。凹口126的下側壁125可具有由下側壁125的晶面(例如晶面(111)或(110))定義之與水平面夾的角度A1。角度A1可在約20°與約80°之間。凹口126的上側壁127可具有由晶面(例如晶面(111)或(110))定義之與水平面夾的角度A3。角度A3可在約45°與約90°之間。在一些情況中,形成有著較垂直側壁(例如中間側壁129)的重塑的凹口126可允許鰭式場效電晶體的閘極堆疊物下方的通道區具有更均勻的輪廓。透過改善通道區輪廓的均勻性,鰭式場效電晶體可橫跨通道區更統一地開啟和關閉,其可改善裝置速度、電流均勻度和效率。FIG. 15C shows another embodiment of a reshaped notch 126 having an upper side wall 127 , an intermediate side wall 129 and a lower side wall 125 . In the example recess 126 shown in Figure 15C, the upper sidewall 127 and the lower sidewall 125 include surfaces along a crystal plane (eg, crystal plane (111) or (110)). The intermediate sidewall 129 may be vertical (as shown in Figure 15C) or may have a curved or sloped profile. The reshaped notch 126 may have a notch depth D1 of between about 40 nm and about 100 nm measured perpendicularly from the top surface of the fin 56 to the bottom of the notch 126 . The reshaped notch 126 may have a depth D3 of between about 1 nm and about 30 nm, measured vertically from the top surface of the fin 56 to the intermediate sidewall 129 . The intermediate sidewalls 129 may extend between about 10 nm and about 50 nm by a vertical depth D4. The reshaped notch 126 may have a top width W1 of between about 10 nm and about 60 nm measured across the notch 126 from the top of one fin 56 to the top of the opposite fin 56 . The reshaped notch 126 may have a width W4 of between about 10 nm and about 70 nm measured across the notch 126 from one fin 56 to the opposite fin 56 at the top of the intermediate sidewall 129 . The reshaped notch 126 may have a width W5 of between about 10 nm and about 80 nm measured across the notch 126 from one fin 56 to the opposite fin 56 at the bottom of the intermediate sidewall 129 . The ratio of widths W5:W4 may be between about 0.5:1 and about 1:1. The reshaped notch 126 may have a width W3 of between about 1 nm and about 40 nm, measured from one fin 56 to the opposite fin 56 across the notch 126 at about halfway between the width W5 and the bottom of the notch 126 . The reshaped notch may have a top access distance TP1 between about 1 nm and about 20 nm, a middle access distance MP1 between about 1 nm and about 15 nm, and a bottom access distance BP1 between about 2 nm and about 30 nm. The lower sidewall 125 of the notch 126 may have an angle A1 defined by a crystal plane of the lower sidewall 125 (eg, crystal plane (111) or (110)) with the horizontal plane. The angle A1 may be between about 20° and about 80°. The upper sidewall 127 of the notch 126 may have an angle A3 defined by a crystal plane (eg, crystal plane (111) or (110)) with the horizontal plane. Angle A3 may be between about 45° and about 90°. In some cases, forming a reshaped notch 126 with relatively vertical sidewalls (eg, middle sidewall 129 ) may allow for a more uniform profile of the channel region under the gate stack of the finFET. By improving the uniformity of the channel region profile, finFETs can turn on and off more uniformly across the channel region, which can improve device speed, current uniformity, and efficiency.

第16A-16C圖顯示在第一區100A中形成磊晶源極/汲極區82。磊晶源極/汲極區82可為單一層或包含兩層或更多層材料。舉例來說,第16B圖顯示的磊晶源極/汲極區82包含多個磊晶層82A-82C。為清楚起見,其他圖式不顯示多個磊晶層。在一些實施例中,磊晶源極/汲極區82透過使用金屬有機化學氣相沉積(metal-organic CVD,MOCVD)、分子束磊晶(molecular beam epitaxy,MBE)、液相磊晶(liquid phase epitaxy,LPE)、氣相磊晶(vapor phase epitaxy,VPE)、選擇性磊晶成長(selective epitaxial growth,SEG)、前述之組合或類似方法磊晶成長於凹口126中。在一些實施例中,磊晶源極/汲極區82在與進行第二圖案化製程的相同製程腔體中成長。在一些情況中,在形成磊晶源極/汲極區82之前,可對鰭56進行清潔製程,例如乾清潔製程(例如灰化製程)、濕清潔製程(例如使用Caro’s Strip或HF)、類似方法或前述之組合。磊晶源極/汲極區82可具有從鰭56的對應表面凸起的表面,且可具有刻面(facet)。磊晶源極/汲極區82形成於鰭56中,使得每個虛設結構70設置於對應相鄰對的磊晶源極/汲極區82之間。磊晶源極/汲極區82可包含任何合適的材料,例如適用於n型鰭式場效電晶體的任何材料。舉例來說,假如鰭56為矽,磊晶源極/汲極區82可包含矽、SiC、SiCP、SiP、SiGeB、類似物或前述之組合。磊晶源極/汲極區82的不同層可為不同材料或可為相同材料,且可在個別的步驟中成長。舉例來說,磊晶層82A(有時被稱為第一磊晶層)可先沉積於凹口126中,接著磊晶層82B(有時被稱為第二磊晶層)可沉積於磊晶層82A上方,接著磊晶層82C(有時被稱為第三磊晶層)可沉積於磊晶層82B上方。在一些實施例中,磊晶層82A可包含例如矽、SiC、SiP、類似物或前述之組合的材料。磊晶層82A可為未摻雜或摻雜。舉例來說,在一些實施例中,磊晶層82A可摻雜磷濃度在約5x1019 cm-3 與約5x1020 cm-3 之間,但是可使用其他摻雜物或濃度。在一些實施例中,可形成磊晶層82A具有厚度在約5nm與約20nm之間。在一些實施例中,磊晶層82A可包含對鰭56的通道區上施加應力的應力源材料。舉例來說,應力可為用於n型鰭式場效電晶體的拉伸應力。在一些實施例中,磊晶層82B可包含例如矽、SiP、類似物或前述之組合的材料。磊晶層82B可為未摻雜或摻雜。舉例來說,在一些實施例中,磊晶層82B可摻雜磷濃度在約5x1020 cm-3 與約4x1021 cm-3 之間,但是可使用其他摻雜物或濃度。在一些實施例中,可形成磊晶層82B具有厚度在約15nm與約60nm之間。在一些實施例中,磊晶層82C可包含例如矽、SiP、SiGe、SiGe:P、類似物或前述之組合的材料。磊晶層82C可為未摻雜或摻雜。舉例來說,在一些實施例中,磊晶層82C可摻雜磷濃度在約1x1021 cm-3 與約3x1021 cm-3 之間,但是可使用其他摻雜物或濃度。在一些實施例中,可形成磊晶層82C具有厚度在約5nm與約20nm之間。在一些情況中,重塑的凹口126的錐形形狀可允許形成磊晶源極/汲極區82期間改善的磊晶源極/汲極區82的填充效率。Figures 16A-16C show the formation of epitaxial source/drain regions 82 in the first region 100A. The epitaxial source/drain regions 82 may be a single layer or comprise two or more layers of material. For example, the epitaxial source/drain region 82 shown in FIG. 16B includes a plurality of epitaxial layers 82A-82C. For clarity, the other figures do not show multiple epitaxial layers. In some embodiments, the epitaxial source/drain regions 82 are formed by using metal-organic chemical vapor deposition (MOCVD), molecular beam epitaxy (MBE), liquid phase epitaxy (liquid phase epitaxy) phase epitaxy (LPE), vapor phase epitaxy (VPE), selective epitaxial growth (SEG), a combination of the foregoing, or similar methods are epitaxially grown in the recess 126 . In some embodiments, epitaxial source/drain regions 82 are grown in the same process chamber as the second patterning process is performed. In some cases, prior to forming epitaxial source/drain regions 82, fins 56 may be subjected to a cleaning process, such as a dry cleaning process (eg, an ashing process), a wet cleaning process (eg, using Caro's Strip or HF), the like method or a combination of the foregoing. Epitaxial source/drain regions 82 may have surfaces that are raised from corresponding surfaces of fins 56 and may have facets. Epitaxial source/drain regions 82 are formed in the fins 56 such that each dummy structure 70 is disposed between corresponding adjacent pairs of epitaxial source/drain regions 82 . The epitaxial source/drain regions 82 may comprise any suitable material, such as any material suitable for n-type fin field effect transistors. For example, if fin 56 is silicon, epitaxial source/drain regions 82 may comprise silicon, SiC, SiCP, SiP, SiGeB, the like, or a combination of the foregoing. The different layers of epitaxial source/drain regions 82 may be of different materials or may be of the same material, and may be grown in separate steps. For example, epitaxial layer 82A (sometimes referred to as the first epitaxial layer) may be deposited in the recess 126 first, and then epitaxial layer 82B (sometimes referred to as the second epitaxial layer) may be deposited in the epitaxial layer Over epitaxial layer 82A, then epitaxial layer 82C (sometimes referred to as a third epitaxial layer) may be deposited over epitaxial layer 82B. In some embodiments, epitaxial layer 82A may comprise materials such as silicon, SiC, SiP, the like, or a combination of the foregoing. The epitaxial layer 82A may be undoped or doped. For example, in some embodiments, epitaxial layer 82A may be doped with phosphorus to a concentration between about 5x10 19 cm -3 and about 5x10 20 cm -3 , although other dopants or concentrations may be used. In some embodiments, epitaxial layer 82A may be formed to have a thickness between about 5 nm and about 20 nm. In some embodiments, epitaxial layer 82A may include a stressor material that stresses the channel regions of fins 56 . For example, the stress may be tensile stress for n-type finfield effect transistors. In some embodiments, epitaxial layer 82B may include materials such as silicon, SiP, the like, or a combination of the foregoing. The epitaxial layer 82B may be undoped or doped. For example, in some embodiments, epitaxial layer 82B may be doped with phosphorus to a concentration between about 5x10 20 cm -3 and about 4x10 21 cm -3 , although other dopants or concentrations may be used. In some embodiments, epitaxial layer 82B may be formed to have a thickness between about 15 nm and about 60 nm. In some embodiments, epitaxial layer 82C may include materials such as silicon, SiP, SiGe, SiGe:P, the like, or a combination of the foregoing. The epitaxial layer 82C may be undoped or doped. For example, in some embodiments, epitaxial layer 82C may be doped with phosphorus to a concentration of between about 1 x 10 21 cm -3 and about 3 x 10 21 cm -3 , although other dopants or concentrations may be used. In some embodiments, epitaxial layer 82C may be formed to have a thickness between about 5 nm and about 20 nm. In some cases, the tapered shape of the reshaped notch 126 may allow for improved filling efficiency of the epitaxial source/drain regions 82 during formation of the epitaxial source/drain regions 82 .

在一些實施例中,第一區100A中的磊晶源極/汲極區82可植入摻雜物,相似於前述用於形成輕摻雜源極/汲極區75/79的製程,接著進行退火(請參照第8A、8B和8C圖)。磊晶源極/汲極區82可具有雜質濃度在約1019 cm-3 與約1021 cm-3 之間的範圍中。用於第一區100A(例如n型金屬氧化物半導體區)中的源極/汲極區的n型雜質可為前述的任何n型雜質。在其他實施例中,磊晶源極/汲極區82的材料可在成長期間原位摻雜。在顯示的實施例中,每個磊晶源極/汲極區82與其他的磊晶源極/汲極區82物理隔開。在其他實施例中,可合併兩個或更多相鄰的磊晶源極/汲極區82。此一實施例顯示於第22圖,合併兩個相鄰的磊晶源極/汲極區82,以形成共用的源極/汲極區。在一些實施例中,可合併多於兩個相鄰的磊晶源極/汲極區82。In some embodiments, the epitaxial source/drain regions 82 in the first region 100A may be implanted with dopants, similar to the aforementioned process for forming the lightly doped source/drain regions 75/79, and then Perform annealing (see Figures 8A, 8B and 8C). The epitaxial source/drain regions 82 may have an impurity concentration in a range between about 10 19 cm −3 and about 10 21 cm −3 . The n-type impurities used for the source/drain regions in the first region 100A (eg, the n-type metal oxide semiconductor region) may be any of the aforementioned n-type impurities. In other embodiments, the material of epitaxial source/drain regions 82 may be doped in-situ during growth. In the embodiment shown, each epitaxial source/drain region 82 is physically separated from other epitaxial source/drain regions 82 . In other embodiments, two or more adjacent epitaxial source/drain regions 82 may be merged. An embodiment of this, shown in FIG. 22, combines two adjacent epitaxial source/drain regions 82 to form a common source/drain region. In some embodiments, more than two adjacent epitaxial source/drain regions 82 may be merged.

請參照第17A-17C圖,在形成第一區100A中的磊晶源極/汲極區82之後,磊晶源極/汲極區84形成於第二區100B中。在一些實施例中,磊晶源極/汲極區84透過使用以上參考第12-15C圖所述之磊晶源極/汲極區82的類似形成方法形成於第二區100B中,且為了簡潔起見,不重複詳細描述。在一些實施例中,在第二區100(例如p型金屬氧化物半導體區)中形成磊晶源極/汲極區84期間,可遮蔽(未顯示)第一區100A(例如n型金屬氧化物半導體區)。之後,蝕刻第二區100B中的鰭56的源極/汲極區以形成相似於重塑的凹口126(請參照第13-15C圖)的凹口(如第17B-17C圖顯示填充磊晶源極/汲極區84)。舉例來說,可使用第一圖案化製程以形成相似於U形的凹口124(請參照第12圖)的U形的凹口,接著可進行第二圖案化製程以重塑凹口。第二圖案化製程可例如包含使用氫自由基的電漿蝕刻製程或可包含前述的其他技術。第二區100B中的重塑的凹口可透過使用相似於以上參照第12-15C圖之第一區100A中的重塑的凹口126的形成方法來形成。為了簡潔起見,不贅述於此。Referring to FIGS. 17A-17C, after the epitaxial source/drain regions 82 in the first region 100A are formed, the epitaxial source/drain regions 84 are formed in the second region 100B. In some embodiments, epitaxial source/drain regions 84 are formed in second region 100B using a similar formation method for epitaxial source/drain regions 82 described above with reference to FIGS. 12-15C, and in order to For brevity, detailed descriptions are not repeated. In some embodiments, during formation of the epitaxial source/drain regions 84 in the second region 100 (eg, p-type metal oxide semiconductor region), the first region 100A (eg, n-type metal oxide semiconductor region) may be masked (not shown) material semiconductor region). Afterwards, the source/drain regions of the fins 56 in the second region 100B are etched to form a notch similar to the reshaped notch 126 (please refer to FIGS. 13-15C ) (as shown in FIGS. 17B-17C to fill the epitaxy) crystal source/drain regions 84). For example, a first patterning process can be used to form a U-shaped notch similar to U-shaped notch 124 (see FIG. 12), followed by a second patterning process to reshape the notch. The second patterning process may include, for example, a plasma etching process using hydrogen radicals or may include other techniques described above. The reshaped notch in the second region 100B may be formed by using a formation method similar to that of the reshaped notch 126 in the first region 100A above with reference to FIGS. 12-15C. For the sake of brevity, it is not repeated here.

接著,第二區100B中的磊晶源極/汲極區84透過使用金屬有機化學氣相沉積、分子束磊晶、液相磊晶、氣相磊晶、選擇性磊晶成長、前述之組合或類似方法磊晶成長於凹口中。在一些實施例中,磊晶源極/汲極區84在與進行第二圖案化製程的相同製程腔體中成長。在一些情況中,在形成磊晶源極/汲極區84之前,可對鰭56進行清潔製程,例如乾清潔製程(例如灰化製程)、濕清潔製程(例如使用Caro’s Strip或HF)、類似方法或前述之組合。磊晶源極/汲極區84可為單一層或包含兩層或更多層材料。磊晶源極/汲極區84可包含任何合適的材料,例如適用於p型鰭式場效電晶體的任何材料。舉例來說,假如鰭56為矽,磊晶源極/汲極區84可包含SiGe、SiGeB、Ge、GeSn、類似物或前述之組合。磊晶源極/汲極區84的不同層可為不同材料或可為相同材料,且可在個別的步驟中成長。舉例來說,第一磊晶層可先沉積於凹口中,接著第二磊晶層可沉積於第一磊晶層上方,接著第三磊晶層可沉積於第二磊晶層上方。在一些實施例中,第一磊晶層可包含例如矽、SiGe、SiGe:B、類似物或前述之組合的材料。第一磊晶層可為未摻雜或摻雜。舉例來說,在一些實施例中,第一磊晶層可為具有Ge原子百分比在約1%與約25%之間的SiGe,或可為摻雜硼濃度在約5x1019 cm-3 與約1x1020 cm-3 之間的材料,但是可使用其他摻雜物或濃度。在一些實施例中,可形成第一磊晶層具有厚度在約5nm與約20nm之間。在一些實施例中,第一磊晶層可包含對鰭56的通道區上施加應力的應力源材料。舉例來說,應力可為用於p型鰭式場效電晶體的壓縮應力。在一些實施例中,第二磊晶層可包含例如矽、SiGe、SiGe:B、類似物或前述之組合的材料。第二磊晶層可為未摻雜或摻雜。舉例來說,在一些實施例中,第二磊晶層可為具有Ge原子百分比在約25%與約55%之間的SiGe,或可為摻雜硼濃度在約1x1020 cm-3 與約2x1021 cm-3 之間的材料,但是可使用其他摻雜物或濃度。在一些實施例中,可形成第二磊晶層具有厚度在約20nm與約60nm之間。在一些實施例中,第三磊晶層可包含例如矽、SiGe、SiGe:B、類似物或前述之組合的材料。第三磊晶層可為未摻雜或摻雜。舉例來說,在一些實施例中,第三磊晶層可為具有Ge原子百分比在約45%與約60%之間的SiGe,或可為摻雜硼濃度在約5x1020 cm-3 與約2x1021 cm-3 之間的材料,但是可使用其他摻雜物或濃度。在一些實施例中,可形成第三磊晶層具有厚度在約10nm與約20nm之間。磊晶源極/汲極區84可具有從鰭56的對應表面凸起的表面,且可具有刻面。在第二區100B中,磊晶源極/汲極區84形成於鰭56中,使得每個虛設結構70設置於對應相鄰對的磊晶源極/汲極區84之間。在一些實施例中,磊晶源極/汲極區84可延伸通過鰭56並進入半導體條帶52中。Next, the epitaxial source/drain regions 84 in the second region 100B are formed by using metal organic chemical vapor deposition, molecular beam epitaxy, liquid phase epitaxy, vapor phase epitaxy, selective epitaxial growth, or a combination of the foregoing. or a similar method for epitaxial growth in the notch. In some embodiments, epitaxial source/drain regions 84 are grown in the same process chamber as the second patterning process. In some cases, prior to forming epitaxial source/drain regions 84, fins 56 may be subjected to a cleaning process, such as a dry cleaning process (eg, an ashing process), a wet cleaning process (eg, using Caro's Strip or HF), the like method or a combination of the foregoing. The epitaxial source/drain regions 84 may be a single layer or comprise two or more layers of material. Epitaxial source/drain regions 84 may comprise any suitable material, such as any material suitable for use in p-type fin field effect transistors. For example, if fin 56 is silicon, epitaxial source/drain regions 84 may comprise SiGe, SiGeB, Ge, GeSn, the like, or a combination of the foregoing. The different layers of epitaxial source/drain regions 84 may be of different materials or may be of the same material, and may be grown in separate steps. For example, a first epitaxial layer can be deposited in the recess first, then a second epitaxial layer can be deposited over the first epitaxial layer, and then a third epitaxial layer can be deposited over the second epitaxial layer. In some embodiments, the first epitaxial layer may include materials such as silicon, SiGe, SiGe:B, the like, or a combination of the foregoing. The first epitaxial layer may be undoped or doped. For example, in some embodiments, the first epitaxial layer may be SiGe having between about 1% and about 25% Ge atomic percent, or may be doped with boron concentration between about 5× 10 19 cm −3 and about Material between 1x10 20 cm -3 , but other dopants or concentrations can be used. In some embodiments, the first epitaxial layer may be formed to have a thickness between about 5 nm and about 20 nm. In some embodiments, the first epitaxial layer may include a stressor material that stresses the channel regions of the fins 56 . For example, the stress may be a compressive stress for a p-type FinFET. In some embodiments, the second epitaxial layer may include materials such as silicon, SiGe, SiGe:B, the like, or a combination of the foregoing. The second epitaxial layer may be undoped or doped. For example, in some embodiments, the second epitaxial layer may be SiGe having between about 25 and about 55% Ge atomic percent, or may be doped with boron at a concentration between about 1×10 20 cm −3 and about Material between 2x10 21 cm -3 , but other dopants or concentrations can be used. In some embodiments, the second epitaxial layer may be formed to have a thickness between about 20 nm and about 60 nm. In some embodiments, the third epitaxial layer may include materials such as silicon, SiGe, SiGe:B, the like, or a combination of the foregoing. The third epitaxial layer may be undoped or doped. For example, in some embodiments, the third epitaxial layer may be SiGe having between about 45% and about 60% Ge atomic percent, or may be doped with boron at a concentration between about 5x10 20 cm -3 and about Material between 2x10 21 cm -3 , but other dopants or concentrations can be used. In some embodiments, the third epitaxial layer may be formed to have a thickness between about 10 nm and about 20 nm. Epitaxial source/drain regions 84 may have surfaces that are raised from corresponding surfaces of fins 56 and may have facets. In the second region 100B, epitaxial source/drain regions 84 are formed in the fins 56 such that each dummy structure 70 is disposed between a corresponding adjacent pair of epitaxial source/drain regions 84 . In some embodiments, epitaxial source/drain regions 84 may extend through fins 56 and into semiconductor strips 52 .

在一些實施例中,第二區100B中的磊晶源極/汲極區84可植入摻雜物,相似於前述用於形成輕摻雜源極/汲極區75/79的製程,接著進行退火(請參照第8A、8B和8C圖)。磊晶源極/汲極區84可具有雜質濃度在約1019 cm-3 與約1021 cm-3 之間的範圍中。用於第二區100B(例如p型金屬氧化物半導體區)中的磊晶源極/汲極區84的p型雜質可為前述的任何p型雜質。在其他實施例中,磊晶源極/汲極區84的材料可在成長期間原位摻雜。依據對應之重塑的凹口的形狀,磊晶源極/汲極區82和84的一部分可具有彎曲的側壁或大致筆直的側壁。在顯示的實施例中,每個磊晶源極/汲極區84與其他的磊晶源極/汲極區84物理隔開。在其他實施例中,可合併兩個或更多相鄰的磊晶源極/汲極區84。此一實施例顯示於第22圖,合併兩個相鄰的磊晶源極/汲極區84,以形成共用的源極/汲極區。在一些實施例中,可合併多於兩個相鄰的磊晶源極/汲極區84。In some embodiments, the epitaxial source/drain regions 84 in the second region 100B may be implanted with dopants, similar to the processes previously described for forming the lightly doped source/drain regions 75/79, and then Perform annealing (see Figures 8A, 8B and 8C). The epitaxial source/drain regions 84 may have an impurity concentration in a range between about 10 19 cm −3 and about 10 21 cm −3 . The p-type impurities used for the epitaxial source/drain regions 84 in the second region 100B (eg, the p-type metal oxide semiconductor region) may be any of the aforementioned p-type impurities. In other embodiments, the material of epitaxial source/drain regions 84 may be doped in-situ during growth. A portion of epitaxial source/drain regions 82 and 84 may have curved sidewalls or substantially straight sidewalls depending on the shape of the corresponding reshaped notch. In the embodiment shown, each epitaxial source/drain region 84 is physically separated from other epitaxial source/drain regions 84 . In other embodiments, two or more adjacent epitaxial source/drain regions 84 may be merged. An embodiment of this, shown in FIG. 22, combines two adjacent epitaxial source/drain regions 84 to form a common source/drain region. In some embodiments, more than two adjacent epitaxial source/drain regions 84 may be merged.

請參照第17A-17C圖,蝕刻停止層87和層間介電質(interlayer dielectric,ILD)88沉積於虛設結構70上方以及磊晶源極/汲極區82和84上方。在一些實施例中,層間介電質88為透過可流動化學氣相沉積形成的可流動膜。在一些實施例中,層間介電質88由介電材料形成,例如磷矽酸鹽玻璃(Phospho-Silicate Glass,PSG)、硼矽酸鹽玻璃(Boro-Silicate Glass,BSG)、硼摻雜磷矽酸鹽玻璃(Boron-Doped Phospho-Silicate Glass,BPSG)、未摻雜矽酸鹽玻璃(undoped Silicate Glass,USG)或類似物,且可透過任何合適的方法沉積,例如化學氣相沉積、電漿輔助化學氣相沉積、前述之組合或類似方法。在一些實施例中,當將層間介電質88圖案化以形成用於形成接點的開口時,使用蝕刻停止層87作為停止層。因此,可選擇蝕刻停止層87的材料,使得蝕刻停止層87的材料具有比層間介電質88的材料更低的蝕刻速率。Referring to FIGS. 17A-17C , an etch stop layer 87 and an interlayer dielectric (ILD) 88 are deposited over the dummy structure 70 and over the epitaxial source/drain regions 82 and 84 . In some embodiments, the interlayer dielectric 88 is a flowable film formed by flowable chemical vapor deposition. In some embodiments, the interlayer dielectric 88 is formed of a dielectric material, such as Phospho-Silicate Glass (PSG), Boro-Silicate Glass (BSG), boron-doped phosphorus Silicate glass (Boron-Doped Phospho-Silicate Glass, BPSG), undoped silicate glass (undoped Silicate Glass, USG) or the like, and can be deposited by any suitable method, such as chemical vapor deposition, electroplating Slurry assisted chemical vapor deposition, combinations of the foregoing, or similar methods. In some embodiments, etch stop layer 87 is used as a stop layer when interlayer dielectric 88 is patterned to form openings for forming contacts. Accordingly, the material of the etch stop layer 87 may be selected such that the material of the etch stop layer 87 has a lower etch rate than the material of the interlayer dielectric 88 .

請參照第18A-18C圖,可進行平坦化製程(例如化學機械研磨製程),使層間介電質88的頂表面與虛設結構70的頂表面齊平。在平坦化製程之後,虛設結構70的頂表面從層間介電質88暴露出來。在一些實施例中,化學機械研磨也可移除虛設結構70上的遮罩72或遮罩72的一部分。Referring to FIGS. 18A-18C , a planarization process (eg, a chemical mechanical polishing process) may be performed to make the top surface of the interlayer dielectric 88 flush with the top surface of the dummy structure 70 . After the planarization process, the top surface of the dummy structure 70 is exposed from the interlayer dielectric 88 . In some embodiments, chemical mechanical polishing may also remove mask 72 or a portion of mask 72 on dummy structure 70 .

請參照第19A-19C圖,在蝕刻步驟中移除遮罩72和虛設結構70的一部分,使得形成凹口90。每個凹口90暴露出對應鰭56的通道區。每個通道區設置於第一區100A中的各對相鄰的磊晶源極/汲極區82之間或第二區100B中的各對相鄰的磊晶源極/汲極區84之間。在移除製程期間,虛設介電層58可用作當虛設結構70被蝕刻時的蝕刻停止層。在移除虛設結構70之後,可接著移除虛設介電層58。Referring to FIGS. 19A-19C, the mask 72 and a portion of the dummy structure 70 are removed in the etching step, so that the notch 90 is formed. Each notch 90 exposes a channel region of the corresponding fin 56 . Each channel region is disposed between each pair of adjacent epitaxial source/drain regions 82 in the first region 100A or between each pair of adjacent epitaxial source/drain regions 84 in the second region 100B between. During the removal process, the dummy dielectric layer 58 may serve as an etch stop layer when the dummy structure 70 is etched. After removing dummy structure 70, dummy dielectric layer 58 may then be removed.

請參照第20A-20C圖,分別在第一區100A和第二區100B中形成用於取代閘極的閘極介電層92和96以及閘極電極94和98。閘極介電層92和96順應性沉積於凹口90中,例如分別沉積於鰭56的頂表面和側壁上、閘極間隙壁122和鰭間隙壁130的側壁上以及層間介電質88的頂表面上。在一些實施例中,閘極介電層92和96包含氧化矽、氮化矽或前述之多層。在其他實施例中,閘極介電層92和96包含高介電常數(high-k)介電材料,且在這些實施例中,閘極介電層92和96可具有介電常數值大於約7.0,且可包含Hf、Al、Zr、La、Mg、Ba、Ti、Pb的金屬氧化物或矽酸鹽和前述之組合。閘極介電層92和96的形成方法可包含分子束沉積(Molecular-Beam Deposition,MBD)、原子層沉積、電漿輔助化學氣相沉積、前述之組合或類似方法。Referring to FIGS. 20A-20C, gate dielectric layers 92 and 96 for replacing gate electrodes and gate electrodes 94 and 98 are formed in the first region 100A and the second region 100B, respectively. Gate dielectric layers 92 and 96 are compliantly deposited in recess 90 , eg, on the top surface and sidewalls of fin 56 , on the sidewalls of gate spacers 122 and fin spacers 130 , and on the sidewalls of interlayer dielectric 88 , respectively. on the top surface. In some embodiments, gate dielectric layers 92 and 96 comprise silicon oxide, silicon nitride, or multiple layers of the foregoing. In other embodiments, gate dielectric layers 92 and 96 comprise high-k dielectric materials, and in these embodiments, gate dielectric layers 92 and 96 may have dielectric constant values greater than about 7.0, and may include metal oxides or silicates of Hf, Al, Zr, La, Mg, Ba, Ti, Pb and combinations of the foregoing. The methods of forming the gate dielectric layers 92 and 96 may include molecular beam deposition (MBD), atomic layer deposition, plasma assisted chemical vapor deposition, combinations of the foregoing, or the like.

接著,閘極電極94和98分別沉積於閘極介電層92和96上方,並填充凹口90的剩下部分。閘極電極94和98可由含金屬材料製成,例如TiN、TaN、TaC、Co、Ru、Al、Ag、Au、W、Ni、Ti、Cu、前述之組合或前述之多層。在填充閘極電極94和98之後,可進行平坦化製程(例如化學機械研磨製程)來移除閘極介電層92和96以及閘極電極94和98的多餘部分,其中多餘部分在層間介電質88的頂表面上方。閘極電極94和98以及閘極介電層92和96的材料的最終剩下部分因此形成最終鰭式場效電晶體的取代閘極。Next, gate electrodes 94 and 98 are deposited over gate dielectric layers 92 and 96 , respectively, and fill the remainder of recess 90 . Gate electrodes 94 and 98 may be made of metal-containing materials such as TiN, TaN, TaC, Co, Ru, Al, Ag, Au, W, Ni, Ti, Cu, combinations of the foregoing, or multiple layers of the foregoing. After the gate electrodes 94 and 98 are filled, a planarization process (eg, a chemical mechanical polishing process) may be performed to remove the gate dielectric layers 92 and 96 and the excess portions of the gate electrodes 94 and 98, where the excess portion is in the interlayer dielectric Above the top surface of the electrical substance 88 . The final remaining portions of the gate electrodes 94 and 98 and the material of the gate dielectric layers 92 and 96 thus form the replacement gates of the final finFET.

在一些實施例中,閘極介電層92和96的形成可同時發生,使得閘極介電層92和96由相同材料製成,且閘極電極94和98的形成可同時發生,使得閘極電極94和98由相同材料製成。然而,閘極介電層92和96可由不同的製程形成,使得閘極介電層92和96由不同材料製成,且閘極電極94和98可由不同的製程形成,使得閘極電極94和98由不同材料製成。當使用不同的製程時,可使用各種遮罩步驟來遮蔽並暴露合適的區域。In some embodiments, the formation of gate dielectric layers 92 and 96 may occur simultaneously, such that gate dielectric layers 92 and 96 are made of the same material, and the formation of gate electrodes 94 and 98 may occur simultaneously, such that gate dielectric layers 92 and 96 are formed of the same material The pole electrodes 94 and 98 are made of the same material. However, gate dielectric layers 92 and 96 may be formed by different processes, such that gate dielectric layers 92 and 96 are made of different materials, and gate electrodes 94 and 98 may be formed by different processes, such that gate electrodes 94 and 98 are formed by different processes. 98 is made of different materials. When using different processes, various masking steps can be used to mask and expose suitable areas.

請參照第21A-21C圖,層間介電質102沉積於層間介電質88上方,接點104形成通過層間介電質102和層間介電質88,且接點110形成通過層間介電質102。在一實施例中,層間介電質102透過使用相似於以上參照第17A-17C圖的層間介電質88的材料和形成方法來形成。為了簡潔起見,不贅述於此。在一些實施例中,層間介電質102和層間介電質88由相同材料形成。在其他實施例中,層間介電質102和層間介電質88由不同材料形成。Referring to FIGS. 21A-21C, ILD 102 is deposited over ILD 88, contacts 104 are formed through ILD 102 and ILD 88, and contacts 110 are formed through ILD 102 . In one embodiment, the interlayer dielectric 102 is formed using materials and formation methods similar to those of the interlayer dielectric 88 described above with reference to Figures 17A-17C. For the sake of brevity, it is not repeated here. In some embodiments, interlayer dielectric 102 and interlayer dielectric 88 are formed of the same material. In other embodiments, interlayer dielectric 102 and interlayer dielectric 88 are formed of different materials.

用於接點104的開口形成通過層間介電質88和102以及蝕刻停止層87。用於接點110的開口形成通過層間介電質102以及蝕刻停止層87。這些開口可皆於相同製程中同時形成,或在個別製程中形成。開口可透過使用合適的光微影和蝕刻技術形成。襯墊(例如擴散阻障層、黏著層或類似物)和導電材料形成於開口中。襯墊可包含鈦、氮化鈦、鉭、氮化鉭或類似物。導電材料可為銅、銅合金、銀、金、鎢、鈷、鋁、鎳或類似物。可進行平坦化製程(例如化學機械研磨)以從層間介電質102的頂表面移除多餘的材料。剩下的襯墊和導電材料在開口中形成接點104和110。可進行退火以分別在磊晶源極/汲極區82和84與接點104之間的界面處形成矽化物。接點104物理及電性耦接至磊晶源極/汲極區82和84,且接點110物理及電性耦接至閘極電極94和98。雖然第21B圖顯示接點104和接點110在相同的剖面中,此圖式為顯示目的,且在一些實施例中,接點104和接點110設置於不同的剖面中。Openings for contacts 104 are formed through interlayer dielectrics 88 and 102 and etch stop layer 87 . Openings for contacts 110 are formed through interlayer dielectric 102 and etch stop layer 87 . These openings may all be formed simultaneously in the same process, or may be formed in separate processes. The openings can be formed by using suitable photolithography and etching techniques. A liner (eg, a diffusion barrier layer, adhesive layer, or the like) and conductive material are formed in the opening. The liner may contain titanium, titanium nitride, tantalum, tantalum nitride, or the like. The conductive material may be copper, copper alloys, silver, gold, tungsten, cobalt, aluminum, nickel, or the like. A planarization process, such as chemical mechanical polishing, may be performed to remove excess material from the top surface of the interlayer dielectric 102 . The remaining pads and conductive material form contacts 104 and 110 in the openings. Annealing may be performed to form silicide at the interface between epitaxial source/drain regions 82 and 84 and contact 104, respectively. Contact 104 is physically and electrically coupled to epitaxial source/drain regions 82 and 84 , and contact 110 is physically and electrically coupled to gate electrodes 94 and 98 . Although FIG. 21B shows contacts 104 and 110 in the same section, this figure is for illustration purposes, and in some embodiments, contacts 104 and 110 are disposed in different sections.

第22圖顯示鰭式場效電晶體裝置的剖面示意圖,其相似於第21A-21C圖顯示的鰭式場效電晶體裝置,其中以相同的參考符號標註相同的元件。第22圖沿第1圖的參考剖面B-B顯示。在一些實施例中,第22圖的鰭式場效電晶體裝置可透過使用相似於以上參照第21A-21C圖的鰭式場效電晶體裝置的材料和形成方法來形成。為了簡潔起見,不贅述於此。在顯示的實施例中,合併兩個相鄰的磊晶源極/汲極區82和兩個相鄰的磊晶源極/汲極區84,以形成個別共用的源極/汲極區。在其他實施例中,可合併多於兩個相鄰的磊晶源極/汲極區82或多於兩個相鄰的磊晶源極/汲極區84。FIG. 22 shows a schematic cross-sectional view of a finFET device, which is similar to the finFET device shown in FIGS. 21A-21C, wherein the same elements are denoted by the same reference numerals. FIG. 22 is shown along the reference section B-B of FIG. 1 . In some embodiments, the FinFET device of FIG. 22 may be formed using materials and formation methods similar to those of the FinFET device described above with reference to FIGS. 21A-21C. For the sake of brevity, it is not repeated here. In the embodiment shown, two adjacent epitaxial source/drain regions 82 and two adjacent epitaxial source/drain regions 84 are merged to form individual common source/drain regions. In other embodiments, more than two adjacent epitaxial source/drain regions 82 or more than two adjacent epitaxial source/drain regions 84 may be combined.

第23圖顯示依據一些實施例之形成鰭式場效電晶體裝置的方法的流程圖。方法2000開始於步驟2001,其中將基底(例如第2A圖顯示的基底50)圖案化以形成條帶(例如第3A圖顯示的半導體條帶52),如以上參照第2A和3A圖所述。在步驟2003中,在相鄰的條帶之間形成隔離區(例如第5A圖顯示的隔離區54),如以上參照第4A和5A圖所述。在步驟2005中,在條帶上方形成虛設結構(例如第7A-7B圖顯示的虛設結構70),如以上參照第6A-6B和7A-7C圖所述。在步驟2007中,對條帶進行第一蝕刻製程以形成凹口(例如以上參照第12圖所述的條帶中的凹口124)。在步驟2009中,對條帶進行第二蝕刻製程以形成重塑的凹口(例如以上參照第13-15C圖所述的凹口126)。在步驟2011中,在重塑的凹口中磊晶成長源極/汲極區(例如第16B-16C圖顯示的磊晶源極/汲極區82)。在一些實施例中,步驟2007、2009和2011為對形成n型裝置的基底的第一區中設置的條帶上進行。在這些實施例中,可重複步驟2007、2009和2011以對形成p型裝置的基底的第二區中設置的條帶上進行這些步驟,如以上參照第17A-17C圖所述。在步驟2013中,在條帶上方形成取代閘極堆疊物(例如顯示於第20A-20C圖中的閘極介電層92/閘極電極94以及閘極介電層96/閘極電極98)。23 shows a flowchart of a method of forming a FinFET device in accordance with some embodiments. Method 2000 begins at step 2001, wherein a substrate (eg, substrate 50 shown in Figure 2A) is patterned to form strips (eg, semiconductor strips 52 shown in Figure 3A), as described above with reference to Figures 2A and 3A. In step 2003, isolation regions (eg, isolation regions 54 shown in Figure 5A) are formed between adjacent strips, as described above with reference to Figures 4A and 5A. In step 2005, a dummy structure (eg, dummy structure 70 shown in Figures 7A-7B) is formed over the strips, as described above with reference to Figures 6A-6B and 7A-7C. In step 2007, a first etching process is performed on the strip to form a notch (eg, the notch 124 in the strip described above with reference to FIG. 12). In step 2009, a second etching process is performed on the strip to form a reshaped notch (eg, notch 126 described above with reference to Figures 13-15C). In step 2011, source/drain regions (eg, epitaxial source/drain regions 82 shown in FIGS. 16B-16C) are epitaxially grown in the reshaped recesses. In some embodiments, steps 2007, 2009 and 2011 are performed on strips disposed in the first region of the substrate forming the n-type device. In these embodiments, steps 2007, 2009, and 2011 may be repeated to perform these steps on strips disposed in the second region of the substrate forming the p-type device, as described above with reference to Figures 17A-17C. In step 2013, a replacement gate stack is formed over the strips (eg, gate dielectric 92/gate electrode 94 and gate dielectric 96/gate electrode 98 shown in Figures 20A-20C) .

本文討論的各種實施例使得鰭式場效電晶體效能改善。舉例來說,在蝕刻製程期間使用氫自由基以重塑鰭之間的凹口可具有優點。透過在蝕刻製程期間使用氫自由基,重塑的凹口的底部可形成具有錐形形狀或具有尖頭底部。在此方式中,由於重塑的凹口的尖頭底部可更遠離相鄰的鰭,因此可增加重塑的凹口的底部接近距離。在此方式中,如本文所述之有著尖頭底部的凹口的底部接近距離可大於具有U形或更平坦底表面的凹口。在一些情況中,較大的底部接近距離降低了在磊晶源極/汲極區中的摻雜物擴散至鰭式場效電晶體的通道中或通道下方的量。摻雜物擴散至通道中或通道下方可降低裝置效能。在一些情況中,使用本文描述的技術也可降低汲極引發能障降低(DIBL)效應或減少關態漏電流。透過控制蝕刻參數,可控制重塑的凹口的蝕刻,以產生所期望形狀的重塑的凹口(一些範例顯示於第13-15C圖)。在此方式中,也可控制重塑的凹口的頂部接近距離、中間接近距離和底部接近距離。本文描述的技術為參照鰭式場效電晶體描述,但是可用於形成其他裝置,例如平面場效電晶體、半導體雷射或其他光學裝置或其他類型的裝置。Various embodiments discussed herein result in improved fin field effect transistor performance. For example, there may be advantages to using hydrogen radicals to reshape the notches between the fins during the etch process. By using hydrogen radicals during the etching process, the bottom of the reshaped recess can be formed with a tapered shape or with a pointed bottom. In this manner, the bottom access distance of the reshaped notch can be increased because the pointed bottom of the reshaped notch can be further away from the adjacent fin. In this manner, a notch with a pointed bottom as described herein can have a greater bottom approach distance than a notch with a U-shaped or flatter bottom surface. In some cases, the larger bottom approach distance reduces the amount of dopant diffusion in the epitaxial source/drain regions into or under the channel of the finFET. Diffusion of dopants into or under the channel can reduce device performance. In some cases, drain induced barrier lowering (DIBL) effects or off-state leakage current can also be reduced using the techniques described herein. By controlling the etch parameters, the etching of the reshaped notch can be controlled to produce a desired shape of the reshaped notch (some examples are shown in Figures 13-15C). In this manner, the top, middle, and bottom access distances of the reshaped notch can also be controlled. The techniques described herein are described with reference to FinFETs, but may be used to form other devices, such as planar FETs, semiconductor lasers, or other optical devices or other types of devices.

依據一實施例,一方法包含在基底上形成鰭,形成隔離區與鰭相鄰,在鰭上方形成虛設結構,使用第一蝕刻製程將與虛設結構相鄰的鰭凹陷,以形成第一凹口,使用第二蝕刻製程將第一凹口重塑,以形成重塑的第一凹口,其中重塑的第一凹口的底部由第一側壁表面的晶面與第二側壁表面的晶面相交來定義,其中第一側壁表面面向第二側壁表面,以及在重塑的第一凹口中磊晶成長源極/汲極區。在一實施例中,相對於具有第二晶向的第二晶面,第二蝕刻製程選擇性蝕刻具有第一晶向的晶面,其中第一側壁表面的晶面具有第一晶向,且其中第一側壁表面包含具有第二晶向的第二晶面。在一實施例中,第二晶面具有(111)晶向。在一實施例中,第二蝕刻製程包含使用氫自由基的電漿蝕刻製程。在一實施例中,第二蝕刻製程更包含形成氬電漿。在一實施例中,第一凹口的底部與相鄰的虛設結構之間的第一橫向距離小於重塑的第一凹口的底部與相鄰的虛設結構之間的第二橫向距離。在一實施例中,在重塑的第一凹口中磊晶成長源極/汲極區的步驟包含在重塑的第一凹口中磊晶成長第一半導體材料,其中第一半導體材料覆蓋重塑的第一凹口的底部,在第一半導體材料上方磊晶成長第二半導體材料,第二半導體材料具有與第一半導體材料不同的組成,以及在第二半導體材料上方磊晶成長第三半導體材料,第三半導體材料具有與第二半導體材料不同的組成。According to one embodiment, a method includes forming a fin on a substrate, forming an isolation region adjacent to the fin, forming a dummy structure over the fin, and recessing the fin adjacent to the dummy structure using a first etching process to form a first recess , using a second etching process to reshape the first notch to form a reshaped first notch, wherein the bottom of the reshaped first notch consists of the crystal plane of the first sidewall surface and the crystal plane of the second sidewall surface An intersection is defined with the first sidewall surface facing the second sidewall surface, and source/drain regions are epitaxially grown in the reshaped first recess. In one embodiment, the second etching process selectively etches the crystal plane with the first crystal orientation relative to the second crystal plane with the second crystal orientation, wherein the crystal plane of the first sidewall surface has the first crystal orientation, and The first sidewall surface includes a second crystal plane with a second crystal orientation. In one embodiment, the second crystal plane has a (111) orientation. In one embodiment, the second etching process includes a plasma etching process using hydrogen radicals. In one embodiment, the second etching process further includes forming an argon plasma. In one embodiment, the first lateral distance between the bottom of the first notch and the adjacent dummy structure is less than the second lateral distance between the bottom of the reshaped first notch and the adjacent dummy structure. In one embodiment, the step of epitaxially growing the source/drain regions in the reshaped first recess includes epitaxially growing a first semiconductor material in the reshaped first recess, wherein the first semiconductor material covers the reshape at the bottom of the first notch, a second semiconductor material is epitaxially grown over the first semiconductor material, the second semiconductor material has a different composition from the first semiconductor material, and a third semiconductor material is epitaxially grown over the second semiconductor material , the third semiconductor material has a different composition than the second semiconductor material.

依據另一實施例,一方法包含將基底圖案化以形成條帶,條帶包含第一半導體材料,沿條帶的側壁形成隔離區,條帶的上部延伸於隔離區的頂表面之上,沿條帶的上部的側壁和頂表面形成虛設結構,對條帶的上部的暴露部分進行第一蝕刻製程以形成第一凹口,條帶的暴露部分被虛設結構暴露出來,在進行第一蝕刻製程之後,使用第二蝕刻製程重塑第一凹口以具有V形底表面,其中相對於具有第二晶向的第二晶面,第二蝕刻製程對具有第一晶向的第一晶面有選擇性,以及在重塑的第一凹口中磊晶成長源極/汲極區。在一實施例中,第二蝕刻製程具有比第一蝕刻製程更小的蝕刻速率。在一實施例中,V形底表面包含相交的(111)晶面。在一實施例中,第一蝕刻製程包含使用第一蝕刻氣體的第一電漿蝕刻製程,且第二蝕刻製程包含使用不同於第一蝕刻氣體的第二蝕刻氣體的第二電漿蝕刻製程。在一實施例中,第二蝕刻氣體包含H2 。在一實施例中,第二電漿蝕刻製程形成包含氫自由基的電漿。在一實施例中,在進行第二蝕刻製程之後,第一凹口的最上表面沿具有第二晶向的第三晶面延伸。在一實施例中,磊晶成長源極/汲極區的步驟包含磊晶成長第一材料,磊晶成長第二材料以及磊晶成長第三材料,其中第一材料、第二材料和第三材料皆為不同的材料。在一實施例中,此方法更包含沿虛設結構的側壁形成間隙壁,其中在進行第二蝕刻製程之後,第二蝕刻製程並未移除第一半導體材料與間隙壁的底表面相鄰的部分。According to another embodiment, a method includes patterning a substrate to form strips comprising a first semiconductor material, forming isolation regions along sidewalls of the strips, upper portions of the strips extending over top surfaces of the isolation regions, The sidewalls and the top surface of the upper part of the strip form a dummy structure, the exposed part of the upper part of the strip is subjected to a first etching process to form a first recess, the exposed part of the strip is exposed by the dummy structure, and the first etching process is performed. Then, the first notch is reshaped to have a V-shaped bottom surface using a second etching process, wherein the second etching process has a negative effect on the first crystal plane with the first crystal orientation relative to the second crystal plane with the second crystal orientation Selectivity, and epitaxial growth of source/drain regions in the reshaped first notch. In one embodiment, the second etching process has a lower etching rate than the first etching process. In one embodiment, the V-shaped bottom surface includes intersecting (111) crystal planes. In one embodiment, the first etching process includes a first plasma etching process using a first etching gas, and the second etching process includes a second plasma etching process using a second etching gas different from the first etching gas. In one embodiment, the second etch gas includes H 2 . In one embodiment, the second plasma etch process forms a plasma containing hydrogen radicals. In one embodiment, after the second etching process is performed, the uppermost surface of the first recess extends along the third crystal plane having the second crystal direction. In one embodiment, the step of epitaxially growing the source/drain regions includes epitaxially growing a first material, epitaxially growing a second material and epitaxially growing a third material, wherein the first material, the second material and the third material are epitaxially grown. Materials are all different materials. In one embodiment, the method further includes forming spacers along sidewalls of the dummy structure, wherein after the second etching process is performed, the second etching process does not remove portions of the first semiconductor material adjacent to the bottom surfaces of the spacers .

依據另一實施例,裝置包含鰭位於基底上方,其中鰭的底部的第一側壁表面沿第一晶向的晶面延伸,隔離區與鰭相鄰,閘極結構沿鰭的側壁及鰭的頂表面上方延伸,閘極間隙壁與閘極結構橫向相鄰,以及磊晶區與鰭相鄰,其中磊晶區的底部漸縮至一點。在一實施例中,磊晶區的底部沿第一晶向的晶面漸縮。在一實施例中,磊晶區的最寬部分具有曲面輪廓。在一實施例中,磊晶區的最寬部分在磊晶區的頂表面與磊晶區的底部之間。在一實施例中,磊晶區包含第一材料、第一材料上方的第二材料和第二材料上方的第三材料,其中第一材料、第二材料和第三材料皆為不同的材料組成。According to another embodiment, the device includes a fin over a substrate, wherein a first sidewall surface of the bottom of the fin extends along a crystal plane of a first crystal orientation, an isolation region is adjacent to the fin, and a gate structure is along the sidewall of the fin and the top of the fin Extending above the surface, the gate spacer is laterally adjacent to the gate structure, and the epitaxial region is adjacent to the fin, with the bottom of the epitaxial region tapering to a point. In one embodiment, the bottom of the epitaxial region tapers along the crystal plane of the first crystal direction. In one embodiment, the widest portion of the epitaxial region has a curved profile. In one embodiment, the widest portion of the epitaxial region is between the top surface of the epitaxial region and the bottom of the epitaxial region. In one embodiment, the epitaxial region includes a first material, a second material above the first material, and a third material above the second material, wherein the first material, the second material and the third material are all composed of different materials .

前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更加了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。The foregoing context summarizes the features of many embodiments so that those skilled in the art may better understand the embodiments of the invention from various aspects. It should be understood by those skilled in the art that other processes and structures can be easily designed or modified based on the embodiments of the present invention to achieve the same purpose and/or to achieve the embodiments described herein. the same advantages. Those of ordinary skill in the art should also realize that such equivalent structures do not depart from the spirit and scope of the invention. Various changes, substitutions or modifications may be made to the embodiments of the present invention without departing from the spirit and scope of the invention.

30:鰭式場效電晶體 32、50:基底 34、54:隔離區 36、56:鰭 38:閘極介電質 40、94、98:閘極電極 42、44:源極/汲極區 52:半導體條帶 53、62、72、118:遮罩 53A:第一遮罩層 53B:第二遮罩層 55:溝槽 58:虛設介電層 60:虛設閘極層 70:虛設結構 75、79:輕摻雜源極/汲極區 80A:第一間隔層 80B:第二間隔層 80C:第三間隔層 82、84:磊晶源極/汲極區 82A、82B、82C:磊晶層 87:蝕刻停止層 88、102:層間介電質 90、124、126:凹口 92、96:閘極介電層 100A:第一區 100B:第二區 (100)、(111):晶面/晶向 104、110:接點 120:偏移間隙壁 122:閘極間隙壁 125:下側壁 127:上側壁 128:部分 130:鰭間隙壁 2000:方法 2001、2003、2005、2007、2009、2011、2013:步驟 S:表面 A1、A2、A3:角度 D0、D1、D2、D3、D4:深度 H1:高度 W1、W1、W2、W3、W4、W5:寬度 BP0:底部接近距離 MP0:中間接近距離 TP0:頂部接近距離30: FinFET 32, 50: Substrate 34, 54: Isolation region 36, 56: Fin 38: Gate dielectric 40, 94, 98: Gate electrode 42, 44: Source/drain region 52 : semiconductor strips 53, 62, 72, 118: mask 53A: first mask layer 53B: second mask layer 55: trench 58: dummy dielectric layer 60: dummy gate layer 70: dummy structure 75, 79: lightly doped source/drain regions 80A: first spacer layer 80B: second spacer layer 80C: third spacer layers 82, 84: epitaxial source/drain regions 82A, 82B, 82C: epitaxial layers 87: etch stop layer 88, 102: interlayer dielectric 90, 124, 126: notches 92, 96: gate dielectric layer 100A: first region 100B: second region (100), (111): crystal plane / Orientation 104, 110: Contact 120: Offset Spacer 122: Gate Spacer 125: Lower Sidewall 127: Upper Sidewall 128: Section 130: Fin Spacer 2000: Methods 2001, 2003, 2005, 2007, 2009, 2011, 2013: Step S: Surface A1, A2, A3: Angle D0, D1, D2, D3, D4: Depth H1 : Height W1 , W1, W2, W3, W4, W5: Width BP0: Bottom approach distance MP0 : Middle approach distance TP0: Top approach distance

根據以下的詳細說明並配合所附圖式可以更加理解本發明實施例。應注意的是,根據本產業的標準慣例,圖示中的各種部件(feature)並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。 第1圖為依據一些實施例之鰭式場效電晶體(fin field-effect transistor,FinFET)裝置的三維視圖。 第2A圖為依據一些實施例之製造鰭式場效電晶體裝置的中間階段的剖面示意圖。 第3A圖為依據一些實施例之製造鰭式場效電晶體裝置的中間階段的剖面示意圖。 第4A圖為依據一些實施例之製造鰭式場效電晶體裝置的中間階段的剖面示意圖。 第5A圖為依據一些實施例之製造鰭式場效電晶體裝置的中間階段的剖面示意圖。 第6A-6B圖為依據一些實施例之製造鰭式場效電晶體裝置的中間階段的剖面示意圖。 第7A-7C圖為依據一些實施例之製造鰭式場效電晶體裝置的中間階段的剖面示意圖。 第8A-8C圖為依據一些實施例之製造鰭式場效電晶體裝置的中間階段的剖面示意圖。 第9A-9C圖為依據一些實施例之製造鰭式場效電晶體裝置的中間階段的剖面示意圖。 第10A-10C圖為依據一些實施例之製造鰭式場效電晶體裝置的中間階段的剖面示意圖。 第11A-11C圖為依據一些實施例之製造鰭式場效電晶體裝置的中間階段的剖面示意圖。 第12圖為依據一些實施例之製造鰭式場效電晶體裝置中的第一凹口的形成的剖面示意圖。 第13圖為依據一實施例之製造鰭式場效電晶體裝置中重塑凹口的形成的剖面示意圖。 第14圖為依據另一實施例之製造鰭式場效電晶體裝置中重塑凹口的形成的剖面示意圖。 第15A-15C圖為依據其他實施例之製造鰭式場效電晶體裝置的中重塑凹口的形成的剖面示意圖。 第16A-16C圖為依據一些實施例之製造鰭式場效電晶體裝置的中間階段的剖面示意圖。 第17A-17C圖為依據一些實施例之製造鰭式場效電晶體裝置的中間階段的剖面示意圖。 第18A-18C圖為依據一些實施例之製造鰭式場效電晶體裝置的中間階段的剖面示意圖。 第19A-19C圖為依據一些實施例之製造鰭式場效電晶體裝置的中間階段的剖面示意圖。 第20A-20C圖為依據一些實施例之製造鰭式場效電晶體裝置的中間階段的剖面示意圖。 第21A-21C圖為依據一些實施例之製造鰭式場效電晶體裝置的中間階段的剖面示意圖。 第22圖為依據一些實施例之製造具有合併磊晶區的鰭式場效電晶體裝置的中間階段的剖面示意圖。 第23圖為依據一些實施例之使用重塑凹口形成鰭式場效電晶體裝置的方法的流程圖。The embodiments of the present invention can be better understood according to the following detailed description and the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, the various features in the illustrations are not necessarily drawn to scale. In fact, the dimensions of various components may be arbitrarily enlarged or reduced for clarity of illustration. FIG. 1 is a three-dimensional view of a fin field-effect transistor (FinFET) device in accordance with some embodiments. FIG. 2A is a schematic cross-sectional view of an intermediate stage of fabricating a FinFET device in accordance with some embodiments. 3A is a schematic cross-sectional view of an intermediate stage of fabricating a FinFET device in accordance with some embodiments. FIG. 4A is a schematic cross-sectional view of an intermediate stage of fabricating a FinFET device in accordance with some embodiments. 5A is a schematic cross-sectional view of an intermediate stage of fabricating a FinFET device in accordance with some embodiments. 6A-6B are schematic cross-sectional views of intermediate stages of fabricating a finFET device in accordance with some embodiments. 7A-7C are schematic cross-sectional views of intermediate stages of fabricating a finFET device according to some embodiments. 8A-8C are schematic cross-sectional views of intermediate stages of fabricating a finFET device according to some embodiments. FIGS. 9A-9C are schematic cross-sectional views of intermediate stages of fabricating a FinFET device in accordance with some embodiments. FIGS. 10A-10C are schematic cross-sectional views of intermediate stages of fabricating a finFET device according to some embodiments. FIGS. 11A-11C are schematic cross-sectional views of intermediate stages of fabricating a FinFET device in accordance with some embodiments. FIG. 12 is a schematic cross-sectional view of the formation of a first notch in the fabrication of a finFET device according to some embodiments. FIG. 13 is a schematic cross-sectional view of the formation of a reshaped notch in fabricating a finFET device according to an embodiment. FIG. 14 is a schematic cross-sectional view of the formation of the reshaped notch in the manufacture of the fin field effect transistor device according to another embodiment. FIGS. 15A-15C are schematic cross-sectional views illustrating the formation of a reshaped notch for fabricating a finFET device according to other embodiments. 16A-16C are schematic cross-sectional views of intermediate stages of fabricating a finFET device according to some embodiments. 17A-17C are schematic cross-sectional views of intermediate stages of fabricating a finFET device according to some embodiments. 18A-18C are schematic cross-sectional views of intermediate stages of fabricating a finFET device according to some embodiments. FIGS. 19A-19C are schematic cross-sectional views of intermediate stages of fabricating a FinFET device in accordance with some embodiments. 20A-20C are schematic cross-sectional views of intermediate stages of fabricating a finFET device according to some embodiments. FIGS. 21A-21C are schematic cross-sectional views of intermediate stages of fabricating a FinFET device in accordance with some embodiments. 22 is a schematic cross-sectional view of an intermediate stage of fabricating a FinFET device with merged epitaxial regions in accordance with some embodiments. 23 is a flow diagram of a method of forming a FinFET device using a reshaped notch in accordance with some embodiments.

2000:方法 2000: Methods

2001、2003、2005、2007、2009、2011、2013:步驟 2001, 2003, 2005, 2007, 2009, 2011, 2013: Steps

Claims (15)

一種半導體裝置的形成方法,包括:在一基底上形成一鰭;形成一隔離區與該鰭相鄰;在該鰭上方形成一虛設結構;使用一第一蝕刻製程將與該虛設結構相鄰的該鰭凹陷,以形成一第一凹口,其中該第一凹口的底部高於該隔離區的底表面;使用一第二蝕刻製程將該第一凹口重塑,以形成一重塑的第一凹口,其中該重塑的第一凹口的底部由一第一側壁表面的晶面與一第二側壁表面的晶面相交來定義,其中該第一側壁表面面向該第二側壁表面,且該重塑的第一凹口的底部低於該隔離區的底表面;以及在該重塑的第一凹口中磊晶成長一源極/汲極區。 A method for forming a semiconductor device, comprising: forming a fin on a substrate; forming an isolation region adjacent to the fin; forming a dummy structure above the fin; The fin is recessed to form a first recess, wherein the bottom of the first recess is higher than the bottom surface of the isolation region; the first recess is reshaped using a second etching process to form a reshaped a first notch, wherein the bottom of the reshaped first notch is defined by the intersection of a crystal plane of a first sidewall surface with a crystal plane of a second sidewall surface, wherein the first sidewall surface faces the second sidewall surface , and the bottom of the reshaped first recess is lower than the bottom surface of the isolation region; and a source/drain region is epitaxially grown in the reshaped first recess. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中相對於具有一第二晶向的一第二晶面,該第二蝕刻製程選擇性蝕刻具有一第一晶向的一晶面,其中該第一側壁表面的晶面具有該第一晶向,且其中該第一側壁表面包括具有該第二晶向的該第二晶面。 The method for forming a semiconductor device according to claim 1, wherein the second etching process selectively etches a crystal plane with a first crystal orientation relative to a second crystal plane with a second crystal orientation , wherein the crystal plane of the first sidewall surface has the first crystallographic orientation, and wherein the first sidewall surface includes the second crystallographic plane with the second crystallographic orientation. 如申請專利範圍第2項所述之半導體裝置的形成方法,其中該第二晶面具有一(111)晶向。 The method for forming a semiconductor device according to item 2 of the claimed scope, wherein the second crystal surface has a (111) crystal orientation. 如申請專利範圍第1至3項中任一項所述之半導體裝置的形成方法,其中該第二蝕刻製程包括使用氫自由基的一電漿蝕刻製程。 The method for forming a semiconductor device according to any one of claims 1 to 3 of the claimed scope, wherein the second etching process includes a plasma etching process using hydrogen radicals. 如申請專利範圍第1至3項中任一項所述之半導體裝置的形成方法,其中該第一凹口的底部與一相鄰的虛設結構之間的一第一橫向距離小於該 重塑的第一凹口的底部與該相鄰的虛設結構之間的一第二橫向距離。 The method for forming a semiconductor device according to any one of claims 1 to 3, wherein a first lateral distance between the bottom of the first recess and an adjacent dummy structure is smaller than the A second lateral distance between the bottom of the reshaped first notch and the adjacent dummy structure. 如申請專利範圍第1至3項中任一項所述之半導體裝置的形成方法,其中在該重塑的第一凹口中磊晶成長該源極/汲極區的步驟包括:在該重塑的第一凹口中磊晶成長一第一半導體材料,其中該第一半導體材料覆蓋該重塑的第一凹口的底部;在該第一半導體材料上方磊晶成長一第二半導體材料,該第二半導體材料具有與該第一半導體材料不同的組成;以及在該第二半導體材料上方磊晶成長一第三半導體材料,該第三半導體材料具有與該第二半導體材料不同的組成。 The method for forming a semiconductor device as described in any one of claims 1 to 3, wherein the step of epitaxially growing the source/drain region in the reshaped first recess comprises: in the reshaped first recess A first semiconductor material is epitaxially grown in the first recess of the Two semiconductor materials have a different composition from the first semiconductor material; and a third semiconductor material is epitaxially grown over the second semiconductor material, the third semiconductor material has a different composition from the second semiconductor material. 一種半導體裝置的形成方法,包括:將一基底圖案化以形成一條帶,該條帶包括一第一半導體材料;沿該條帶的側壁形成一隔離區,該條帶的一上部延伸於該隔離區的頂表面之上;沿該條帶的該上部的側壁和頂表面形成一虛設結構;對該條帶的該上部的一暴露部分進行一第一蝕刻製程以形成一第一凹口,該條帶的該暴露部分被該虛設結構暴露出來,其中該第一凹口的底部高於該隔離區的底表面;在進行該第一蝕刻製程之後,使用一第二蝕刻製程重塑該第一凹口以具有一V形底表面,其中相對於具有一第二晶向的一第二晶面,該第二蝕刻製程對具有一第一晶向的一第一晶面有選擇性,且該V形底表面低於該隔離區的底表面;以及在重塑的該第一凹口中磊晶成長一源極/汲極區。 A method of forming a semiconductor device, comprising: patterning a substrate to form a strip, the strip comprising a first semiconductor material; forming an isolation region along a sidewall of the strip, an upper portion of the strip extending from the isolation on the top surface of the region; forming a dummy structure along the sidewall and top surface of the upper part of the strip; performing a first etching process on an exposed portion of the upper part of the strip to form a first recess, the The exposed portion of the strip is exposed by the dummy structure, wherein the bottom of the first recess is higher than the bottom surface of the isolation region; after the first etching process, a second etching process is used to reshape the first The recess has a V-shaped bottom surface, wherein the second etching process is selective to a first crystal plane having a first crystal orientation relative to a second crystal plane having a second crystal orientation, and the The V-shaped bottom surface is lower than the bottom surface of the isolation region; and a source/drain region is epitaxially grown in the reshaped first recess. 如申請專利範圍第7項所述之半導體裝置的形成方法,其中該第二蝕刻製程具有比該第一蝕刻製程更小的蝕刻速率。 The method for forming a semiconductor device as described in claim 7, wherein the second etching process has a lower etching rate than the first etching process. 如申請專利範圍第7或8項所述之半導體裝置的形成方法,其中該V形底表面包括相交的(111)晶面。 The method for forming a semiconductor device as described in claim 7 or 8, wherein the V-shaped bottom surface includes intersecting (111) crystal planes. 如申請專利範圍第7或8項所述之半導體裝置的形成方法,其中該第一蝕刻製程包括使用一第一蝕刻氣體的一第一電漿蝕刻製程,且其中該第二蝕刻製程包括使用不同於該第一蝕刻氣體的一第二蝕刻氣體的一第二電漿蝕刻製程。 The method for forming a semiconductor device as described in claim 7 or 8, wherein the first etching process includes a first plasma etching process using a first etching gas, and wherein the second etching process includes using a different A second plasma etching process of a second etching gas in the first etching gas. 如申請專利範圍第7或8項所述之半導體裝置的形成方法,其中在進行該第二蝕刻製程之後,該第一凹口的一最上表面沿具有該第二晶向的一第三晶面延伸。 The method for forming a semiconductor device according to claim 7 or 8, wherein after the second etching process is performed, an uppermost surface of the first recess is along a third crystal plane having the second crystal orientation extend. 如申請專利範圍第7或8項所述之半導體裝置的形成方法,更包括沿該虛設結構的側壁形成一間隙壁,其中在進行該第二蝕刻製程之後,該第二蝕刻製程並未移除該第一半導體材料與該間隙壁的底表面相鄰的部分。 The method for forming a semiconductor device as described in claim 7 or 8 of the claimed scope, further comprising forming a spacer along the sidewall of the dummy structure, wherein after the second etching process is performed, the second etching process is not removed The portion of the first semiconductor material adjacent the bottom surface of the spacer. 一種半導體裝置,包括:一鰭,位於一基底上方,其中該鰭的底部的一第一側壁表面沿一第一晶向的晶面延伸;一隔離區,與該鰭相鄰;一閘極結構,沿該鰭的側壁及該鰭的頂表面上方延伸;一閘極間隙壁,與該閘極結構橫向相鄰,其中該鰭的一部分在該閘極間隙壁下方,且該鰭的該部分的一表面與該鰭的其他部分的一側壁以一角度間隔開;以及 一磊晶區,與該鰭相鄰,其中該磊晶區的底部漸縮至一點且低於該隔離區的底表面。 A semiconductor device, comprising: a fin located above a substrate, wherein a first sidewall surface of the bottom of the fin extends along a crystal plane of a first crystal direction; an isolation region adjacent to the fin; a gate structure , extending along the sidewall of the fin and above the top surface of the fin; a gate spacer, laterally adjacent to the gate structure, wherein a portion of the fin is below the gate spacer, and the portion of the fin is a surface is angularly spaced from a sidewall of the other portion of the fin; and an epitaxial region adjacent to the fin, wherein the bottom of the epitaxial region tapers to a point below the bottom surface of the isolation region. 如申請專利範圍第13項所述之半導體裝置,其中該磊晶區的底部沿該第一晶向的晶面漸縮。 The semiconductor device of claim 13, wherein the bottom of the epitaxial region tapers along the crystal plane of the first crystal direction. 如申請專利範圍第13或14項所述之半導體裝置,其中該磊晶區的最寬部分在該磊晶區的頂表面與該磊晶區的底部之間。The semiconductor device of claim 13 or 14, wherein the widest portion of the epitaxial region is between a top surface of the epitaxial region and a bottom portion of the epitaxial region.
TW108135427A 2018-09-28 2019-10-01 Semiconductor devices and methods for forming the same TWI764050B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738851P 2018-09-28 2018-09-28
US62/738,851 2018-09-28
US16/429,262 US11315838B2 (en) 2018-09-28 2019-06-03 FinFET device and method of forming same
US16/429,262 2019-06-03

Publications (2)

Publication Number Publication Date
TW202029349A TW202029349A (en) 2020-08-01
TWI764050B true TWI764050B (en) 2022-05-11

Family

ID=69946437

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108135427A TWI764050B (en) 2018-09-28 2019-10-01 Semiconductor devices and methods for forming the same

Country Status (3)

Country Link
KR (1) KR102269455B1 (en)
CN (1) CN110970487B (en)
TW (1) TWI764050B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220040024A (en) * 2020-09-23 2022-03-30 삼성전자주식회사 Semiconductor device and method for fabricating thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070004123A1 (en) * 2005-06-30 2007-01-04 Bohr Mark T Transistor with improved tip profile and method of manufacture thereof
US20170069755A1 (en) * 2015-09-03 2017-03-09 Texas Instruments Incorporated Embedded sige process for multi-threshold pmos transistors
TW201820540A (en) * 2016-11-29 2018-06-01 台灣積體電路製造股份有限公司 Method for manufacturing FinFET

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100561689C (en) * 2004-06-24 2009-11-18 应用材料股份有限公司 Be used to form transistorized method
US7244659B2 (en) * 2005-03-10 2007-07-17 Micron Technology, Inc. Integrated circuits and methods of forming a field effect transistor
US8815712B2 (en) * 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US9105654B2 (en) * 2012-03-21 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain profile for FinFET
KR102259080B1 (en) * 2014-09-23 2021-06-03 삼성전자주식회사 Semiconductor device and method of manufacturing the same
KR102374321B1 (en) * 2015-10-14 2022-03-14 삼성전자주식회사 Method of fabricating semiconductor device
US10269793B2 (en) * 2016-04-28 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions in fin field effect transistors (FinFETs) and methods of forming same
US10453943B2 (en) * 2016-11-29 2019-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETS
US9812363B1 (en) * 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070004123A1 (en) * 2005-06-30 2007-01-04 Bohr Mark T Transistor with improved tip profile and method of manufacture thereof
US20170069755A1 (en) * 2015-09-03 2017-03-09 Texas Instruments Incorporated Embedded sige process for multi-threshold pmos transistors
TW201820540A (en) * 2016-11-29 2018-06-01 台灣積體電路製造股份有限公司 Method for manufacturing FinFET

Also Published As

Publication number Publication date
TW202029349A (en) 2020-08-01
KR20200037115A (en) 2020-04-08
CN110970487A (en) 2020-04-07
CN110970487B (en) 2023-12-19
KR102269455B1 (en) 2021-06-29

Similar Documents

Publication Publication Date Title
US11004688B2 (en) FinFET device and method of forming
TW201820482A (en) Methods of forming semiconductor devices
US20230207665A1 (en) Semiconductor Device and Method
US11211476B2 (en) FinFET device and method of forming same
CN109994541B (en) Asymmetric source and drain structures in semiconductor devices
US11315838B2 (en) FinFET device and method of forming same
US11532507B2 (en) Semiconductor device and method
KR102247654B1 (en) Semiconductor device and method
US11640977B2 (en) Non-conformal oxide liner and manufacturing methods thereof
US11087987B2 (en) Semiconductor device and method
TW202030838A (en) Semiconductor devices and methods for forming the same
US20240097036A1 (en) FinFET Device and Method of Forming Same
US11264505B2 (en) FinFET device and method of forming same
TWI764050B (en) Semiconductor devices and methods for forming the same
US20240087947A1 (en) Semiconductor device and method of manufacturing