TWI757639B - Semiconductor device and method of forming the same - Google Patents

Semiconductor device and method of forming the same Download PDF

Info

Publication number
TWI757639B
TWI757639B TW108134911A TW108134911A TWI757639B TW I757639 B TWI757639 B TW I757639B TW 108134911 A TW108134911 A TW 108134911A TW 108134911 A TW108134911 A TW 108134911A TW I757639 B TWI757639 B TW I757639B
Authority
TW
Taiwan
Prior art keywords
area
region
exposure
patterned
photoresist
Prior art date
Application number
TW108134911A
Other languages
Chinese (zh)
Other versions
TW202029283A (en
Inventor
郭宏瑞
游珽崵
李明潭
戴世芃
陳奕嘉
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/459,218 external-priority patent/US11158600B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202029283A publication Critical patent/TW202029283A/en
Application granted granted Critical
Publication of TWI757639B publication Critical patent/TWI757639B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/4824Pads with extended contours, e.g. grid structure, branch structure, finger structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L24/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • H01L2221/68331Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding of passive members, e.g. die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54473Marks applied to semiconductor devices or parts for use after dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54473Marks applied to semiconductor devices or parts for use after dicing
    • H01L2223/54486Located on package parts, e.g. encapsulation, leads, package substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/2401Structure
    • H01L2224/24011Deposited, e.g. MCM-D type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/2413Connecting within a semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24137Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/25Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of a plurality of high density interconnect connectors
    • H01L2224/251Disposition
    • H01L2224/2512Layout
    • H01L2224/25171Fan-out arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/821Forming a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/8212Aligning
    • H01L2224/82121Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors
    • H01L2224/8213Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors using marks formed on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/8212Aligning
    • H01L2224/82121Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors
    • H01L2224/82132Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors using marks formed outside the semiconductor or solid-state body, i.e. "off-chip"
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/0651Wire or wire-like electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1041Special adaptations for top connections of the lowermost container, e.g. redistribution layer, integral interposer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Abstract

A semiconductor device includes a molding compound encapsulating a first integrated circuit die and a second integrated circuit die; a dielectric layer over the molding compound, the first integrated circuit die, and the second integrated circuit die; and a metallization pattern over the dielectric layer and electrically connecting the first integrated circuit die to the second integrated circuit die. The metallization pattern comprises a plurality of conductive lines. Each of the plurality of conductive lines extends continuously from a first region of the metallization pattern through a second region of the metallization pattern to a third region of the metallization pattern; and has a same type of manufacturing anomaly in the second region of the metallization pattern.

Description

半導體元件及其形成方法 Semiconductor element and method of forming the same

本發明實施例是有關於一種半導體元件及其形成方法。 Embodiments of the present invention relate to a semiconductor device and a method for forming the same.

半導體行業已由於進行中的多種電子組件(例如電晶體、二極體、電阻器、電容器等)的積體密度的改良而經歷快速發展。主要地,積體密度的改良源自於最小特徵尺寸的反覆減小,所述積體密度允許將更多組件整合至給定區域中。隨著對於縮小的電子元件的需求增長,對於更小且更具創造性的半導體晶粒的封裝技術的需要已出現。此等封裝系統的實例為層疊式封裝(Package-on-Package;PoP)技術。在PoP元件中,頂部半導體封裝體堆疊於底部半導體封裝體的頂部上,以提供高位準的積體及組件密度。PoP技術大體上能夠生產具有增強的功能性及印刷電路板(printed circuit board;PCB)上的小佔據面積的半導體元件。 The semiconductor industry has undergone rapid growth due to ongoing improvements in the bulk density of various electronic components (eg, transistors, diodes, resistors, capacitors, etc.). Primarily, improvements in bulk density, which allow more components to be integrated into a given area, result from iterative reductions in minimum feature size. As the demand for shrinking electronic components has grown, the need for smaller and more inventive packaging techniques for semiconductor dies has arisen. An example of such packaging systems is Package-on-Package (PoP) technology. In PoP devices, the top semiconductor package is stacked on top of the bottom semiconductor package to provide a high level of integration and component density. PoP technology generally enables the production of semiconductor components with enhanced functionality and a small footprint on a printed circuit board (PCB).

根據本發明的實施例,一種半導體元件,包括模製化合物、介電層以及金屬化圖案。模製化合物密封第一積體電路晶粒及第二積體電路晶粒。介電層位於所述模製化合物、所述第一積 體電路晶粒以及所述第二積體電路晶粒上方。金屬化圖案位於所述介電層上,且將所述第一積體電路晶粒電連接至所述第二積體電路晶粒,其中所述金屬化圖案包括多個導線,且其中所述多個導線中的每一者:自所述金屬化圖案的第一區穿過所述金屬化圖案的第二區連續延伸至所述金屬化圖案的第三區;以及在所述金屬化圖案的所述第二區中具有相同類型的製造異常。 According to an embodiment of the present invention, a semiconductor device includes a mold compound, a dielectric layer, and a metallization pattern. The molding compound encapsulates the first integrated circuit die and the second integrated circuit die. A dielectric layer is located on the mold compound, the first product the bulk circuit die and above the second integrated circuit die. a metallization pattern is on the dielectric layer and electrically connects the first integrated circuit die to the second integrated circuit die, wherein the metallization pattern includes a plurality of wires, and wherein the each of a plurality of conductive lines: extending continuously from a first region of the metallization pattern through a second region of the metallization pattern to a third region of the metallization pattern; and at the metallization pattern The second zone has the same type of manufacturing anomaly.

根據本發明的實施例,一種形成半導體元件的方法,包括:將第一積體電路晶粒及第二積體電路晶粒密封於模製化合物中;在所述第一積體電路晶粒、所述第二積體電路晶粒以及所述模製化合物上方沈積晶種層;在所述晶種層上方沈積光阻;在所述光阻的第一圖案化區上執行第一曝光製程以定義第一曝光區;在執行所述第一曝光製程之後,在所述光阻的第二圖案化區上執行第二曝光製程以定義第二曝光區,其中所述第一圖案化區與所述第二圖案化區在拼接區中交疊;顯影所述光阻以定義第一開口,所述第一開口自所述第一圖案化區穿過所述拼接區延伸至所述第二圖案化區;在所述第一開口中鍍覆導電材料,其中所述導電材料電連接所述第一積體電路晶粒及所述第二積體電路晶粒;以及移除所述光阻。 According to an embodiment of the present invention, a method of forming a semiconductor device includes: encapsulating a first integrated circuit die and a second integrated circuit die in a molding compound; depositing a seed layer over the second integrated circuit die and the mold compound; depositing a photoresist over the seed layer; performing a first exposure process on the first patterned region of the photoresist to defining a first exposure area; after performing the first exposure process, a second exposure process is performed on the second patterned area of the photoresist to define a second exposure area, wherein the first patterned area and the the second patterned regions overlap in the tiling region; developing the photoresist to define a first opening extending from the first patterned region through the tiling region to the second pattern plating a conductive material in the first opening, wherein the conductive material electrically connects the first integrated circuit die and the second integrated circuit die; and removing the photoresist.

根據本發明的實施例,一種形成半導體元件的方法,包括:在第一晶粒、第二晶粒以及模製化合物上方沈積光阻,其中所述模製化合物設置為環繞所述第一晶粒及所述第二晶粒;使用第一倍縮光罩在所述光阻的第一圖案化區上執行第一曝光製程;在執行所述第一曝光製程之後,使用第二倍縮光罩在所述光阻的第二圖案化區上執行第二曝光製程,其中所述第一圖案化區及所 述第二圖案化區在拼接區中交疊,其中執行所述第一曝光製程包括將所述第一倍縮光罩的第一三角形開口置放於所述拼接區正上方,且其中執行所述第二曝光製程包括將所述第二倍縮光罩的第二三角形開口置放於所述拼接區正上方;顯影所述光阻以在所述光阻中定義第三開口,其中所述第三開口自所述第一圖案化區穿過所述拼接區延伸至所述第二圖案化區;以及在所述第三開口中鍍覆導電材料,其中所述導電材料將所述第一晶粒電連接至所述第二晶粒。 According to an embodiment of the present invention, a method of forming a semiconductor device includes depositing a photoresist over a first die, a second die, and a molding compound, wherein the molding compound is disposed to surround the first die and the second die; perform a first exposure process on the first patterned area of the photoresist using a first reticle; after performing the first exposure process, use a second reticle A second exposure process is performed on the second patterned region of the photoresist, wherein the first patterned region and all The second patterned area overlaps in a splicing area, wherein performing the first exposure process includes placing the first triangular opening of the first reticle directly above the splicing area, and wherein performing the first exposure process The second exposure process includes placing the second triangular opening of the second reticle directly above the splicing area; developing the photoresist to define a third opening in the photoresist, wherein the a third opening extends from the first patterned region through the spliced region to the second patterned region; and a conductive material is plated in the third opening, wherein the conductive material connects the first A die is electrically connected to the second die.

100:載體基底 100: carrier substrate

100A:第一封裝區 100A: The first package area

100B:第二封裝區 100B: Second packaging area

100C:區 100C: District

102:釋放層 102: Release Layer

104、108、132、140、148、156:介電層 104, 108, 132, 140, 148, 156: Dielectric layer

106、138、138A、138B、138C、146、154:金屬化圖案 106, 138, 138A, 138B, 138C, 146, 154: Metallization pattern

110:背側重佈線結構 110: Back-side wiring structure

112、506:穿孔 112, 506: perforation

114:積體電路晶粒 114: integrated circuit die

116:黏著劑 116: Adhesive

118:半導體基底 118: Semiconductor substrate

120:互連結構 120: Interconnect structure

122、162:襯墊 122, 162: padding

124:鈍化膜 124: Passivation film

126:晶粒連接件 126: Die connector

128:介電材料 128: Dielectric Materials

130:密封體 130: Sealing body

132A、132B、204A、204B、204D、204F:曝光區 132A, 132B, 204A, 204B, 204D, 204F: Exposure area

133:晶種層 133: seed layer

160:前側重佈線結構 160: Front-focused wiring structure

166、514:導電連接件 166, 514: Conductive connectors

184:鋸割 184: Sawing

190:膠帶 190: Tape

200:積體扇出型封裝件 200: Integrated fan-out package

200A:第一圖案化區 200A: First patterned area

200B:第二圖案化區 200B: Second patterned area

200C、200E、200G、200H:拼接區 200C, 200E, 200G, 200H: Splicing area

200D、200F:圖案化區 200D, 200F: Patterned area

202A、202B、206A、206B、206D、206F:倍縮光罩 202A, 202B, 206A, 206B, 206D, 206F: Reduction mask

204:光阻 204: Photoresist

208:交疊區 208: Overlap

209A、209C、209D、306、308:箭頭 209A, 209C, 209D, 306, 308: Arrow

210A、210B:曲線 210A, 210B: Curve

212:開口 212: Opening

302:對準標記 302: Alignment Mark

503、504、552:接合墊 503, 504, 552: Bond pads

304、304A、304B、304C:重疊標記 304, 304A, 304B, 304C: Overlapping markers

510:打線接合 510: Wire Bonding

400、500:封裝體 400, 500: package body

502、550:基底 502, 550: base

508、508A、508B:堆疊晶粒 508, 508A, 508B: stacked die

512:模製材料 512: Molding Materials

570:封裝結構 570: Package Structure

當結合附圖閱讀時,自以下實施方式最佳地理解本揭露內容的態樣。應注意,根據業界中的標準慣例,各種特徵未按比例繪製。事實上,可出於論述清楚起見而任意地增加或減小各種特徵之尺寸。 Aspects of the present disclosure are best understood from the following description when read in conjunction with the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, the various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.

圖1至圖3、圖4A、圖4B、圖5至圖10、圖11A、圖11B、圖11C、圖12A、圖12B以及圖12C示出根據各種實施例的製造半導體封裝的中間步驟的不同視圖。 1-3, 4A, 4B, 5-10, 11A, 11B, 11C, 12A, 12B, and 12C illustrate differences in intermediate steps of fabricating a semiconductor package according to various embodiments view.

圖12D示出根據各種實施例的微影製程的曝光強度曲線。 12D shows exposure intensity curves for a lithography process in accordance with various embodiments.

圖13A、圖13B、圖13C、圖13D、圖14A、圖14B、圖15、圖16A、圖16B、圖16C、圖16D、圖16E、圖16F以及圖17至圖27示出根據各種實施例的製造半導體封裝的中間步驟的不同視圖。 13A, 13B, 13C, 13D, 14A, 14B, 15, 16A, 16B, 16C, 16D, 16E, 16F, and 17-27 illustrate diagrams according to various embodiments Different views of the intermediate steps in the manufacture of semiconductor packages.

以下揭露內容提供用於實施本發明的不同特徵的許多不同實施例或實例。下文描述組件及配置的特定實例以簡化本揭露內容。當然,此等組件及配置僅為實例且不意欲為限制性的。舉例而言,在以下描述中,第一特徵在第二特徵上方或之上形成可包含第一特徵與第二特徵直接接觸地形成的實施例,且亦可包含額外特徵可在第一特徵與第二特徵之間形成使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露內容可在各種實例中重複附圖標號及/或字母。此重複是出於簡單及清楚的目的,且自身並不指示所論述的各種實施例及/或組態之間的關係。 The following disclosure provides many different embodiments or examples for implementing different features of the invention. Specific examples of components and configurations are described below to simplify the present disclosure. Of course, these components and configurations are examples only and are not intended to be limiting. For example, in the following description, the formation of a first feature over or over a second feature may include embodiments in which the first feature is formed in direct contact with the second feature, and may also include additional features that may be formed between the first feature and the second feature. Embodiments are formed between the second features such that the first feature and the second feature may not be in direct contact. Additionally, the present disclosure may repeat reference numerals and/or letters in various instances. This repetition is for the purpose of simplicity and clarity, and does not in itself indicate a relationship between the various embodiments and/or configurations discussed.

此外,本文中為易於描述,可使用諸如「下方」、「在...下方」、「下部」、「在...上方」、「上部」以及其類似術語的空間相對術語來描述一個構件或特徵與如圖式中所示出的另一構件或特徵的關係。除圖式中所描繪的定向以外,空間相對術語意欲涵蓋元件在使用或操作中的不同定向。設備可以其他方式定向(旋轉90度或處於其他定向)且本文中所使用的空間相對描述詞可同樣相應地進行解譯。 Furthermore, for ease of description herein, spatially relative terms such as "below," "below," "lower," "above," "upper," and similar terms may be used to describe a component or the relationship of a feature to another member or feature as shown in the drawings. In addition to the orientation depicted in the figures, spatially relative terms are intended to encompass different orientations of elements in use or operation. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

下文描述針對積體扇出(integrated fan-out;InFO)微影製程的各種實施例。然而,應理解本文所述的各種實施例方法及產生的結構可應用於任何類型的半導體封裝體,包含例如基底上晶圓上晶片(chip on wafer on substrate;CoWoS)封裝、扇入型(fan-in)封裝或類似者。 Various embodiments for integrated fan-out (InFO) lithography processes are described below. It should be understood, however, that the various embodiment methods and resulting structures described herein may be applied to any type of semiconductor package, including, for example, chip on wafer on substrate (CoWoS) packaging, fan-in (fan-in) -in) package or similar.

各種實施例提供用於大型積體晶片封裝體的拼接(stitching)微影製程以實現多功能系統。實施例拼接微影製程不受微影步進機的曝光場(exposure field)尺寸的限制。自俯視的 視角,微影步進機的場尺寸視光透鏡的尺寸而定。舉例而言,使用單次曝光步驟可獲得的光阻罩幕的所得圖案受到光學透鏡直徑限制,且通常進一步受到為了減小光學像差(optical aberration)而放置在光軸上的限制。此外,罩幕的圖案邊緣通常與光學透鏡的實體邊緣間隔開以避免影像失真。此進一步限制使用單次曝光步驟可獲得的圖案的尺寸。 Various embodiments provide a stitching lithography process for large integrated chip packages to achieve a multifunctional system. Embodiment The splicing lithography process is not limited by the exposure field size of the lithography stepper. self-viewing The viewing angle, the field size of the lithography stepper depends on the size of the optical lens. For example, the resulting pattern of photoresist masks obtainable using a single exposure step is limited by the diameter of the optical lens, and is often further limited by placement on the optical axis to reduce optical aberration. In addition, the pattern edge of the mask is typically spaced from the physical edge of the optical lens to avoid image distortion. This further limits the size of the pattern that can be obtained using a single exposure step.

對於大型場尺寸的整合,層的所要圖案尺寸通常為大型的,且增加光學透鏡尺寸以適應所要圖案尺寸為昂貴的且可為不切實際的。實施例拼接微影製程使用具有多個倍縮光罩的多個曝光步驟以定義大型場尺寸積體圖案而不需要增加光學透鏡尺寸。舉例而言,使用第一倍縮光罩在層的第一圖案化區中將層暴露於第一圖案,且使用第二倍縮光罩在層的第二圖案化區中將層暴露於第二圖案。層的第一圖案化區與第二圖案化區交疊,所述交疊允許第一圖案及第二圖案互連且定義拼接在一起且延伸貫穿第一圖案化區及第二圖案化區的整個所要圖案。可將第一圖案化區與第二圖案化區交疊的區域稱為拼接區(stitching region)。在拼接區(例如稱作灰調式圖案(grey tone pattern))內的每一曝光步驟期間,圖案的形狀(例如三角形)可經調適以減小由例如在拼接區上執行的多個曝光步驟導致的過度曝光引起的圖案化缺陷。 For the integration of large field sizes, the desired pattern size of the layers is typically large, and increasing the optical lens size to accommodate the desired pattern size is expensive and may be impractical. Example stitching lithography processes use multiple exposure steps with multiple reticle masks to define large field size integrated patterns without increasing the optical lens size. For example, the layer is exposed to the first pattern in the first patterned region of the layer using a first reticle, and the layer is exposed to the first pattern in the second patterned region of the layer using a second reticle Two patterns. The first patterned region of the layer overlaps the second patterned region, the overlap allowing the first and second patterns to interconnect and to define a stitching together and extending through the first and second patterned regions the entire desired pattern. A region where the first patterned region and the second patterned region overlap can be referred to as a stitching region. During each exposure step within a tile (eg, referred to as a grey tone pattern), the shape of the pattern (eg, triangles) may be adapted to reduce the effects of exposure steps, eg, performed on the tile patterning defects caused by overexposure.

此外,與高數值孔徑(numerical aperture;NA)步進機相比,低NA步進機相關聯的景深(depth of field;DoF)相對較大,因此實施例可使用低NA步進機以減小拼接誤差。低NA步進機可用於大型臨界尺寸(critical dimension;CD)應用,且與高NA步進機相比具有成本降低的額外益處。 Additionally, the depth of field (DoF) associated with low NA steppers is relatively large compared to high numerical aperture (NA) steppers, so embodiments may use low NA steppers to reduce Small stitching error. Low NA steppers can be used for large critical dimension (CD) applications with the added benefit of cost reduction compared to high NA steppers.

藉由使用拼接微影,場積體尺寸不再受曝光場尺寸(例如每一光透鏡的尺寸)的限制。舉例而言,可藉由在不同拼接區內拼接不同罩幕的圖案以擴大層中的圖案的尺寸。進一步使用灰調式圖案及低NA步進機可增加在拼接區處的容忍度(tolerance)且減少在拼接區處的製造缺陷。 By using stitching lithography, the field volume size is no longer limited by the size of the exposure field (eg, the size of each optical lens). For example, the size of a pattern in a layer can be enlarged by splicing patterns of different masks in different splice regions. Further use of gray-tone patterns and low NA steppers can increase tolerance at the splices and reduce manufacturing defects at the splices.

各種實施例可實現以下一或多個非限制性優點/特徵:當互連件跨越拼接區時,藉由在所述拼接區處拼接不同罩幕圖案來實現半導體封裝體的大型場尺寸;若先前製程的對準標記置放於場外,則沿一個方向擴大封裝體尺寸;若對準標記置放於場內,則無邊界地擴大封裝體尺寸;灰調式圖案及低NA步進機以具有較高容忍度來控制在拼接區處的互連件的臨界尺寸(critical dimension;CD);較低的成本;以及高產率。 Various embodiments may realize one or more of the following non-limiting advantages/features: large field sizes of semiconductor packages are achieved by splicing different mask patterns at the splices when the interconnects span the splices; if If the alignment marks of the previous process are placed outside the field, the package size will be expanded in one direction; if the alignment marks are placed in the field, the package size will be expanded without borders; gray-tone patterns and low NA steppers have Higher tolerance to control the critical dimension (CD) of interconnects at the splice region; lower cost; and high yield.

圖1至圖27示出根據一些實施例(例如形成InFO封裝的組件)的用於形成第一封裝結構的製程期間的中間步驟的截面圖。圖1示出載體基底100及形成於載體基底100上的釋放層102。示出分別用於形成第一封裝體及第二封裝體的第一封裝區100A及第二封裝區100B。 1-27 illustrate cross-sectional views of intermediate steps during a process for forming a first package structure, such as forming an InFO packaged component, in accordance with some embodiments. FIG. 1 shows a carrier substrate 100 and a release layer 102 formed on the carrier substrate 100 . A first package area 100A and a second package area 100B are shown for forming the first package body and the second package body, respectively.

載體基底100可為玻璃載體基底、陶瓷載體基底或類似者。載體基底100可為晶圓,使得多個封裝件可在載體基底100上同時形成。釋放層102可由聚合物基材料形成,可將其連同載體基底100一起自將在後續步驟中形成的上覆結構移除。在一些實施例中,釋放層102為在加熱時損失其黏著特性的環氧樹脂基熱釋放材料,諸如光-熱轉換(light-to-heat-conversion;LTHC)釋放塗層。在其他實施例中,釋放層102可為在暴露於UV光時損 失其黏著特性的紫外線(ultra-violet;UV)黏膠。釋放層102可配製為液體且經固化,可為疊層至載體基底100上的疊層膜,或可為類似者。釋放層102的頂部表面可經水平化且可具有高度平面性。 The carrier substrate 100 may be a glass carrier substrate, a ceramic carrier substrate, or the like. The carrier substrate 100 may be a wafer such that a plurality of packages may be simultaneously formed on the carrier substrate 100 . The release layer 102 may be formed of a polymer-based material, which, along with the carrier substrate 100, may be removed from an overlying structure to be formed in a subsequent step. In some embodiments, the release layer 102 is an epoxy-based thermal release material that loses its adhesive properties when heated, such as a light-to-heat-conversion (LTHC) release coating. In other embodiments, the release layer 102 may be damaged upon exposure to UV light Ultra-violet (UV) adhesives that lose their adhesive properties. The release layer 102 may be formulated as a liquid and cured, may be a laminated film laminated to the carrier substrate 100, or may be the like. The top surface of the release layer 102 can be leveled and can be highly planar.

在圖2中,形成介電層104及金屬化圖案106。如圖2中所示,介電層104形成於釋放層102上。介電層104的底部表面可與釋放層102的頂部表面接觸。在一些實施例中,介電層104由聚合物形成,所述聚合物諸如聚苯并噁唑(polybenzoxazole;PBO)、聚醯亞胺、苯環丁烷(benzocyclobutene;BCB)或類似者。在其他實施例中,介電層104由以下各者形成:氮化物,諸如氮化矽;氧化物,諸如氧化矽、磷矽酸鹽玻璃(phosphosilicate glass;PSG)、硼矽酸鹽玻璃(borosilicate glass;BSG)、硼摻雜磷矽酸鹽玻璃(boron-doped phosphosilicate glass;BPSG)或類似者;或類似者。介電層104可藉由任何可接受的沈積製程形成,諸如旋轉塗佈、化學氣相沈積(chemical vapor deposition;CVD)、疊層、類似者或其組合。 In FIG. 2, a dielectric layer 104 and a metallization pattern 106 are formed. As shown in FIG. 2 , a dielectric layer 104 is formed on the release layer 102 . The bottom surface of the dielectric layer 104 may be in contact with the top surface of the release layer 102 . In some embodiments, the dielectric layer 104 is formed of a polymer such as polybenzoxazole (PBO), polyimide, benzocyclobutene (BCB), or the like. In other embodiments, the dielectric layer 104 is formed of: nitrides, such as silicon nitride; oxides, such as silicon oxide, phosphosilicate glass (PSG), borosilicate glass (borosilicate glass) glass; BSG), boron-doped phosphosilicate glass (BPSG) or the like; or the like. The dielectric layer 104 may be formed by any acceptable deposition process, such as spin coating, chemical vapor deposition (CVD), lamination, the like, or combinations thereof.

金屬化圖案106形成於介電層104上。作為形成金屬化圖案106的實例,晶種層(未繪示)形成於介電層104上方。在一些實施例中,晶種層為金屬層,金屬層可為包括由不同材料形成的多個子層的單層或複合層。在一些實施例中,晶種層包括鈦層及在鈦層上方的銅層。可使用例如PVD或類似者來形成晶種層。光阻隨後形成於晶種層上且經圖案化。光阻可藉由旋轉塗佈或類似方法形成且可暴露於光以用於圖案化。光阻的圖案對應於金屬化圖案106。可將一或多個曝光步驟應用於光阻以定義金屬化 圖案106。在一或多個曝光之後,光阻經顯影以形成貫穿光阻的開口以暴露晶種層。可採用實施例拼接微影製程(例如如參照圖10至圖16F所論述)以定義金屬化圖案106。或者,可使用多個曝光步驟以定義金屬化圖案106,每一曝光步驟(例如在任何拼接區處)定義不互連的單獨圖案。 A metallization pattern 106 is formed on the dielectric layer 104 . As an example of forming the metallization pattern 106 , a seed layer (not shown) is formed over the dielectric layer 104 . In some embodiments, the seed layer is a metal layer, which can be a single layer or a composite layer including multiple sub-layers formed of different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using, for example, PVD or the like. A photoresist is then formed on the seed layer and patterned. Photoresist can be formed by spin coating or similar methods and can be exposed to light for patterning. The pattern of the photoresist corresponds to the metallization pattern 106 . One or more exposure steps can be applied to the photoresist to define the metallization Pattern 106 . After one or more exposures, the photoresist is developed to form openings through the photoresist to expose the seed layer. An embodiment stitching lithography process (eg, as discussed with reference to FIGS. 10-16F ) may be employed to define the metallization pattern 106 . Alternatively, multiple exposure steps may be used to define the metallization pattern 106, with each exposure step (eg, at any splice) defining a separate pattern that is not interconnected.

導電材料形成於光阻的開口中及晶種層的暴露部分上。導電材料可藉由鍍覆形成,所述鍍覆諸如電鍍或無電電鍍,或類似者。導電材料可包括金屬,如銅、鈦、鎢、鋁或類似者。隨後,移除光阻及晶種層上未形成導電材料的部分。可藉由可接受灰化或剝離製程(諸如使用氧電漿或類似者)移除光阻。一旦光阻經移除,則諸如藉由使用可接受蝕刻製程(諸如藉由濕式或乾式蝕刻)移除晶種層的暴露部分。晶種層及導電材料的剩餘部分形成金屬化圖案106。 A conductive material is formed in the openings of the photoresist and on the exposed portions of the seed layer. The conductive material may be formed by plating, such as electroplating or electroless plating, or the like. The conductive material may include metals such as copper, titanium, tungsten, aluminum, or the like. Subsequently, the photoresist and the portion of the seed layer where the conductive material is not formed are removed. The photoresist can be removed by acceptable ashing or lift-off processes, such as using oxygen plasma or the like. Once the photoresist is removed, the exposed portions of the seed layer are removed, such as by using an acceptable etching process, such as by wet or dry etching. The remaining portions of the seed layer and conductive material form the metallization pattern 106 .

在圖3中,視情況選用的介電層108形成於金屬化圖案106及介電層104上。在一些實施例中,介電層108由與介電層106類似的材料形成且使用類似方法。隨後,介電層108經圖案化以形成開口以暴露金屬化圖案106的部分。可藉由可接受製程,諸如藉由當介電層為感光性材料時將介電層108暴露於光或藉由使用例如非等相性蝕刻進行蝕刻,以進行圖案化。 In FIG. 3 , an optional dielectric layer 108 is formed on the metallization pattern 106 and the dielectric layer 104 . In some embodiments, dielectric layer 108 is formed of similar materials and using similar methods as dielectric layer 106 . Subsequently, the dielectric layer 108 is patterned to form openings to expose portions of the metallization pattern 106 . Patterning may be performed by acceptable processes, such as by exposing the dielectric layer 108 to light when the dielectric layer is a photosensitive material or by etching using, for example, anisotropic etching.

可將介電層104及介電層108以及金屬化圖案106稱作背側重佈線結構110。如所示出,背側重佈線結構110包含兩個介電層104及介電層108以及一個金屬化圖案106。在其他實施例中,背側重佈線結構110可包含任何數目個介電層、金屬化圖案以及通孔。可藉由重複用於形成金屬化圖案106及介電層108的 製程來在背側重佈線結構110中形成一或多個額外金屬化圖案及介電層。可在形成金屬化圖案期間藉由在介電層下的開口中形成金屬化圖案的晶種層及導電材料來形成通孔。通孔可因此互連且電耦接各種金屬化圖案。在其他實施例中,背側重佈線結構110可整體省略,使得隨後描述的特徵直接形成於釋放層102上。 Dielectric layer 104 and dielectric layer 108 and metallization pattern 106 may be referred to as backside wiring structure 110 . As shown, the backside wiring structure 110 includes two dielectric layers 104 and 108 and a metallization pattern 106 . In other embodiments, the backside wiring structure 110 may include any number of dielectric layers, metallization patterns, and vias. can be used to form the metallization pattern 106 and the dielectric layer 108 by repeating the process to form one or more additional metallization patterns and dielectric layers in the backside wiring structure 110 . Vias may be formed by forming a seed layer and conductive material of the metallization pattern in the openings under the dielectric layer during formation of the metallization pattern. The vias can thus interconnect and electrically couple the various metallization patterns. In other embodiments, the backside wiring structure 110 may be omitted entirely, such that the features described later are formed directly on the release layer 102 .

此外,在圖3中,形成多個穿孔112。作為形成穿孔112的實例,視情況選用的晶種層形成於背側重佈線結構110上方,例如介電層108及金屬化圖案106的暴露部分。在一些實施例中,晶種層為金屬層,金屬層可為包括由不同材料形成的多個子層的單層或複合層。在一些實施例中,晶種層包括鈦層及在鈦層上方的銅層。可使用例如PVD或類似者形成晶種層。光阻形成於晶種層上且經圖案化。光阻可藉由旋轉塗佈或類似方法形成且可暴露於光以用於圖案化。光阻的圖案對應於穿孔112。可將一或多個曝光步驟應用於光阻以定義穿孔112。在一或多個曝光之後,光阻經顯影以形成貫穿光阻的開口以暴露晶種層。 In addition, in FIG. 3, a plurality of through holes 112 are formed. As an example of forming the via 112 , an optional seed layer is formed over the backside wiring structure 110 , such as exposed portions of the dielectric layer 108 and the metallization pattern 106 . In some embodiments, the seed layer is a metal layer, which can be a single layer or a composite layer including multiple sub-layers formed of different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using, for example, PVD or the like. A photoresist is formed on the seed layer and patterned. Photoresist can be formed by spin coating or similar methods and can be exposed to light for patterning. The pattern of the photoresist corresponds to the through hole 112 . One or more exposure steps may be applied to the photoresist to define the vias 112 . After one or more exposures, the photoresist is developed to form openings through the photoresist to expose the seed layer.

導電材料形成於光阻的開口中及晶種層的暴露部分上。導電材料可藉由鍍覆形成,所述鍍覆諸如電鍍或無電電鍍,或類似者。導電材料可包括金屬,如銅、鈦、鎢、鋁或類似者。移除光阻及晶種層上未形成導電材料的部分。可藉由可接受灰化或剝離製程(諸如使用氧電漿或類似者)移除光阻。一旦光阻經移除,則諸如藉由使用可接受蝕刻製程(諸如藉由濕式或乾式蝕刻)移除晶種層的暴露部分。晶種層及導電材料的剩餘部分形成穿孔112。或者,在省略介電層108時的實施例中(參見例如圖4B),亦可省略晶種層且金屬化圖案106可用作鍍覆穿孔112的晶種 層。舉例而言,在此類實施例中,穿孔112可直接鍍覆於金屬化圖案106上。 A conductive material is formed in the openings of the photoresist and on the exposed portions of the seed layer. The conductive material may be formed by plating, such as electroplating or electroless plating, or the like. The conductive material may include metals such as copper, titanium, tungsten, aluminum, or the like. The photoresist and the portion of the seed layer where no conductive material is formed are removed. The photoresist can be removed by acceptable ashing or lift-off processes, such as using oxygen plasma or the like. Once the photoresist is removed, the exposed portions of the seed layer are removed, such as by using an acceptable etching process, such as by wet or dry etching. The seed layer and the remainder of the conductive material form through holes 112 . Alternatively, in embodiments where the dielectric layer 108 is omitted (see, eg, FIG. 4B ), the seed layer may also be omitted and the metallization pattern 106 may be used as a seed for plated vias 112 layer. For example, in such embodiments, the vias 112 may be plated directly on the metallization pattern 106 .

在圖4A中,多個積體電路晶粒114藉由黏著劑116黏附至介電層108。如在圖4A中所示出,兩個積體電路晶粒114黏附於第一封裝區100A及第二封裝區100B中的每一者中,且在其他實施例中,更多或更少積體電路晶粒114可黏附於每一區中。舉例而言,在一實施例中,僅一種積體電路晶粒114可黏附於每一區中,或三個或大於三個積體電路晶粒114可黏附於每一區中。積體電路晶粒114可為邏輯晶粒(例如中央處理單元、微控制器等)、記憶體晶粒(例如動態隨機存取記憶體(dynamic random access memory;DRAM)晶粒、靜態隨機存取記憶體(static random access memory;SRAM)晶粒等)、功率管理晶粒(例如功率管理積體電路(power management integrated circuit;PMIC)晶粒)、射頻(radio frequency;RF)晶粒、感測器晶粒、微機電系統(micro-electro-mechanical-system;MEMS)晶粒、訊號處理晶粒(例如數位訊號處理(digital signal processing;DSP)晶粒)、前端晶粒(例如類比前端(analog front-end;AFE)晶粒)、類似者或其組合。此外,在一些實施例中,積體電路晶粒114可為不同尺寸(例如不同高度及/或表面積),且在其他實施例中,積體電路晶粒114可為相同尺寸(例如相同高度及/或表面積)。 In FIG. 4A , a plurality of integrated circuit dies 114 are adhered to the dielectric layer 108 by an adhesive 116 . As shown in FIG. 4A, two integrated circuit dies 114 are attached in each of the first package area 100A and the second package area 100B, and in other embodiments, more or less area A bulk circuit die 114 may be attached in each region. For example, in one embodiment, only one type of IC die 114 may be attached in each region, or three or more IC dies 114 may be attached in each region. The integrated circuit die 114 may be a logic die (eg, central processing unit, microcontroller, etc.), a memory die (eg, dynamic random access memory (DRAM) die, static random access memory (DRAM) die, etc. memory (static random access memory; SRAM) die, etc.), power management die (such as power management integrated circuit (PMIC) die), radio frequency (radio frequency; RF) die, sensing device die, micro-electro-mechanical-system (MEMS) die, signal processing die (such as digital signal processing (DSP) die), front-end die (such as analog front-end (analog) die front-end; AFE) die), the like, or a combination thereof. Furthermore, in some embodiments, the integrated circuit dies 114 can be of different sizes (eg, different heights and/or surface areas), and in other embodiments, the integrated circuit dies 114 can be of the same size (eg, the same height and / or surface area).

在黏附至載體100之前,可根據適用的製造製程來處理積體電路晶粒114,以在積體電路晶粒114中形成積體電路。舉例而言,積體電路晶粒114各自包含諸如摻雜或未摻雜矽的半導體基底118,或絕緣層上半導體(semiconductor-on-insulator;SOI) 基底的主動層。半導體基底可包含其他半導體材料,諸如鍺;化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或其組合。亦可使用其他基底,諸如多層基底或梯度基底。諸如電晶體、二極體、電容器、電阻器等的元件可形成於半導體基底118中及/或形成於半導體基底上,且可藉由由例如半導體基底118上的一或多個介電層中的金屬化圖案形成的互連結構120互連,以形成積體電路。 The integrated circuit die 114 may be processed to form integrated circuits in the integrated circuit die 114 according to a suitable manufacturing process prior to being attached to the carrier 100 . For example, the integrated circuit dies 114 each include a semiconductor substrate 118 such as doped or undoped silicon, or a semiconductor-on-insulator (SOI) Active layer of the substrate. The semiconductor substrate may include other semiconductor materials, such as germanium; compound semiconductors, including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; alloy semiconductors, including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof. Other substrates can also be used, such as multilayer substrates or gradient substrates. Elements such as transistors, diodes, capacitors, resistors, etc. may be formed in and/or on the semiconductor substrate 118 and may be formed by, for example, in one or more dielectric layers on the semiconductor substrate 118 The interconnect structure 120 formed by the metallization pattern is interconnected to form an integrated circuit.

多個積體電路晶粒114更包括多個襯墊122(諸如鋁襯墊),對襯墊進行外部連接。多個襯墊122位於可稱作積體電路晶粒114的各別主動側上。鈍化膜124在積體電路晶粒114上且在襯墊122的部分上。開口貫穿鈍化膜124至襯墊122。諸如導電柱(例如包括諸如銅的金屬)的多個晶粒連接件126延伸穿過鈍化膜124,且機械耦接及電耦接至相應的襯墊122。晶粒連接件126可藉由例如鍍覆或類似方法形成。晶粒連接件126電耦接積體電路晶粒114的各別積體電路。 The plurality of integrated circuit dies 114 further include a plurality of pads 122, such as aluminum pads, to which the pads are connected externally. A plurality of pads 122 are located on respective active sides of what may be referred to as the integrated circuit die 114 . Passivation film 124 is on integrated circuit die 114 and on portions of pad 122 . The opening penetrates the passivation film 124 to the pad 122 . A plurality of die connectors 126 such as conductive pillars (eg, including metal such as copper) extend through passivation film 124 and are mechanically and electrically coupled to corresponding pads 122 . Die connectors 126 may be formed by, for example, plating or the like. The die connectors 126 are electrically coupled to the respective integrated circuits of the integrated circuit die 114 .

介電材料128在積體電路晶粒114的主動側上,諸如在鈍化膜124及晶粒連接件126上。介電材料128橫向密封晶粒連接件126,且介電材料128與對應積體電路晶粒114側向共端(coterminous)。介電材料128可為聚合物,諸如PBO、聚醯亞胺、BCB或類似者;氮化物,諸如氮化矽或類似者;氧化物,諸如氧化矽、PSG、BSG、BPSG或類似者;類似者或其組合,且可例如藉由旋轉塗佈、疊層、CVD或類似者形成。 Dielectric material 128 is on the active side of integrated circuit die 114 , such as on passivation film 124 and die connectors 126 . The dielectric material 128 encapsulates the die connectors 126 laterally, and the dielectric material 128 is laterally coterminous with the corresponding integrated circuit die 114 . The dielectric material 128 can be a polymer such as PBO, polyimide, BCB or the like; a nitride such as silicon nitride or the like; an oxide such as silicon oxide, PSG, BSG, BPSG or the like; or a combination thereof, and may be formed, for example, by spin coating, lamination, CVD, or the like.

黏著劑116在積體電路晶粒114的背側,且將積體電路 晶粒114黏附至背側重佈線結構110,諸如圖4A中的介電層108。或者,在省略介電層108時的實施例中,黏著劑116可將積體電路晶粒黏附至金屬化圖案106及介電層104,諸如圖4B中所示出。在此類實施例中,黏著劑116可沿著金屬化圖案106的頂部表面及側壁延伸。黏著劑116可為任何合適的黏著劑、環氧樹脂、晶粒貼合膜(die attach film;DAF)或類似者。黏著劑116可塗覆於積體電路晶粒114的背側,諸如塗覆於對應半導體晶圓的背側,或可塗覆於載體基底100的表面上。積體電路晶粒114可諸如藉由鋸切或切割單體化,且藉由黏著劑116使用例如取放型方法黏附至背側重佈線結構110。 The adhesive 116 is on the backside of the IC die 114 and attaches the IC The die 114 is attached to the backside wiring structure 110, such as the dielectric layer 108 in FIG. 4A. Alternatively, in embodiments when dielectric layer 108 is omitted, adhesive 116 may adhere the integrated circuit die to metallization pattern 106 and dielectric layer 104, such as shown in FIG. 4B. In such embodiments, the adhesive 116 may extend along the top surface and sidewalls of the metallization pattern 106 . The adhesive 116 may be any suitable adhesive, epoxy, die attach film (DAF), or the like. The adhesive 116 may be applied to the backside of the integrated circuit die 114 , such as the backside of the corresponding semiconductor wafer, or may be applied to the surface of the carrier substrate 100 . The integrated circuit die 114 may be singulated, such as by sawing or dicing, and attached to the backside wiring structure 110 by the adhesive 116 using, for example, a pick-and-place method.

在圖5中,密封體130形成於各種組件上。密封體130可為模製化合物、環氧樹脂或類似者,且可藉由壓縮模製、轉移模製或類似者來塗覆。固化之後,密封體130可經歷研磨製程以暴露穿孔112及晶粒連接件126。在研磨製程之後,穿孔112、晶粒連接件126以及密封體130的頂部表面共面。在一些實施例中,例如若已暴露穿孔112及晶粒連接件126,則可省略研磨。 In FIG. 5, a sealing body 130 is formed on various components. The encapsulant 130 may be molding compound, epoxy, or the like, and may be applied by compression molding, transfer molding, or the like. After curing, the encapsulant 130 may undergo a grinding process to expose the through holes 112 and the die connectors 126 . After the grinding process, the top surfaces of the vias 112 , the die connectors 126 and the encapsulant 130 are coplanar. In some embodiments, grinding may be omitted, eg, if the vias 112 and die connectors 126 have been exposed.

在圖6至圖21中,形成前側重佈線結構160。如將在圖21中所示出,前側重佈線結構160包含介電層132、介電層140、介電層148以及介電層156,以及金屬化圖案138、金屬化圖案146以及金屬化圖案154。 In FIGS. 6 to 21 , the front side wiring structure 160 is formed. As will be shown in FIG. 21, the front side wiring structure 160 includes a dielectric layer 132, a dielectric layer 140, a dielectric layer 148, and a dielectric layer 156, and a metallization pattern 138, a metallization pattern 146, and a metallization pattern 154.

在圖6中,介電層132沈積於密封體130、穿孔112以及晶粒連接件126上。在一些實施例中,介電層132由聚合物形成,聚合物可為可使用微影罩幕圖案化的感光性材料,諸如PBO、聚醯亞胺、BCB或類似者。在其他實施例中,介電層132由以下各 者形成:氮化物,諸如氮化矽;氧化物,諸如氧化矽、PSG、BSG、BPSG;或類似者。可藉由旋轉塗佈、疊層、CVD、類似者或其組合來形成介電層132。 In FIG. 6 , a dielectric layer 132 is deposited on the encapsulant 130 , the vias 112 and the die connectors 126 . In some embodiments, the dielectric layer 132 is formed of a polymer, which may be a photosensitive material that can be patterned using a lithographic mask, such as PBO, polyimide, BCB, or the like. In other embodiments, the dielectric layer 132 is composed of the following or form: nitrides, such as silicon nitride; oxides, such as silicon oxide, PSG, BSG, BPSG; or the like. The dielectric layer 132 may be formed by spin coating, lamination, CVD, the like, or a combination thereof.

在圖7至圖9中,介電層132隨後經圖案化。圖案化形成開口以暴露穿孔112及晶粒連接件126的部分。當介電層132為感光性材料時,可使用微影製程實現圖案化。 In FIGS. 7-9, the dielectric layer 132 is subsequently patterned. Openings are patterned to expose portions of vias 112 and die connectors 126 . When the dielectric layer 132 is a photosensitive material, a lithography process can be used to achieve patterning.

用於圖案化介電層132的實施例微影製程可包含在載體基底100上的每一封裝區(例如第一封裝區100A及第二封裝區100B)中執行多個曝光步驟。舉例而言,在圖7中,第一封裝區100A被分成第一圖案化區200A及第二圖案化區200B。第一圖案化區200A與第二圖案化區200B交疊於拼接區200C中。 An embodiment lithography process for patterning the dielectric layer 132 may include performing multiple exposure steps in each encapsulation area (eg, the first encapsulation area 100A and the second encapsulation area 100B) on the carrier substrate 100 . For example, in FIG. 7 , the first package area 100A is divided into a first patterned area 200A and a second patterned area 200B. The first patterned area 200A and the second patterned area 200B overlap in the splicing area 200C.

在圖7中,使用第一倍縮光罩202A在第一圖案化區200A中的介電層132上執行第一曝光。因此形成介電層132的多個曝光區132A。倍縮光罩202A的尺寸可對應於透鏡的尺寸(例如直徑),所述透鏡被NA步進機用於暴露第一圖案化區200A中的介電層132。舉例而言,在俯視圖(未繪示)中,倍縮光罩202A可具有約52毫米的長度及約34毫米的寬度以對應於用於暴露介電層132的光學透鏡。倍縮光罩202A的其他尺寸亦為可能的。此外,可使用低NA步進機(例如具有小於0.2的NA)以增大圖案化製程的DoF且降低成本。作為增大的DoF的結果,可有利地減少由各種特徵的翹曲導致的圖案化缺陷。因為封裝晶圓相對較大,所以其可能特別容易遭受翹曲,此增加介電層132的頂部表面的形貌。藉由提供增大的DoF,可減少由翹曲及增加的形貌導致的圖案化缺陷。由於重佈線結構160(參見圖21)中的圖案化特徵的 相對較大特徵尺寸(例如臨界尺寸),在各種實施例中可使用低NA步進機。 In FIG. 7, a first exposure is performed on the dielectric layer 132 in the first patterned region 200A using the first reticle 202A. Thus, a plurality of exposed regions 132A of the dielectric layer 132 are formed. The size of the reticle 202A may correspond to the size (eg, diameter) of the lens used by the NA stepper to expose the dielectric layer 132 in the first patterned region 200A. For example, in a top view (not shown), the reticle 202A may have a length of about 52 millimeters and a width of about 34 millimeters to correspond to an optical lens for exposing the dielectric layer 132 . Other sizes of reticle 202A are also possible. Additionally, a low NA stepper (eg, with an NA of less than 0.2) can be used to increase the DoF of the patterning process and reduce cost. As a result of the increased DoF, patterning defects caused by warpage of various features can be advantageously reduced. Because the package wafer is relatively large, it may be particularly susceptible to warpage, which increases the top surface topography of the dielectric layer 132 . By providing an increased DoF, patterning defects caused by warpage and increased topography can be reduced. Due to the patterned features in the redistribution structure 160 (see FIG. 21 ) For relatively large feature sizes (eg, critical dimensions), a low NA stepper may be used in various embodiments.

接下來,在圖8中,使用第二倍縮光罩202B在第二圖案化區200B中的介電層132上執行第二曝光。因此形成介電層132的多個曝光區132B。倍縮光罩202B的尺寸可對應於透鏡的尺寸(例如直徑),所述透鏡被NA步進機用於暴露圖案化區200B中的介電層132。舉例而言,在俯視圖(未繪示)中,倍縮光罩202B可具有約52毫米的長度及約34毫米的寬度以對應於用於暴露介電層132的光學透鏡。倍縮光罩202B的其他尺寸亦為可能的。此外,可使用低NA步進機(例如具有小於0.2的NA)以增大圖案化製程的DoF且降低成本。作為增大的DoF的結果,可減少由介電層132的翹曲及增加的形貌導致的圖案化缺陷。由於重佈線結構160(參見圖21)中的圖案化特徵的相對較大特徵尺寸(例如臨界尺寸),在各種實施例中可使用低NA步進機。 Next, in FIG. 8, a second exposure is performed on the dielectric layer 132 in the second patterned region 200B using the second reticle 202B. Thus, a plurality of exposed regions 132B of the dielectric layer 132 are formed. The size of the reticle 202B may correspond to the size (eg, diameter) of the lens used by the NA stepper to expose the dielectric layer 132 in the patterned region 200B. For example, in a top view (not shown), the reticle 202B may have a length of about 52 millimeters and a width of about 34 millimeters to correspond to an optical lens for exposing the dielectric layer 132 . Other sizes of reticle 202B are also possible. Additionally, a low NA stepper (eg, with an NA of less than 0.2) can be used to increase the DoF of the patterning process and reduce cost. As a result of the increased DoF, patterning defects caused by warpage and increased topography of the dielectric layer 132 may be reduced. Due to the relatively large feature size (eg, critical dimension) of the patterned features in the redistribution structure 160 (see FIG. 21 ), a low NA stepper may be used in various embodiments.

以此方式,在第一封裝區100A中定義貫穿介電層132的開口圖案。第一封裝區100A中的開口圖案的總尺寸不需要受到用於暴露介電層132的光學透鏡的實體尺寸的限制,因為多個曝光步驟及倍縮光罩可擴大形成於每一封裝區100A及封裝區100B中的封裝體的尺寸。 In this way, opening patterns through the dielectric layer 132 are defined in the first encapsulation region 100A. The total size of the opening pattern in the first encapsulation area 100A does not need to be limited by the physical size of the optical lens for exposing the dielectric layer 132, because multiple exposure steps and photomasks can be enlarged and formed in each encapsulation area 100A and the size of the package body in the package area 100B.

可在載體基底100(例如在第二封裝區100B中)上的其他封裝區中執行類似的曝光步驟,以便在介電層132中定義所要圖案。曝光第二封裝區100B可在第一封裝區100A中的全部曝光步驟完成之後進行。或者,在隨後的倍縮光罩(例如第二倍縮光罩202B)用於暴露介電層132之前,每一倍縮光罩(例如第一倍 縮光罩202A)可用於暴露載體基底100上的每一封裝區。 Similar exposure steps may be performed in other encapsulation areas on the carrier substrate 100 (eg, in the second encapsulation area 100B) to define the desired pattern in the dielectric layer 132 . The exposing of the second encapsulation area 100B may be performed after all exposure steps in the first encapsulation area 100A are completed. Alternatively, each reticle (eg, the first reticle) is used to expose the dielectric layer 132 before subsequent reticles (eg, the second reticle 202B) A photomask 202A) can be used to expose each package area on the carrier substrate 100 .

在圖9中,在暴露介電層132的各種圖案化區及封裝區之後,介電層132經顯影以形成延伸貫穿介電層132的開口。開口可暴露穿孔112及晶粒連接件126的部分。圖9示出作為正光阻(positive photo resist)材料的介電層132,其中曝光區132A/曝光區132B由於介電層132經顯影而移除。在其他實施例中,介電層132可為負光阻(negative photo resist),其中介電層132的曝光區132A/曝光區132B保留,同時介電層132的未曝光區作為顯影的結果而移除。 In FIG. 9 , after exposing various patterned and encapsulated regions of dielectric layer 132 , dielectric layer 132 is developed to form openings extending through dielectric layer 132 . The openings may expose portions of the vias 112 and die connectors 126 . FIG. 9 shows the dielectric layer 132 as a positive photoresist material, wherein the exposed areas 132A/132B are removed due to the development of the dielectric layer 132 . In other embodiments, the dielectric layer 132 may be a negative photoresist, wherein the exposed areas 132A/132B of the dielectric layer 132 remain, while the unexposed areas of the dielectric layer 132 are removed as a result of development remove.

在圖10至圖16F中,具有多個通孔的金屬化圖案138形成於介電層132上。作為形成金屬化圖案138的實例,晶種層133形成於介電層132上方及貫穿介電層132的開口中。在一些實施例中,晶種層133為金屬層,金屬層可為包括由不同材料形成的多個子層的單層或複合層。在一些實施例中,晶種層133包括鈦層及在鈦層上方的銅層。可使用例如PVD或類似者形成晶種層133。 In FIGS. 10-16F , a metallization pattern 138 having a plurality of vias is formed on the dielectric layer 132 . As an example of forming the metallization pattern 138 , a seed layer 133 is formed over the dielectric layer 132 and in openings through the dielectric layer 132 . In some embodiments, the seed layer 133 is a metal layer, which can be a single layer or a composite layer including multiple sub-layers formed of different materials. In some embodiments, the seed layer 133 includes a titanium layer and a copper layer over the titanium layer. The seed layer 133 may be formed using, for example, PVD or the like.

隨後在晶種層133上形成及圖案化光阻204。光阻204可藉由旋轉塗佈或類似方法來形成且可暴露於光以用於圖案化。如下所述的多個曝光製程(例如拼接微影)將用於暴露光阻的多個區域。在多個曝光製程之後,將根據是使用負光阻或正光阻來執行單個顯影製程以移除暴露或未暴露的部分。 Photoresist 204 is then formed and patterned on seed layer 133 . Photoresist 204 can be formed by spin coating or similar methods and can be exposed to light for patterning. Multiple exposure processes (eg, tile lithography) as described below will be used to expose multiple areas of the photoresist. After multiple exposure processes, a single development process is performed to remove exposed or unexposed portions, depending on whether negative photoresist or positive photoresist is used.

在圖11A中,使用第一倍縮光罩206A對第一圖案化區200A中的光阻204執行第一曝光。因此形成光阻204的多個曝光區204A。倍縮光罩202A的尺寸可對應於透鏡的尺寸(例如直徑), 所述透鏡被NA步進機用於暴露第一圖案化區200A中的光阻204。舉例而言,在俯視圖(未繪示)中,倍縮光罩206A可具有約52毫米的長度及約34毫米的寬度以對應於用於暴露光阻204的光學透鏡。倍縮光罩206A的其他尺寸亦為可能的。此外,可使用低NA步進機(例如具有小於0.2的NA)以增大圖案化製程的DoF且降低成本。作為增大的DoF的結果,可減少由介電層132的翹曲及增加的形貌導致的圖案化缺陷。由於重佈線結構160(參見圖21)中的圖案化特徵的相對較大特徵尺寸(例如臨界尺寸),在各種實施例中可使用低NA步進機。 In FIG. 11A, a first exposure is performed on the photoresist 204 in the first patterned area 200A using the first photomask 206A. A plurality of exposed regions 204A of the photoresist 204 are thus formed. The size of the reticle 202A may correspond to the size (eg, diameter) of the lens, The lens is used by the NA stepper to expose the photoresist 204 in the first patterned region 200A. For example, in a top view (not shown), the reticle 206A may have a length of about 52 millimeters and a width of about 34 millimeters to correspond to an optical lens for exposing the photoresist 204 . Other sizes of reticle 206A are also possible. Additionally, a low NA stepper (eg, with an NA of less than 0.2) can be used to increase the DoF of the patterning process and reduce cost. As a result of the increased DoF, patterning defects caused by warpage and increased topography of the dielectric layer 132 may be reduced. Due to the relatively large feature size (eg, critical dimension) of the patterned features in the redistribution structure 160 (see FIG. 21 ), a low NA stepper may be used in various embodiments.

曝光區204A延伸至拼接區200C(例如第一圖案化區200A及第二圖案化區200B交疊處)。與拼接區200C之外的第一圖案化區200A的區域相比,倍縮光罩206A可設計成減少在拼接區200C中施加至光阻204的曝光劑量。舉例而言,在曝光步驟期間,光(例如紫外(ultraviolet;UV)光)藉由倍縮光罩206A投射至光阻204上。倍縮光罩206A中的開口允許光照射至光阻204上,而倍縮光罩206A的固體區域阻斷光照射至光阻204上。與拼接區200C之外的第一圖案化區200A的區域相比,倍縮光罩206A中的開口的形狀及尺寸可降低拼接區200C中的光透射率。舉例而言,倍縮光罩206A可允許拼接區200C之外的第一圖案化區200A中的光透射率為100%,而倍縮光罩206A可允許拼接區200C中的光的透射率在朝向第二圖案化區200B的方向中自100%逐漸降低至約0%。此可藉由選擇拼接區200C上的倍縮光罩206A的開口的適當形狀且減小拼接區200C上的倍縮光罩206A中的開口的面積來實現。 The exposure area 204A extends to the splicing area 200C (eg, where the first patterned area 200A and the second patterned area 200B overlap). The reticle 206A may be designed to reduce the exposure dose applied to the photoresist 204 in the tiling region 200C compared to the area of the first patterned region 200A outside the tiling region 200C. For example, during the exposure step, light (eg, ultraviolet (UV) light) is projected onto the photoresist 204 through the reticle 206A. The openings in the reticle 206A allow light to impinge on the photoresist 204 , while the solid regions of the reticle 206A block light from impinging on the photoresist 204 . The shape and size of the openings in the reticle 206A can reduce the light transmittance in the tiling region 200C compared to the area of the first patterned region 200A outside the tiling region 200C. For example, the reticle 206A may allow the light transmittance in the first patterned area 200A outside the splicing area 200C to be 100%, while the reticle 206A may allow the transmittance of light in the splicing area 200C to be 100% The direction toward the second patterned region 200B gradually decreases from 100% to about 0%. This can be achieved by selecting an appropriate shape for the opening of the reticle 206A on the tile 200C and reducing the area of the opening in the reticle 206A on the tile 200C.

圖11B及圖11C示出根據各種實施例的拼接區200C之外及之內兩者的第一圖案化區200A中的曝光區204A的俯視圖。曝光區204A的形狀對應於倍縮光罩206A中的開口的形狀。如圖11B及圖11C所示出,隨著曝光區204A延伸至拼接區200C,曝光區204A的寬度減小,使得曝光區204A在拼接區200C中具有三角形形狀。曝光區204A的三角形形狀可橫跨整個拼接區200C。舉例而言,三角形形狀可開始於拼接區200C的第一邊緣且變窄至拼接區200C的第二邊緣處的頂點,第二邊緣與第一邊緣相對。在拼接區200C中,曝光區204A的寬度可一直減小(例如如圖11B所示出)或以設定的間隔離散減小(例如如圖11C所示出)。 11B and 11C illustrate top views of exposed regions 204A in first patterned region 200A, both outside and within tiling region 200C, according to various embodiments. The shape of the exposure area 204A corresponds to the shape of the opening in the reticle 206A. As shown in FIGS. 11B and 11C , as the exposed area 204A extends to the tiling area 200C, the width of the exposed area 204A decreases, so that the exposed area 204A has a triangular shape in the tiling area 200C. The triangular shape of the exposure region 204A may span the entire tiled region 200C. For example, a triangular shape may start at a first edge of tile 200C and narrow to an apex at a second edge of tile 200C, opposite the first edge. In the tiling region 200C, the width of the exposure region 204A may decrease all the time (eg, as shown in FIG. 11B ) or discretely decrease at set intervals (eg, as shown in FIG. 11C ).

作為曝光區204A的實施例形狀及變化寬度的結果,隨著曝光區204A延伸至拼接區200C中,曝光區204A的曝光強度減小。藉由將曝光區204A配置為在拼接區200C中具有所示出的形狀(例如藉由在拼接區200C上的倍縮光罩206A中配置對應的開口),拼接區200C內的曝光強度亦可逐漸降低,此減少過度曝光缺陷且增加重疊容忍度,如下文將詳細地描述。 As a result of the embodiment shapes and varying widths of the exposure areas 204A, the exposure intensity of the exposure areas 204A decreases as the exposure areas 204A extend into the tiling area 200C. By configuring the exposure area 204A to have the shape shown in the splicing area 200C (eg, by configuring corresponding openings in the reticle 206A on the splicing area 200C), the exposure intensity in the splicing area 200C can also be changed. Gradually decreasing, this reduces overexposure defects and increases overlap tolerance, as will be described in detail below.

接下來,在圖12A中,使用第二倍縮光罩206B對第二圖案化區200B中的光阻204執行第二曝光。因此形成光阻204的多個曝光區204B。倍縮光罩202B的尺寸可對應於透鏡的尺寸(例如直徑),所述透鏡被NA步進機用於暴露第一圖案化區200A中的光阻204。舉例而言,在俯視圖(未繪示)中,倍縮光罩206B可具有約52毫米的長度及約34毫米的寬度以對應於用於曝光光阻204的光學透鏡。倍縮光罩206B的其他尺寸亦為可能的。此外,可使用低NA步進機(例如具有小於0.2的NA)以增大圖案化製 程的DoF且降低成本。作為增大的DoF的結果,可減少由介電層132的翹曲及增加的形貌導致的圖案化缺陷。由於重佈線結構160(參見圖21)中的圖案化特徵的相對較大特徵尺寸(例如臨界尺寸),在各種實施例中可使用低NA步進機。 Next, in FIG. 12A, a second exposure is performed on the photoresist 204 in the second patterned region 200B using the second reticle 206B. A plurality of exposed regions 204B of the photoresist 204 are thus formed. The size of the reticle 202B may correspond to the size (eg, diameter) of the lens used by the NA stepper to expose the photoresist 204 in the first patterned area 200A. For example, in a top view (not shown), the reticle 206B may have a length of about 52 millimeters and a width of about 34 millimeters to correspond to the optical lens used to expose the photoresist 204 . Other sizes of reticle 206B are also possible. Additionally, a low NA stepper (eg, with an NA less than 0.2) can be used to increase the patterning capability Process DoF and reduce costs. As a result of the increased DoF, patterning defects caused by warpage and increased topography of the dielectric layer 132 may be reduced. Due to the relatively large feature size (eg, critical dimension) of the patterned features in the redistribution structure 160 (see FIG. 21 ), a low NA stepper may be used in various embodiments.

曝光區204B延伸至拼接區200C(例如第一圖案化區200A及第二圖案化區200B交疊處)。曝光區204B可與拼接區200C中的曝光區204A交疊,使得光阻204包含自第一圖案化區200A(具體而言是拼接區200C之外的第一圖案化區200A的區域)穿過拼接區200C連續延伸至第二圖案化區200B(具體而言是拼接區200C之外的第二圖案化區200B的區域)的拼接曝光區。 The exposure area 204B extends to the splicing area 200C (eg, where the first patterned area 200A and the second patterned area 200B overlap). The exposure area 204B may overlap the exposure area 204A in the tiling area 200C, so that the photoresist 204 is included from the first patterned area 200A (specifically, the area of the first patterned area 200A outside the tiling area 200C) passing through The splicing area 200C extends continuously to the splicing exposure area of the second patterning area 200B (specifically, the area of the second patterning area 200B outside the splicing area 200C).

類似於倍縮光罩206A,與拼接區200C之外的第二圖案化區200B的區域相比,倍縮光罩206B可設計成減少在拼接區200C中施加至光阻204的曝光劑量。與拼接區200C之外的第二圖案化區200B的區域相比,倍縮光罩206B中的開口的形狀及尺寸可降低拼接區200C中的光透射率。舉例而言,倍縮光罩206B可允許拼接區200C之外的第二圖案化區200B中的光透射率為100%,而倍縮光罩206B可允許拼接區200C中的光的透射率在朝向第一圖案化區200A的方向中自100%逐漸降低至約0%。此可藉由選擇拼接區200C上的倍縮光罩206B的開口的適當形狀且減小拼接區200C上的倍縮光罩206B中的開口的面積來實現。 Similar to the reticle 206A, the reticle 206B may be designed to reduce the exposure dose applied to the photoresist 204 in the tiling 200C compared to the area of the second patterned region 200B outside the tiling 200C. The shape and size of the openings in the reticle 206B can reduce the light transmittance in the tiling region 200C compared to the area of the second patterned region 200B outside the tiling region 200C. For example, the reticle 206B may allow the light transmittance in the second patterned area 200B outside the splicing area 200C to be 100%, while the slashing mask 206B may allow the transmittance of light in the splicing area 200C to be 100%. It gradually decreases from 100% to about 0% in the direction toward the first patterned region 200A. This can be achieved by choosing an appropriate shape for the opening of the reticle 206B on the tile 200C and reducing the area of the opening in the reticle 206B on the tile 200C.

圖12B及圖12C示出根據各種實施例的第一圖案化區200A及第二圖案化區200B中的曝光區204A及曝光區204B的俯視圖。曝光區204B的形狀對應於倍縮光罩206B中的開口的形狀。如圖12B及圖12C所示出,隨著曝光區204B延伸至拼接區200C, 曝光區204B的寬度減小使得曝光區204B在拼接區200C中具有三角形形狀。曝光區204B的三角形形狀可橫跨整個拼接區200C。舉例而言,三角形形狀可開始於拼接區200C的第二邊緣,且變窄至拼接邊緣200C的第一邊緣處的頂點。在拼接區200C中,曝光區204B的寬度可一直減小(例如如圖12B所示出)或以設定的間隔離散減小(例如如圖12C所示出)。 12B and 12C illustrate top views of exposed areas 204A and 204B in the first and second patterned areas 200A and 200B, according to various embodiments. The shape of the exposure area 204B corresponds to the shape of the opening in the reticle 206B. As shown in FIGS. 12B and 12C, as the exposure area 204B extends to the splicing area 200C, The reduced width of the exposure area 204B causes the exposure area 204B to have a triangular shape in the tiling area 200C. The triangular shape of the exposure region 204B may span the entire tiled region 200C. For example, a triangular shape may start at the second edge of the tiling region 200C and narrow to an apex at the first edge of the tiling edge 200C. In the tiling region 200C, the width of the exposure region 204B may decrease all the time (eg, as shown in FIG. 12B ) or discretely decrease at set intervals (eg, as shown in FIG. 12C ).

作為曝光區204B的實施例形狀及變化寬度的結果,隨著曝光區204B延伸至拼接區200C中,曝光區204B的曝光強度減小。藉由將曝光區204B配置為在拼接區200C中具有所示出的形狀(例如藉由在拼接區200C上的倍縮光罩206B中配置對應的開口),拼接區200C內的曝光區204B的曝光強度亦可逐漸降低,此減少過度曝光缺陷且增加重疊容忍度。 As a result of the embodiment shapes and varying widths of the exposed regions 204B, the exposure intensity of the exposed regions 204B decreases as the exposed regions 204B extend into the tiling region 200C. By configuring the exposure area 204B to have the shape shown in the splicing area 200C (eg, by configuring corresponding openings in the reticle 206B on the splicing area 200C), the exposure area 204B within the splicing area 200C is The exposure intensity can also be gradually reduced, which reduces overexposure defects and increases overlap tolerance.

曝光區204A及曝光區204B在交疊區208處交疊。當拼接區200C中的曝光強度未減少時,交疊區208可能過度曝光(例如具有約200%的曝光強度)。藉由逐漸降低拼接區200C中的曝光區204A及曝光區204B的曝光強度,過度曝光交疊區208的風險被降低,因為由第一曝光(例如定義曝光區204A)及第二曝光(例如定義曝光區204B)導致的交疊區208的累積曝光強度被降低。舉例而言,圖12D示出第一圖案化區200A及第二圖案化區200B每處的曝光區204A及曝光區204B的曝光強度。在圖12D中,x軸表示位置且y軸表示曝光強度。曲線210A對應於曝光區204A的曝光強度,且曲線210B對應於曝光區204B的曝光強度。拼接區200C中的曲線210A及曲線210B的斜率可對應於且取決於橫跨拼接區200C的距離,所述距離決定了每一曝光區204A/曝光區 204B的三角形形狀的長度。光阻204的任何給定位置的累積曝光強度可藉由將曲線210A及曲線210B的對應強度相加獲得。如圖12D中可見,在第一圖案化區200A及第二圖案化區200B中的任何給定位置處的累積曝光強度在約1(例如100%)及約1.2(例如120%)的範圍內。特定而言,在拼接區200C(當執行兩個曝光步驟時)中的累積曝光強度實質上與拼接區200C(當僅執行一個曝光步驟時)之外的累積曝光強度相同。藉由減少過度曝光,亦可減少過度曝光導致的缺陷(例如定義出過大的特徵)。 Exposure area 204A and exposure area 204B overlap at overlapping area 208 . When the exposure intensity in tiled region 200C is not reduced, overlapping region 208 may be overexposed (eg, with an exposure intensity of about 200%). By gradually reducing the exposure intensity of exposed areas 204A and 204B in stitched area 200C, the risk of overexposing overlapping areas 208 is reduced, as the exposure from the first exposure (eg, defining exposed area 204A) and the second exposure (eg, defining exposed area 204A) is reduced. The cumulative exposure intensity of overlapping regions 208 resulting from exposure region 204B) is reduced. For example, FIG. 12D shows the exposure intensity of the exposure area 204A and the exposure area 204B at each of the first patterned area 200A and the second patterned area 200B. In Figure 12D, the x-axis represents position and the y-axis represents exposure intensity. Curve 210A corresponds to the exposure intensity of exposure area 204A, and curve 210B corresponds to the exposure intensity of exposure area 204B. The slope of curve 210A and curve 210B in tile 200C may correspond to and depend on the distance across tile 200C that determines each exposure 204A/exposure The length of the triangular shape of 204B. The cumulative exposure intensity for any given location of photoresist 204 can be obtained by adding the corresponding intensities of curve 210A and curve 210B. As can be seen in Figure 12D, the cumulative exposure intensity at any given location in the first patterned region 200A and the second patterned region 200B is in the range of about 1 (eg, 100%) and about 1.2 (eg, 120%) . In particular, the cumulative exposure intensities in tiled region 200C (when two exposure steps are performed) are substantially the same as the cumulative exposure intensities outside tiled region 200C (when only one exposure step is performed). By reducing overexposure, defects caused by overexposure (eg, defining oversized features) are also reduced.

此外,在拼接區200C中的曝光區204A及曝光區204B的三角形形狀亦可提高重疊容忍度。圖13A、圖13B、圖13C以及圖13D示出實施例重疊誤差,所述重疊誤差可由在倍縮光罩206A及倍縮光罩206B之間的對準誤差導致。圖13A示出實施例,其中倍縮光罩206B在由箭頭209A所指示的朝向第一圖案化區200A的方向中橫向平移。因此,曝光區204B可延伸至拼接區200C之外的第一圖案化區200A的區域。圖13B示出實施例,其中倍縮光罩206B在由箭頭209A所指示的朝向第二圖案化區200B的方向中橫向平移。因此,曝光區204B未完全延伸穿過拼接區200C。圖13C及13D示出實施例,其中倍縮光罩206B如箭頭209C及箭頭209D所指示的垂直平移使得曝光區204A及曝光區204B的邊緣不再對準。儘管圖13A、圖13B、圖13C以及圖13D中的每一者示出單個重疊誤差,但應理解此等誤差亦可組合。已觀察到,藉由提供具有三角形形狀的曝光區204A及曝光區204B,允許在任何方向上的重疊誤差高至10%,同時仍在製造容忍度內。 In addition, the triangular shape of the exposure area 204A and the exposure area 204B in the tiling area 200C can also improve the overlap tolerance. 13A, 13B, 13C, and 13D illustrate example overlap errors that may result from alignment errors between reticle 206A and reticle 206B. 13A shows an embodiment in which the reticle 206B is translated laterally in the direction indicated by arrow 209A toward the first patterned region 200A. Therefore, the exposure area 204B may extend to the area of the first patterned area 200A outside the tiling area 200C. Figure 13B shows an embodiment in which the reticle 206B is translated laterally in the direction indicated by arrow 209A toward the second patterned region 200B. Therefore, the exposure region 204B does not extend completely through the tiling region 200C. Figures 13C and 13D illustrate an embodiment where the vertical translation of the reticle 206B as indicated by arrows 209C and 209D causes the edges of the exposure areas 204A and 204B to be out of alignment. Although each of Figures 13A, 13B, 13C, and 13D shows a single overlap error, it should be understood that such errors may also be combined. It has been observed that by providing exposure regions 204A and 204B having a triangular shape, overlay errors in any direction as high as 10% are allowed, while still being within manufacturing tolerances.

在拼接區200C中的曝光區204A及曝光區204B的三角 形形狀允許曝光強度的線性變化,使得任何平移並不顯著影響累積曝光強度。舉例而言,在圖13A中,拼接區200C之外的第一圖案化區200A中的曝光區204B的部分可具有相對低的曝光強度(例如小於約20%)。因此,儘管交疊區200C之外的第一圖案化區200A中的曝光區204A經充分曝光(例如具有約100%的曝光強度),但曝光區204A及曝光區204B的累積曝光強度保持在約120%,即使圖13A所示出的重疊誤差在製造容忍度內。作為另一實例,在圖13B中,曝光區204B並未延伸至拼接區200C的區200D。舉例而言,在區200D中,僅執行一個曝光(亦即,曝光對應於曝光區204A)。然而,因為曝光區204A在區200D中具有幾乎充分的曝光強度(例如至少80%),第二曝光步驟的曝光不足並不導致不可接受的曝光不足區域。舉例而言,即使是圖13B所示出的重疊誤差,在區200D中,曝光區204A及曝光區204B的累積曝光強度保持在約80%,其仍在製造容忍度內。 Triangle of exposure area 204A and exposure area 204B in splicing area 200C The shape allows a linear change in exposure intensity such that any translation does not significantly affect the cumulative exposure intensity. For example, in FIG. 13A, the portion of the exposed area 204B in the first patterned area 200A outside the tiling area 200C may have a relatively low exposure intensity (eg, less than about 20%). Therefore, although the exposure area 204A in the first patterned area 200A outside the overlap area 200C is fully exposed (eg, having an exposure intensity of about 100%), the cumulative exposure intensity of the exposure area 204A and the exposure area 204B remains at about 120%, even though the overlap error shown in Figure 13A is within manufacturing tolerances. As another example, in FIG. 13B, the exposure area 204B does not extend to the area 200D of the spliced area 200C. For example, in region 200D, only one exposure is performed (ie, the exposure corresponds to exposure region 204A). However, because exposed area 204A has nearly sufficient exposure intensity (eg, at least 80%) in area 200D, the underexposure of the second exposure step does not result in unacceptably underexposed areas. For example, even with the overlap error shown in FIG. 13B , in region 200D, the cumulative exposure intensity of exposure region 204A and exposure region 204B remains at about 80%, which is still within manufacturing tolerances.

因此,使用多個倍縮光罩206A/倍縮光罩206B曝光光阻204以在拼接區延伸圖案的尺寸。儘管上文僅描述兩個曝光步驟,但應理解可將任意數目的曝光步驟應用於光阻204。舉例而言,若即使需要較大的區域,則可應用額外的曝光步驟。額外曝光步驟中的每一者可在額外的拼接區中與先前曝光步驟交疊。舉例而言,圖14A及圖14B示出多個拼接區。不同的倍縮光罩206A、倍縮光罩206B、倍縮光罩206D以及倍縮光罩206F用於分別在晶圓的不同圖案化區200A、圖案化區200B、圖案化區200D以及圖案化區200F中定義圖案。圖案化區200A與圖案化區200B在拼接區200C中交疊;圖案化區200A與圖案化區200D在拼接區200E 中交疊;圖案化區200B與圖案化區200F在拼接區200G中交疊;以及圖案化區200D與圖案化區200F在拼接區200H中交疊。曝光區204A及曝光204B延伸穿過拼接區200C;曝光區204A及曝光區204D延伸穿過拼接區200E;曝光區204B及曝光區204F延伸穿過拼接區200G;以及曝光區204D及曝光區204F延伸穿過拼接區200H。對準標記302用於將倍縮光罩206A、倍縮光罩206B、倍縮光罩206D以及倍縮光罩206F與底層(例如介電層132的圖案,參見圖10)的圖案對準。重疊標記304用於將倍縮光罩206A、倍縮光罩206B、倍縮光罩206D以及倍縮光罩206F的圖案與其他倍縮光罩206A、倍縮光罩206B、倍縮光罩206D以及倍縮光罩206F對準。舉例而言,重疊標記304A可用於對準倍縮光罩206A及倍縮光罩206B;重疊標記304B可用於對準倍縮光罩206B及倍縮光罩206D;以及重疊標記304C可用於對準倍縮光罩206A及倍縮光罩206F。對準標記(對準標記302及重疊標記304)可交疊以減小用於對準標記所需的面積。當對準標記302及重疊標記304置放於圖案化區(例如圖案化區200A、圖案化區200B等)之外時,圖案的尺寸可在一個方向上(例如如圖14A中的箭頭306所指示)延伸。當對準標記302及重疊標記304置放於圖案化區(例如圖案化區200A、圖案化區200B等)的內部時,圖案的尺寸可在多個方向(例如如圖14B中的箭頭308所指示)中延伸。此外,由於使用多個倍縮光罩將層的圖案拼接在一起,對準標記302及重疊標記304可圍繞圖案(例如如圖14A所示出)或貫穿圖案(例如如圖14B所示出)以規則的間隔設置。在相鄰對準標記302/重疊標記304之間的間隔可對應於倍縮光罩的尺寸。 Therefore, the photoresist 204 is exposed using a plurality of reticle 206A/206B to extend the size of the pattern in the splice area. Although only two exposure steps are described above, it should be understood that any number of exposure steps may be applied to photoresist 204 . For example, if even larger areas are required, additional exposure steps may be applied. Each of the additional exposure steps may overlap the previous exposure steps in additional tiling regions. For example, Figures 14A and 14B illustrate multiple tiling regions. Different reticle 206A, reticle 206B, reticle 206D, and reticle 206F are used for patterning in different patterned areas 200A, 200B, 200D, and 200D of the wafer, respectively. A pattern is defined in area 200F. The patterned area 200A and the patterned area 200B overlap in the splicing area 200C; the patterned area 200A and the patterned area 200D are in the splicing area 200E patterned region 200B and patterned region 200F overlap in tiled region 200G; and patterned region 200D and patterned region 200F overlap in tiled region 200H. Exposed areas 204A and 204B extend through splicing area 200C; exposed areas 204A and 204D extend through splicing area 200E; exposed areas 204B and 204F extend through splicing area 200G; and exposed areas 204D and 204F extend through the splice region 200H. Alignment marks 302 are used to align reticle 206A, reticle 206B, reticle 206D, and reticle 206F with the pattern of the underlying layer (eg, the pattern of dielectric layer 132, see FIG. 10). Overlapping marks 304 are used to match the pattern of the reticle 206A, 206B, 206D, and 206F with the other reticle 206A, 206B, 206D and the reticle 206F alignment. For example, overlapping marks 304A can be used to align reticle 206A and reticle 206B; overlapping marks 304B can be used to align reticle 206B and 206D; and overlapping marks 304C can be used to align Reduced light cover 206A and reduced light cover 206F. The alignment marks (alignment mark 302 and overlapping mark 304) may overlap to reduce the area required for the alignment marks. When alignment marks 302 and overlapping marks 304 are placed outside of a patterned area (eg, patterned area 200A, patterned area 200B, etc.), the size of the pattern may be in one direction (eg, as indicated by arrow 306 in FIG. 14A ) indication) extension. When alignment marks 302 and overlapping marks 304 are placed inside a patterned area (eg, patterned area 200A, patterned area 200B, etc.), the size of the pattern can be in multiple directions (eg, as indicated by arrow 308 in FIG. 14B ) extension in the instruction). Furthermore, since multiple photomasks are used to stitch the pattern of layers together, the alignment marks 302 and overlapping marks 304 may surround the pattern (eg, as shown in FIG. 14A ) or through the pattern (eg, as shown in FIG. 14B ) Set at regular intervals. The spacing between adjacent alignment marks 302/overlapping marks 304 may correspond to the size of the reticle.

因此,藉由提供多個曝光步驟,可藉由使用多個倍縮光罩在拼接區中定義交疊圖案以圖案化較大的晶圓。可使用具有較高DoF的低NA微影工具以應用多個曝光步驟,即使在翹曲的情況下實現定義圖案。交疊圖案的形狀可為三角形以降低拼接區中的曝光強度。藉由降低曝光強度,可提高製造容忍度且減少缺陷。或者,可採用降低拼接區中的曝光強度的其他形狀以適應多個曝光步驟。 Thus, by providing multiple exposure steps, larger wafers can be patterned by using multiple photomasks to define overlapping patterns in the splices. A low NA lithography tool with a higher DoF can be used to apply multiple exposure steps to achieve a defined pattern even with warpage. The shape of the overlapping pattern may be triangular to reduce exposure intensity in the tiled regions. By reducing the exposure intensity, manufacturing tolerances can be improved and defects reduced. Alternatively, other shapes that reduce the exposure intensity in the tiling region may be employed to accommodate multiple exposure steps.

可在載體基底100(例如在第二封裝區100B中)上的其他封裝區中執行類似的曝光步驟,以便在光阻204中定義所要圖案。曝光第二封裝區100B可在第一封裝區100A中的全部曝光步驟完成之後進行。或者,在隨後的倍縮光罩(例如第二倍縮光罩206B)用於曝光光阻204之前,每一倍縮光罩(例如第一倍縮光罩206A)可用於曝光載體基底100B上的每一封裝區。 Similar exposure steps may be performed in other encapsulation areas on the carrier substrate 100 (eg, in the second encapsulation area 100B) to define the desired pattern in the photoresist 204 . The exposing of the second encapsulation area 100B may be performed after all exposure steps in the first encapsulation area 100A are completed. Alternatively, each reticle (eg, first reticle 206A) may be used to expose the carrier substrate 100B before a subsequent reticle (eg, second reticle 206B) is used to expose photoresist 204 of each package area.

在圖15中,在光阻204的各種圖案化區及封裝區經曝光之後,光阻204經顯影以形成延伸穿過光阻204的多個開口212。圖15示出作為正光阻材料的光阻204,其中曝光區204A/曝光區204B由於光阻204經顯影而移除。在其他實施例中,光阻204可為負光阻,其中光阻204的曝光區204A/曝光區204B保留,同時光阻204的未曝光區由於顯影而移除。 In FIG. 15 , after the various patterned and encapsulated areas of photoresist 204 have been exposed, photoresist 204 is developed to form a plurality of openings 212 extending through photoresist 204 . Figure 15 shows the photoresist 204 as a positive photoresist material with the exposed areas 204A/204B removed as the photoresist 204 is developed. In other embodiments, the photoresist 204 may be a negative photoresist, wherein the exposed areas 204A/204B of the photoresist 204 remain, while the unexposed areas of the photoresist 204 are removed due to development.

隨後,在圖16A中,藉由鍍覆(諸如電鍍或無電鍍覆或類似者)在開口212中形成導電材料。導電材料可包括金屬,如銅、鈦、鎢、鋁或類似者。隨後,移除光阻204及晶種層133上未形成導電材料的部分。可藉由可接受灰化或剝離製程移除光阻204,諸如使用氧電漿或類似者。一旦移除光阻204,則諸如藉由 使用可接受蝕刻製程(諸如藉由濕式或乾式蝕刻)移除晶種層133的暴露部分。晶種層及導電材料的剩餘部分形成金屬化圖案138及通孔。通孔形成於穿過介電層132至(例如)穿孔112及/或晶粒連接件126的開口中。 Subsequently, in FIG. 16A, a conductive material is formed in the openings 212 by plating, such as electroplating or electroless plating or the like. The conductive material may include metals such as copper, titanium, tungsten, aluminum, or the like. Subsequently, portions of the photoresist 204 and the seed layer 133 where no conductive material is formed are removed. Photoresist 204 may be removed by acceptable ashing or lift-off processes, such as using oxygen plasma or the like. Once the photoresist 204 is removed, such as by Exposed portions of seed layer 133 are removed using an acceptable etching process, such as by wet or dry etching. The remaining portions of the seed layer and conductive material form metallization patterns 138 and vias. Vias are formed in openings through dielectric layer 132 to, for example, vias 112 and/or die connectors 126 .

圖16A示出金屬化圖案138的截面圖。圖16B、圖16C、圖16D、圖16E以及圖16F示出區100C(參見圖16A)中的金屬化圖案138的俯視圖。區100C包含第一圖案化區200A、第二圖案化區200B以及拼接區200C的部分。金屬化圖案138的位置可進一步對應於圖14A及圖14B所示出的曝光區204A/曝光區204B/曝光區204D/曝光區204F。舉例而言,金屬化圖案138可包含設置於相鄰對準標記302/重疊標記304之間的導線。 FIG. 16A shows a cross-sectional view of the metallization pattern 138 . Figures 16B, 16C, 16D, 16E, and 16F show top views of the metallization patterns 138 in the region 100C (see Figure 16A). The region 100C includes a first patterned region 200A, a second patterned region 200B, and a portion of the tiling region 200C. The location of the metallization pattern 138 may further correspond to the exposure area 204A/exposure area 204B/exposure area 204D/exposure area 204F shown in FIGS. 14A and 14B . For example, the metallization pattern 138 may include wires disposed between adjacent alignment marks 302/overlapping marks 304.

在圖16B中,金屬化圖案138A、金屬化圖案138B以及金屬化圖案138C在沒有製造異常(anomaly)的情況下形成以定義自圖案化區200A穿過拼接區200C連續延伸至圖案化區200B的導電重佈線。在圖16C、圖16D、圖16E以及圖16F中,金屬化圖案138A、金屬化圖案138B以及金屬化圖案138C形成有製造異常以定義自圖案化區200A穿過拼接區200C延伸至圖案化區200B的導電重佈線線。因為製造異常為由於在倍縮光罩(例如倍縮光罩206A及倍縮光罩206B)之間的重疊誤差,金屬化圖案138A、金屬化圖案138B以及金屬化圖案138C中的每一者在拼接區200C內可具有相同類型的製造異常。在圖16C中,拼接區200C發生平移誤差,其定義金屬化圖案138A/金屬化圖案138B/金屬化圖案138C的側壁不再對準時的製造異常。在圖16D中,在拼接區200C中的金屬化圖案138A、金屬化圖案138B以及金屬化圖案 138C中的每一者存在間隙。舉例而言,當金屬化圖案138A、金屬化圖案138B以及金屬化圖案138C定義虛設圖案時,此間隙為可接受的。在金屬化圖案138A、金屬化圖案138B以及金屬化圖案138C中的每一者的間隙尺寸可為相同。在圖16E中,在拼接區200C中的金屬化圖案138A、金屬化圖案138B以及金屬化圖案138C中的每一者具有較窄的區(例如稱作頸狀部)。金屬化圖案138A、金屬化圖案138B以及金屬化圖案138C中的每一者在拼接區200C中變窄的量可為相同的。藉由圖16D及圖16E所示出的製造異常可由拼接區200C(例如如上文關於圖13B所描述)中的曝光不足(underexposure)所導致。在圖16F中,在拼接區200C中的金屬化圖案138A、金屬化圖案138B以及金屬化圖案138C中的每一者具有較寬的區(例如稱作膨脹)。金屬化圖案138A、金屬化圖案138B以及金屬化圖案138C中的每一者在拼接區200C中加寬的量可為相同。藉由圖16F所示出的製造異常可由拼接區200C(例如如上文關於圖13A所描述)中的過度曝光導致。其他製造異常亦為可能的,但由於以上所描述的實施例圖案化方法,通常異常在製造容忍度內。在各種實施例中,在拼接區(例如拼接區200C)中的每個金屬化圖案可具有相同類型的製造異常,因為此等異常為由多個曝光步驟引起的重疊誤差的結果,且相同的重疊誤差將作用於整個拼接區200C。通常,製造異常可被偵測為光罩或佈局文件中所定義的導電特徵的所需圖案與所製造的導電特徵的實體圖案之間的形狀差異。在各種實施例中,單個拼接區內的製造異常可包含不均勻的導線、在每一導線內具有非線性邊緣的導線、在每一導線內具有變化寬度的導線或類似者。 In FIG. 16B , metallization patterns 138A, metallization patterns 138B, and metallization patterns 138C are formed without fabrication anomaly to define a continuous extension from patterned region 200A through splicing region 200C to patterned region 200B Conductive rewiring. In FIGS. 16C, 16D, 16E, and 16F, metallization pattern 138A, metallization pattern 138B, and metallization pattern 138C are formed with fabrication anomalies to define an extension from patterned region 200A through splicing region 200C to patterned region 200B of conductive rewiring. Because the manufacturing anomaly is due to overlay errors between the reticle (eg, reticle 206A and 206B), each of metallization pattern 138A, metallization pattern 138B, and metallization pattern 138C is The same type of manufacturing anomalies may be present within the splice region 200C. In FIG. 16C, a translation error occurs in the splice region 200C, which defines a manufacturing anomaly when the sidewalls of the metallization pattern 138A/metallization pattern 138B/metallization pattern 138C are no longer aligned. In FIG. 16D, metallization pattern 138A, metallization pattern 138B, and metallization pattern in splice region 200C There are gaps in each of 138C. This gap is acceptable, for example, when metallization patterns 138A, metallization patterns 138B, and metallization patterns 138C define dummy patterns. The gap size in each of metallization patterns 138A, metallization patterns 138B, and metallization patterns 138C may be the same. In FIG. 16E, each of metallization pattern 138A, metallization pattern 138B, and metallization pattern 138C in splice region 200C has a narrower region (eg, referred to as a neck). The amount by which each of metallization pattern 138A, metallization pattern 138B, and metallization pattern 138C narrows in tiling region 200C may be the same. The fabrication anomalies illustrated by Figures 16D and 16E may result from underexposure in the spliced region 200C (eg, as described above with respect to Figure 13B). In Figure 16F, each of metallization pattern 138A, metallization pattern 138B, and metallization pattern 138C in splice region 200C has a wider area (eg, referred to as dilation). Each of metallization pattern 138A, metallization pattern 138B, and metallization pattern 138C may widen by the same amount in tiling region 200C. The fabrication anomalies illustrated by FIG. 16F may result from overexposure in tiling region 200C (eg, as described above with respect to FIG. 13A ). Other manufacturing anomalies are also possible, but generally within manufacturing tolerances due to the embodiment patterning methods described above. In various embodiments, each metallization pattern in a spliced region (eg, spliced region 200C) may have the same type of fabrication anomalies, since these anomalies are the result of overlapping errors caused by multiple exposure steps, and the same Overlap errors will apply to the entire tiled region 200C. Typically, fabrication anomalies can be detected as shape differences between the desired pattern of conductive features defined in a reticle or layout file and the physical pattern of conductive features fabricated. In various embodiments, manufacturing anomalies within a single splice area may include non-uniform wires, wires with non-linear edges within each wire, wires with varying widths within each wire, or the like.

在圖17中,介電層140沈積於金屬化圖案138及介電層132上。介電層140可由類似材料製成,且使用與介電層132類似的製程沈積。在沈積介電層140之後,可對其圖案化以形成暴露金屬化圖案138的部分的開口。介電層140的圖案化可藉由可接受的製程進行,諸如類似於上述關於圖案化介電層132的多個曝光圖案化製程的製程。 In FIG. 17 , a dielectric layer 140 is deposited over the metallization pattern 138 and the dielectric layer 132 . Dielectric layer 140 may be made of similar materials and deposited using similar processes as dielectric layer 132 . After the dielectric layer 140 is deposited, it may be patterned to form openings that expose portions of the metallization patterns 138 . The patterning of the dielectric layer 140 may be performed by an acceptable process, such as a process similar to the multiple exposure patterning process described above for patterning the dielectric layer 132 .

在圖18中,具有通孔的金屬化圖案146形成於介電層140上。金屬化圖案146可由類似材料製成,且使用與金屬化圖案138類似的製程形成。舉例而言,可沈積晶種層,光阻可沈積於晶種層上,如上文所描述的多個曝光微影製程可應用於光阻以定義暴露晶種層的開口,可執行鍍覆製程以鍍覆導電材料於晶種層的暴露部分上,且移除光阻及晶種層上未形成的導電材料的部分。晶種層及導電材料的剩餘部分形成金屬化圖案146及通孔。通孔形成於穿過介電層140至例如金屬化圖案138的部分的開口中。 In FIG. 18 , a metallization pattern 146 with vias is formed on the dielectric layer 140 . Metallization pattern 146 may be made of similar materials and formed using similar processes as metallization pattern 138 . For example, a seed layer can be deposited, a photoresist can be deposited on the seed layer, multiple exposure lithography processes as described above can be applied to the photoresist to define openings for exposing the seed layer, a plating process can be performed A conductive material is plated on the exposed portions of the seed layer, and portions of the photoresist and the unformed conductive material on the seed layer are removed. The remaining portions of the seed layer and conductive material form metallization patterns 146 and vias. Vias are formed in openings through dielectric layer 140 to portions such as metallization patterns 138 .

在圖19中,介電層148沈積於金屬化圖案146及介電層140上。介電層148可由類似材料製成,且使用與介電層132類似的製程沈積。在沈積介電層148之後,可對其圖案化以形成暴露金屬化圖案146的部分的開口。介電層148的圖案化可藉由可接受的製程進行,諸如類似於上述關於圖案化介電層132的多個曝光圖案化製程的製程。 In FIG. 19 , a dielectric layer 148 is deposited on the metallization pattern 146 and the dielectric layer 140 . Dielectric layer 148 may be made of similar materials and deposited using similar processes as dielectric layer 132 . After the dielectric layer 148 is deposited, it may be patterned to form openings that expose portions of the metallization patterns 146 . The patterning of the dielectric layer 148 may be performed by an acceptable process, such as a process similar to the multiple exposure patterning process described above for patterning the dielectric layer 132 .

在圖20中,具有通孔的金屬化圖案154形成於介電層148上。金屬化圖案154可由類似材料製成,且使用與金屬化圖案138類似的製程形成。舉例而言,可沈積晶種層,光阻可沈積於晶種層上,如上文所描述的多個曝光微影製程可應用於光阻以定義暴 露晶種層的開口,可執行鍍覆製程以鍍覆導電材料於晶種層的暴露部分上,且移除光阻及晶種層上未形成的導電材料的部分。晶種層及導電材料的剩餘部分形成金屬化圖案154以及通孔。通孔形成於穿過介電層148至(例如)金屬化圖案146的部分的開口中。 In FIG. 20 , a metallization pattern 154 with vias is formed on the dielectric layer 148 . Metallization pattern 154 may be made of similar materials and formed using similar processes as metallization pattern 138 . For example, a seed layer can be deposited, a photoresist can be deposited on the seed layer, and multiple exposure lithography processes as described above can be applied to the photoresist to define the exposure Exposing the opening of the seed layer, a plating process can be performed to plate the conductive material on the exposed portion of the seed layer, and to remove the photoresist and the portion of the unformed conductive material on the seed layer. The remaining portions of the seed layer and conductive material form metallization patterns 154 and vias. Vias are formed in openings through portions of dielectric layer 148 to, for example, metallization pattern 146 .

在圖21中,介電層156沈積於金屬化圖案154及介電層148上。介電層156可由類似材料製成,且使用與介電層132類似的製程沈積。在沈積介電層156之後,可對其圖案化以形成暴露金屬化圖案154的部分的開口。介電層156的圖案化可藉由可接受的製程進行,諸如類似於上述關於圖案化介電層132的多個曝光圖案化製程的製程。 In FIG. 21 , a dielectric layer 156 is deposited over the metallization pattern 154 and the dielectric layer 148 . Dielectric layer 156 may be made of similar materials and deposited using similar processes as dielectric layer 132 . After the dielectric layer 156 is deposited, it may be patterned to form openings that expose portions of the metallization patterns 154 . The patterning of the dielectric layer 156 may be performed by an acceptable process, such as a process similar to the multiple exposure patterning process described above for patterning the dielectric layer 132 .

前側重佈線結構160繪示為實例。更多或更少介電層以及金屬化圖案可形成於前側重佈線結構160中。若較少介電層及金屬化圖案待形成,則可省略上文所論述的步驟及製程。若較多介電層及金屬化圖案待形成,則可重複上文所論述的步驟及製程。本領域的技術人員將易於理解將省略或重複哪些步驟及製程。 Front side routing structure 160 is shown as an example. More or less dielectric layers and metallization patterns may be formed in the front side wiring structure 160 . The steps and processes discussed above may be omitted if fewer dielectric layers and metallization patterns are to be formed. If more dielectric layers and metallization patterns are to be formed, the steps and processes discussed above may be repeated. Those skilled in the art will readily understand which steps and processes will be omitted or repeated.

儘管相對於前側重佈線結構160而論述本文中所描述的RDL佈線設計,但RDL佈線製程的教示亦可應用於背側重佈線結構110。 Although the RDL routing design described herein is discussed with respect to the front-side routing structure 160 , the teachings of the RDL routing process can also be applied to the back-side routing structure 110 .

在圖22中,多個襯墊162形成於前側重佈線結構160的外部側上。襯墊162用以耦接至導電連接件166(參見圖23),且可稱作凸塊下金屬(under bump metallurgy;UBM)162。在所示出的實施例中,襯墊162經由穿過介電層156至金屬化圖案154的開口而形成。襯墊162可由類似材料製成,且使用與金屬化圖 案138類似的製程形成。舉例而言,可沈積晶種層,光阻可沈積於晶種層上,如上文所描述的多個曝光微影製程可應用於光阻以定義暴露晶種層的開口,可執行鍍覆製程以鍍覆導電材料於晶種層的暴露部分上,且移除光阻及晶種層上未形成的導電材料的部分。晶種層及導電材料的剩餘部分形成襯墊162。 In FIG. 22 , a plurality of pads 162 are formed on the outer side of the front side wiring structure 160 . Pads 162 are used to couple to conductive connections 166 (see FIG. 23 ) and may be referred to as under bump metallurgy (UBM) 162 . In the embodiment shown, the liner 162 is formed via openings through the dielectric layer 156 to the metallization pattern 154 . Pad 162 can be made of similar materials and used with the metallization pattern Case 138 is formed by a similar process. For example, a seed layer can be deposited, a photoresist can be deposited on the seed layer, multiple exposure lithography processes as described above can be applied to the photoresist to define openings for exposing the seed layer, a plating process can be performed A conductive material is plated on the exposed portions of the seed layer, and portions of the photoresist and the unformed conductive material on the seed layer are removed. The seed layer and the remainder of the conductive material form pads 162 .

在圖23中,多個導電連接件166形成於襯墊162上。導電連接件166可為BGA連接件、焊料球、金屬柱、受控塌陷晶粒連接(controlled collapse chip connection;C4)凸塊、微凸塊、化學鍍鎳鈀浸金(electroless nickel-electroless palladium-immersion gold technique;ENEPIG)技術形成的凸塊或類似者。導電連接件166可包含導電材料,諸如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似導電材料或其組合。在一些實施例中,藉由諸如蒸發、電鍍、列印、焊料轉移、植球或類似者的此類常用方法最初形成焊料層以形成導電連接件166。一旦焊料層已形成於結構上,則可執行回焊以便將材料塑形成所要凸塊形狀。在另一實施例中,導電連接件166為藉由濺鍍、列印、電鍍、無電電鍍、CVD或類似者所形成的金屬柱(諸如銅柱)。金屬柱可並無焊料且具有實質上垂直的側壁。在一些實施例中,金屬頂蓋層(未繪示)形成於金屬柱連接件166的頂部上。金屬頂蓋層可包含鎳、錫、錫鉛、金、銀、鈀、銦、鎳鈀金、鎳金、類似者或其組合,且可藉由鍍覆製程形成。 In FIG. 23 , a plurality of conductive connections 166 are formed on pads 162 . The conductive connectors 166 may be BGA connectors, solder balls, metal posts, controlled collapse chip connections (C4) bumps, micro bumps, electroless nickel-electroless palladium- immersion gold technique; ENEPIG) technology formed bumps or the like. The conductive connections 166 may comprise conductive materials such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, similar conductive materials, or combinations thereof. In some embodiments, a layer of solder is initially formed to form conductive connections 166 by such common methods as evaporation, electroplating, printing, solder transfer, bumping, or the like. Once the solder layer has been formed on the structure, reflow can be performed to shape the material into the desired bump shape. In another embodiment, the conductive connections 166 are metal pillars (such as copper pillars) formed by sputtering, printing, electroplating, electroless plating, CVD, or the like. The metal pillars may be solder-free and have substantially vertical sidewalls. In some embodiments, a metal capping layer (not shown) is formed on top of the metal post connectors 166 . The metal capping layer can include nickel, tin, tin lead, gold, silver, palladium, indium, nickel palladium gold, nickel gold, the like, or a combination thereof, and can be formed by a plating process.

在圖24中,執行載體基底剝離以將載體基底100自背側重佈線結構(例如介電層104)拆離(剝離)。根據一些實施例,剝離包含使諸如雷射光或UV光的光投影於釋放層102上,以使 得釋放層102在光熱下分解且可移除載體基底100。隨後翻轉結構且將其置放於膠帶190上。 In FIG. 24, a carrier substrate lift-off is performed to detach (peel off) the carrier substrate 100 from the rear side wiring structure (eg, the dielectric layer 104). According to some embodiments, lift-off includes projecting light, such as laser light or UV light, on release layer 102 to allow The release layer 102 is decomposed under light and heat and the carrier substrate 100 can be removed. The structure is then turned over and placed on tape 190 .

如在圖25中進一步示出,穿過介電層104形成開口以暴露金屬化圖案106的部分。舉例而言,可使用雷射鑽孔、蝕刻或類似者形成開口。 As further shown in FIG. 25 , openings are formed through dielectric layer 104 to expose portions of metallization pattern 106 . For example, the openings may be formed using laser drilling, etching, or the like.

在圖26中,藉由沿切割道區(例如在鄰近第一封裝區100A與第二封裝區100B之間)鋸切來執行單體化製程。所述鋸切將第一封裝區100A自第二封裝區100B單體化。 In FIG. 26, the singulation process is performed by sawing along the scribe line area (eg, between adjacent first encapsulation area 100A and second encapsulation area 100B). The sawing singulates the first encapsulation area 100A from the second encapsulation area 100B.

圖26示出所得單體化封裝體400,封裝體可來自第一封裝區100A或第二封裝區100B中的一者。封裝體400亦可稱作積體扇出型(integrated fan-out;InFO)封裝件200。 Figure 26 shows the resulting singulated package 400, which can be from one of the first encapsulation area 100A or the second encapsulation area 100B. The package 400 may also be referred to as an integrated fan-out (InFO) package 200 .

圖27示出包含封裝體400(可稱作第一封裝體400)、第二封裝體500以及基底550的封裝結構570。第二封裝體500包含基底502及耦接至基底502的一或多個堆疊晶粒508(堆疊晶粒508A及堆疊晶粒508B)。基底502可由半導體材料(諸如,矽、鍺、金剛石或類似者)製成。在一些實施例中,亦可使用化合物材料,諸如矽鍺、碳化矽、砷化鎵、砷化銦、磷化銦、矽鍺碳化物、磷化砷化鎵、磷化鎵銦、此等的組合及類似者。另外,基底502可為絕緣層上矽(silicon-on-insulator;SOI)基底。通常,SOI基底包含諸如磊晶矽、鍺、矽鍺、SOI、絕緣層上矽鍺(silicon germanium on insulator;SGOI)或其組合的半導體材料層。在一個替代實施例中,基底502為基於諸如玻璃纖維加固樹脂芯的絕緣芯。一個實例芯材料為諸如FR4的玻璃纖維樹脂。芯材料的替代物包含雙馬來亞醯胺三嗪(bismaleimide-triazine;BT)樹脂, 或替代地包含其他印刷電路板(printed circuit board;PCB)材料或膜。諸如味素累積膜(Ajinomoto build-up film;ABF)的累積膜或其他層壓物可用於基底502。 FIG. 27 shows a package structure 570 including a package body 400 (which may be referred to as a first package body 400 ), a second package body 500 , and a substrate 550 . The second package 500 includes a base 502 and one or more stacked dies 508 (stacked die 508A and stacked die 508B) coupled to the base 502 . The substrate 502 may be made of a semiconductor material such as silicon, germanium, diamond, or the like. In some embodiments, compound materials such as silicon germanium, silicon carbide, gallium arsenide, indium arsenide, indium phosphide, silicon germanium carbide, gallium arsenide phosphide, indium gallium phosphide, and the like may also be used Combinations and the like. In addition, the substrate 502 may be a silicon-on-insulator (SOI) substrate. Typically, SOI substrates include layers of semiconductor materials such as epitaxial silicon, germanium, silicon germanium, SOI, silicon germanium on insulator (SGOI), or combinations thereof. In an alternative embodiment, the substrate 502 is an insulating core based on, for example, a fiberglass reinforced resin core. An example core material is fiberglass resin such as FR4. Alternatives to core materials include bismaleimide-triazine (BT) resins, Or alternatively contain other printed circuit board (PCB) materials or films. A build-up film such as an Ajinomoto build-up film (ABF) or other laminate can be used for substrate 502 .

基底502可包含主動元件及被動元件。如本領域的技術人員將認識到,諸如電晶體、電容器、電阻器、此等的組合以及類似者的廣泛多種元件可用以產生半導體封裝體500的設計的結構以及功能要求。可使用任何適合的方法形成元件。 The substrate 502 may include active elements and passive elements. As those skilled in the art will recognize, a wide variety of elements such as transistors, capacitors, resistors, combinations of these, and the like can be used to create the structural and functional requirements for the design of semiconductor package 500 . The elements may be formed using any suitable method.

基底502亦可包含金屬化層(未繪示)以及穿孔506。金屬化層可形成於主動元件及被動元件上方,且經設計以連接各種元件以形成功能電路。金屬化層可由介電質(例如低k介電材料)與導電材料(例如銅)的交替層形成,其中通孔互連導電材料層且可藉由任何適合的製程(諸如沈積、鑲嵌、雙鑲嵌或類似者)形成。在一些實施例中,基底502實質上不含主動元件及被動元件。 The substrate 502 may also include a metallization layer (not shown) and through holes 506 . Metallization layers can be formed over active and passive elements, and are designed to connect the various elements to form functional circuits. The metallization layers may be formed from alternating layers of dielectric (eg, low-k dielectric materials) and conductive materials (eg, copper), with vias interconnecting the layers of conductive material and may be formed by any suitable process such as deposition, damascene, dual mosaic or the like). In some embodiments, the substrate 502 is substantially free of active and passive elements.

基底502可在基底502的第一側上具有接合墊503以耦接至堆疊晶粒508,且在基底502的第二側上具有接合墊504,以耦接至導電連接件514,基底502的第二側與第一側相對。在一些實施例中,藉由在基底502的第一側及第二側上將凹部(未繪示)形成至介電層(未繪示)中來形成接合墊503及504。可形成凹部以允許將接合墊503及接合墊504嵌入於介電層中。在其他實施例中,省略凹部因為接合墊503及接合墊504可形成於介電層上。在一些實施例中,接合墊503及接合墊504包含由銅、鈦、鎳、金、鈀、類似者或其組合製成的薄晶種層(未繪示)。接合墊503及接合墊504的導電材料可沈積於薄晶種層上方。可藉由電化學 鍍覆製程、無電極電鍍製程、CVD、ALD、PVD、類似者或其組合形成導電材料。在一實施例中,接合墊503及接合墊504的導電材料為銅、鎢、鋁、銀、金、其類似者或其組合。 The substrate 502 may have bond pads 503 on a first side of the substrate 502 for coupling to the stacked die 508 and bond pads 504 on a second side of the substrate 502 for coupling to the conductive connections 514, the The second side is opposite the first side. In some embodiments, bond pads 503 and 504 are formed by forming recesses (not shown) into a dielectric layer (not shown) on the first and second sides of substrate 502 . Recesses can be formed to allow bond pads 503 and bond pads 504 to be embedded in the dielectric layer. In other embodiments, the recesses are omitted because bond pads 503 and bond pads 504 may be formed on the dielectric layer. In some embodiments, bond pads 503 and 504 comprise thin seed layers (not shown) made of copper, titanium, nickel, gold, palladium, the like, or combinations thereof. The conductive material of bond pad 503 and bond pad 504 may be deposited over a thin seed layer. electrochemically Plating processes, electroless plating processes, CVD, ALD, PVD, the like, or combinations thereof form the conductive material. In one embodiment, the conductive materials of the bonding pads 503 and 504 are copper, tungsten, aluminum, silver, gold, the like, or a combination thereof.

在一實施例中,接合墊503及接合墊504為包含三個導電材料層(諸如,鈦層、銅層以及鎳層)的UBM。然而,本領域的技術人員將認識到存在適合於形成UBM 503及UBM 504的許多適合的材料及層的配置,諸如鉻/鉻銅合金/銅/金的配置、鈦/鈦鎢/銅的配置,或銅/鎳/金的配置。可用於UBM 503及UBM 504的任何適合的材料或材料層全部意欲包含於當前申請案的範疇內。在一些實施例中,穿孔506延伸穿過基底502且將至少一個接合墊503耦接至至少一個接合墊504。 In one embodiment, bond pads 503 and 504 are UBMs that include three layers of conductive material, such as titanium, copper, and nickel. However, those skilled in the art will recognize that there are many suitable configurations of materials and layers suitable for forming UBM 503 and UBM 504, such as a chromium/chromium copper alloy/copper/gold configuration, a titanium/titanium tungsten/copper configuration , or a copper/nickel/gold configuration. Any suitable materials or layers of materials that may be used in UBM 503 and UBM 504 are all intended to be included within the scope of the current application. In some embodiments, perforations 506 extend through substrate 502 and couple at least one bond pad 503 to at least one bond pad 504 .

在所示出的實施例中,堆疊式晶粒508藉由打線接合510耦接至基底502,但可使用其他連接件,諸如導電凸塊。在一實施例中,堆疊式晶粒508為堆疊式記憶體晶粒。舉例而言,堆疊式記憶體晶粒508可包含低功率(low-power;LP)雙資料速率(double data rate;DDR)記憶體模組,諸如LPDDR1、LPDDR2、LPDDR3、LPDDR4或類似記憶體模組。 In the embodiment shown, the stacked die 508 is coupled to the substrate 502 by wire bonds 510, but other connections, such as conductive bumps, may be used. In one embodiment, the stacked die 508 is a stacked memory die. For example, the stacked memory die 508 may include low-power (LP) double data rate (DDR) memory modules such as LPDDR1, LPDDR2, LPDDR3, LPDDR4, or similar memory modules Group.

在一些實施例中,堆疊式晶粒508及打線接合510可藉由模製材料512密封。模製材料512可例如使用壓縮模製經模製於堆疊晶粒508及打線接合510上。在一些實施例中,模製材料512為模製化合物、聚合物、環氧樹脂、氧化矽填充物材料、類似者或其組合。可執行固化步驟以固化模製材料512,其中固化可為熱固化、UV固化、類似者或其組合。 In some embodiments, the stacked die 508 and wire bonds 510 may be encapsulated by the molding material 512 . The molding material 512 may be molded over the stacked die 508 and the wire bonds 510, eg, using compression molding. In some embodiments, the molding material 512 is a molding compound, a polymer, an epoxy, a silicon oxide fill material, the like, or a combination thereof. A curing step may be performed to cure the molding material 512, wherein the curing may be thermal curing, UV curing, the like, or a combination thereof.

在一些實施例中,堆疊晶粒508及打線接合510埋入於 模製材料512中,且在固化模製材料512之後,執行諸如研磨的平坦化步驟以移除模製材料512的過量部分且為第二封裝體500提供實質上平面的表面。 In some embodiments, the stacked die 508 and wire bonds 510 are buried in In the molding material 512, and after curing the molding material 512, a planarization step such as grinding is performed to remove excess portions of the molding material 512 and provide the second package 500 with a substantially planar surface.

在形成第二封裝體500之後,封裝體500藉助於導電連接件514、接合墊504以及金屬化圖案106接合至第一封裝體400。在一些實施例中,堆疊式記憶體晶粒(堆疊晶粒508)可藉由電線接合510、接合墊503以及接合墊504、穿孔506、導電連接件514以及穿孔112耦接至積體電路晶粒114。 After the second package body 500 is formed, the package body 500 is bonded to the first package body 400 by means of the conductive connections 514 , the bonding pads 504 , and the metallization pattern 106 . In some embodiments, the stacked memory die (stacked die 508 ) may be coupled to the integrated circuit die by wire bonds 510 , bond pads 503 and 504 , vias 506 , conductive connections 514 , and vias 112 Granules 114.

導電連接件514可類似於上文所描述的導電連接件166,且並不在本文中重複描述,但導電連接件514與導電連接件166不必相同。在一些實施例中,在接合導電連接件514之前,導電連接件514塗佈有助焊劑(未繪示),諸如,免清助焊劑。導電連接件514可浸漬於助焊劑中或助焊劑可噴射至導電連接件514上。在另一實施例中,助焊劑可塗覆至金屬化圖案106的表面。 The conductive connections 514 may be similar to the conductive connections 166 described above, and the description is not repeated herein, although the conductive connections 514 and 166 need not be the same. In some embodiments, the conductive connectors 514 are coated with a flux (not shown), such as no-clean flux, prior to bonding the conductive connectors 514 . The conductive connectors 514 can be dipped in flux or the flux can be sprayed onto the conductive connectors 514 . In another embodiment, the flux may be applied to the surface of the metallization pattern 106 .

在一些實施例中,導電連接件514可具有環氧樹脂助焊劑(未繪示),助焊劑在其與第二封裝體500附接至第一封裝體400之後剩餘的環氧樹脂助焊劑的環氧樹脂部分中的至少一些回焊之前形成於其上。此剩餘環氧樹脂部分可充當底膠以減小應力且保護由回焊導電連接件514而產生的接合點。在一些實施例中,底膠(未繪示)可形成於第二封裝體500與第一封裝體400之間,且包圍導電連接件514。可在第二封裝體500附接之後藉由毛細流動製程形成,或可在第二封裝體500附接之前藉由適合的沈積方法形成底膠。 In some embodiments, the conductive connector 514 may have an epoxy flux (not shown) that remains of the epoxy flux after it is attached with the second package 500 to the first package 400 . At least some of the epoxy portions are formed thereon prior to reflow. This remaining epoxy portion can act as a primer to reduce stress and protect the joints created by reflowing the conductive connections 514 . In some embodiments, an underfill (not shown) may be formed between the second package body 500 and the first package body 400 and surround the conductive connections 514 . The primer may be formed by a capillary flow process after the second package body 500 is attached, or a primer may be formed by a suitable deposition method before the second package body 500 is attached.

第二封裝體500與第一封裝體400之間的接合可為焊料 接合或直接金屬至金屬(諸如銅至銅或錫至錫)接合。在一實施例中,藉由回焊製程將第二封裝體500接合至第一封裝體400。在此回焊製程期間,導電連接件514與接合墊504以及金屬化圖案106接觸,以將第二封裝體500實體且電耦接至第一封裝體400。在接合製程之後,金屬間化合物(IMC)(未繪示)可形成於金屬化圖案106與導電連接件514的界面處,及亦形成於導電連接件514與接合墊504之間的界面處。 The bonding between the second package body 500 and the first package body 400 may be solder Bonding or direct metal-to-metal (such as copper-to-copper or tin-to-tin) bonding. In one embodiment, the second package body 500 is bonded to the first package body 400 by a reflow process. During this reflow process, the conductive connections 514 are in contact with the bonding pads 504 and the metallization pattern 106 to physically and electrically couple the second package 500 to the first package 400 . After the bonding process, an intermetallic compound (IMC) (not shown) may be formed at the interface of the metallization pattern 106 and the conductive connections 514 , and also at the interface between the conductive connections 514 and the bond pads 504 .

儘管第二封裝體500示出為在第一封裝體400自晶圓中的其他封裝體單體化之後附接至第一封裝體400,但在其他實施例中,第二封裝體500可在單體化之前附接至第一封裝體400。舉例而言,第二封裝體500可附接至第一封裝體400,且隨後第一封裝體400可單體化(例如如圖26中所描述)。 Although the second package 500 is shown attached to the first package 400 after the first package 400 is singulated from other packages in the wafer, in other embodiments, the second package 500 may be Attached to the first package body 400 before singulation. For example, the second package body 500 may be attached to the first package body 400, and then the first package body 400 may be singulated (eg, as described in FIG. 26).

半導體封裝體570包含安裝至封裝基底550的封裝體400及封裝體500。使用導電連接件166將封裝體400安裝至封裝基底550。 The semiconductor package 570 includes the package 400 and the package 500 mounted to the package substrate 550 . Package body 400 is mounted to package substrate 550 using conductive connectors 166 .

封裝基底550可由半導體材料(諸如,矽、鍺、金剛石,或類似者)製成。或者,亦可使用化合物材料,諸如矽鍺、碳化矽、砷化鎵、砷化銦、磷化銦、矽鍺碳化物、磷化砷化鎵、磷化鎵銦、此等的組合及類似者。另外,封裝基底550可為SOI基底。一般而言,SOI基底包含半導體材料層,諸如磊晶矽、鍺、矽鍺、SOI、SGOI或其組合。在一個替代實施例中,封裝基底550為基於諸如玻璃纖維加固樹脂芯的絕緣芯。一個實例芯材料為諸如FR4的玻璃纖維樹脂。芯材料的替代物包含雙馬來亞醯胺三嗪(bismaleimide-triazine;BT)樹脂,或替代地包含其他PCB材料 或膜。諸如ABF的累積膜或其他層壓物可用於封裝基底550。 The package substrate 550 may be made of a semiconductor material such as silicon, germanium, diamond, or the like. Alternatively, compound materials such as silicon germanium, silicon carbide, gallium arsenide, indium arsenide, indium phosphide, silicon germanium carbide, gallium arsenide phosphide, indium gallium phosphide, combinations of these, and the like can also be used . In addition, the package substrate 550 may be an SOI substrate. Generally, SOI substrates include layers of semiconductor materials, such as epitaxial silicon, germanium, silicon germanium, SOI, SGOI, or combinations thereof. In an alternative embodiment, the encapsulation substrate 550 is an insulating core based on, for example, a fiberglass reinforced resin core. An example core material is fiberglass resin such as FR4. Alternatives to core materials include bismaleimide-triazine (BT) resins, or alternatively include other PCB materials or membrane. A build-up film such as ABF or other laminate may be used to encapsulate substrate 550 .

封裝基底550可包含主動元件及被動元件。如本領域的技術人員將認識到,諸如電晶體、電容器、電阻器、此等的組合以及類似者的廣泛多種元件可用以產生半導體封裝體500的設計的結構以及功能要求。可使用任何適合的方法形成元件。 The package substrate 550 may include active elements and passive elements. As those skilled in the art will recognize, a wide variety of elements such as transistors, capacitors, resistors, combinations of these, and the like can be used to create the structural and functional requirements for the design of semiconductor package 500 . The elements may be formed using any suitable method.

封裝基底550可包含金屬化層及通孔(未繪示)以及金屬化層及通孔上方的接合墊552。金屬化層可形成於主動元件及被動元件上方,且經設計以連接各種元件以形成功能電路。金屬化層可由介電質(例如低k介電材料)與導電材料(例如銅)的交替層形成,其中通孔互連導電材料層且可藉由任何適合的製程(諸如沈積、鑲嵌、雙鑲嵌或類似者)形成。在一些實施例中,封裝基底550實質上不含主動元件及被動元件。 Package substrate 550 may include metallization layers and vias (not shown) and bond pads 552 over the metallization layers and vias. Metallization layers can be formed over active and passive elements, and are designed to connect the various elements to form functional circuits. The metallization layers may be formed from alternating layers of dielectric (eg, low-k dielectric materials) and conductive materials (eg, copper), with vias interconnecting the layers of conductive material and may be formed by any suitable process such as deposition, damascene, dual mosaic or the like). In some embodiments, the package substrate 550 is substantially free of active and passive components.

在一些實施例中,可回焊導電連接件166以將封裝體400附接至接合墊552。導電連接件166電及/或實體地將封裝基底550(包含封裝基底550中的金屬化層)耦接至第一封裝體400。 In some embodiments, the conductive connections 166 may be reflowed to attach the package body 400 to the bond pads 552 . The conductive connections 166 electrically and/or physically couple the package substrate 550 (including the metallization layers in the package substrate 550 ) to the first package body 400 .

導電連接件166可具有環氧樹脂助焊劑(未繪示),助焊劑在其與封裝體400附接至封裝基底550之後剩餘的環氧樹脂助焊劑的環氧樹脂部分中的至少一些回焊之前形成於其上。此剩餘環氧樹脂部分可充當底膠以減小應力且保護由回焊導電連接件166而產生的接合點。在一些實施例中,底膠(未繪示)可形成於第一封裝體400與封裝基底550之間,且環繞導電連接件166。可在封裝體400附接之後藉由毛細流動製程形成或可在封裝體400附接之前藉由適合的沈積方法形成底膠。 The conductive connector 166 may have an epoxy flux (not shown) that reflows at least some of the epoxy portion of the epoxy flux remaining after it is attached to the package substrate 550 with the package body 400 formed on it before. This remaining epoxy portion can act as a primer to reduce stress and protect the joints created by reflowing the conductive connections 166 . In some embodiments, an underfill (not shown) may be formed between the first package body 400 and the package substrate 550 and surround the conductive connections 166 . The primer may be formed by a capillary flow process after the package body 400 is attached or may be formed by a suitable deposition method before the package body 400 is attached.

亦可包含其他特徵及製程。舉例而言,可包含測試結構 以幫助對3D封裝體或3DIC元件的驗證測試。測試結構可包含例如形成於重佈線層中或基底上的測試墊,從而允許測試3D封裝體或3DIC、使用探測器及/或探測卡及類似者。驗證測試可在中間結構及最終結構上執行。另外,本文中所揭露的結構及方法可結合併有對已知良好晶粒的中間驗證的測試方法使用,以提高產率及降低成本。 Other features and processes may also be included. For example, a test structure can be included To help with verification testing of 3D packages or 3DIC components. The test structure may include, for example, test pads formed in a redistribution layer or on a substrate, allowing for testing of 3D packages or 3DICs, use of probes and/or probe cards, and the like. Verification tests can be performed on intermediate and final structures. Additionally, the structures and methods disclosed herein can be used in conjunction with test methods with intermediate validation of known good dies to increase yield and reduce cost.

各種實施例使用拼接微影製程以將不同圖案化區上由不同倍縮光罩定義的不同圖案拼接在一起。藉由使用拼接微影,場積體尺寸不再受曝光場尺寸(例如每一光學透鏡的尺寸)的限制。舉例而言,可藉由在不同拼接區內拼接不同罩幕的圖案以擴大層中的圖案的尺寸。進一步使用灰調式圖案及低NA步進機可增加在拼接區處的容忍度且減少在拼接區處的製造缺陷。 Various embodiments use a stitching lithography process to stitch together different patterns defined by different photomasks on different patterned areas. By using stitching lithography, the field volume size is no longer limited by the exposure field size (eg, the size of each optical lens). For example, the size of a pattern in a layer can be enlarged by splicing patterns of different masks in different splice regions. Further use of gray-tone patterns and low NA steppers can increase tolerance at the splices and reduce manufacturing defects at the splices.

在一實施例中,元件包含:模製化合物,密封第一積體電路晶粒及第二積體電路晶粒;介電層,位於模製化合物、第一積體電路晶粒以及第二積體電路晶粒上方;以及金屬化圖案,位於介電層上方,且將第一積體電路晶粒電連接至第二積體電路晶粒,其中金屬化圖案包括多個導線,且其中多個導線中的每一者:自金屬化圖案的第一區穿過金屬化圖案的第二區連續延伸至金屬化圖案的第三區;且在金屬化圖案的第二區中具有相同類型的製造異常。在一實施例中,與金屬化圖案的第一區及金屬化圖案的第三區相比,多個導線中的每一者的寬度在金屬化圖案的第二區中增大。在一實施例中,與金屬化圖案的第一區及金屬化圖案的第三區相比,多個導線中的每一者的寬度在金屬化圖案的第二區中減小。在一實施例中,多個導線中的每一者的多個側壁在金屬 化圖案的第二區中未對準。在一實施例中,金屬化圖案的第二區設置於第一對準標記與第二對準標記之間。在一實施例中,元件更包含第三對準標記及第四對準標記,其中金屬化圖案包括在第三對準標記與第四對準標記之間的多個第二導線,且其中在第一對準標記與第三對準標記之間的距離等於在第二對準標記與第四對準標記之間的距離。在一實施例中,金屬化圖案包括在第一對準標記與第三對準標記之間的多個第三導線。 In one embodiment, the device includes: a mold compound encapsulating the first integrated circuit die and the second integrated circuit die; a dielectric layer over the mold compound, the first integrated circuit die, and the second integrated circuit die over the bulk circuit die; and a metallization pattern over the dielectric layer and electrically connecting the first integrated circuit die to the second integrated circuit die, wherein the metallization pattern includes a plurality of wires, and wherein the plurality of each of the wires: extending continuously from the first region of the metallization pattern through the second region of the metallization pattern to the third region of the metallization pattern; and having the same type of fabrication in the second region of the metallization pattern abnormal. In one embodiment, the width of each of the plurality of wires is increased in the second region of the metallization pattern compared to the first region of the metallization pattern and the third region of the metallization pattern. In one embodiment, the width of each of the plurality of conductive lines is reduced in the second region of the metallization pattern compared to the first region of the metallization pattern and the third region of the metallization pattern. In one embodiment, the plurality of sidewalls of each of the plurality of wires are in the metal misalignment in the second region of the pattern. In one embodiment, the second region of the metallization pattern is disposed between the first alignment mark and the second alignment mark. In one embodiment, the device further includes a third alignment mark and a fourth alignment mark, wherein the metallization pattern includes a plurality of second wires between the third alignment mark and the fourth alignment mark, and wherein the The distance between the first alignment mark and the third alignment mark is equal to the distance between the second alignment mark and the fourth alignment mark. In one embodiment, the metallization pattern includes a plurality of third conductive lines between the first alignment mark and the third alignment mark.

在一實施例中,方法包含將第一積體電路晶粒及第二積體電路晶粒密封於模製化合物中;在第一積體電路晶粒、第二積體電路晶粒以及模製化合物上方沈積晶種層;在晶種層上方沈積光阻;在光阻的第一圖案化區上執行第一曝光製程以定義第一曝光區;在執行第一曝光製程之後,在光阻的第二圖案化區上執行第二曝光製程以定義第二曝光區,其中第一圖案化區與第二圖案化區在拼接區中交疊;顯影光阻以定義第一開口,所述第一開口自第一圖案化區穿過拼接區延伸至第二圖案化區;在第一開口中鍍覆導電材料,其中導電材料電連接第一積體電路晶粒及第二積體電路晶粒;以及移除光阻。在一實施例中,第一曝光區的形狀在拼接區中為三角形。在一實施例中,第二曝光區的形狀在拼接區中為三角形。在一實施例中,執行第一曝光製程包括降低第一曝光製程在拼接區中施加的曝光強度,其中第一曝光製程施加的曝光強度在朝向第二圖案化區的方向上降低。在一實施例中,第一曝光製程施加的曝光強度在朝向第二圖案化區的方向上連續降低。在一實施例中,第一曝光製程施加的曝光強度在朝向第二圖案化區的方向上以離散間隔降低。在一實施例中,由第一曝光製 程及第二曝光製程產生的累積曝光強度在整個拼接區中的不超過120%。在一實施例中,第一圖案化區的尺寸對應於在第一曝光製程期間使用的倍縮光罩的尺寸。在一實施例中,執行第一曝光製程包括使用具有小於0.2的數值孔徑(numerical aperture;NA)的微影步進機工具。 In one embodiment, the method includes encapsulating a first integrated circuit die and a second integrated circuit die in a molding compound; molding the first integrated circuit die, the second integrated circuit die, and molding depositing a seed layer over the compound; depositing a photoresist over the seed layer; performing a first exposure process on the first patterned area of the photoresist to define the first exposure area; A second exposure process is performed on the second patterned area to define a second exposure area, wherein the first patterned area and the second patterned area overlap in the splicing area; the photoresist is developed to define the first opening, the first The opening extends from the first patterned area through the splicing area to the second patterned area; conductive material is plated in the first opening, wherein the conductive material is electrically connected to the first integrated circuit die and the second integrated circuit die; and removing the photoresist. In one embodiment, the shape of the first exposure area is triangular in the tiling area. In one embodiment, the shape of the second exposure area is triangular in the tiling area. In one embodiment, performing the first exposure process includes reducing the exposure intensity applied by the first exposure process in the spliced region, wherein the exposure intensity applied by the first exposure process is reduced in a direction toward the second patterned region. In one embodiment, the exposure intensity applied by the first exposure process decreases continuously in the direction toward the second patterned region. In one embodiment, the exposure intensity applied by the first exposure process decreases at discrete intervals in the direction toward the second patterned region. In one embodiment, the first exposure The cumulative exposure intensity generated by the second exposure process and the second exposure process does not exceed 120% in the entire splicing area. In one embodiment, the size of the first patterned region corresponds to the size of the reticle used during the first exposure process. In one embodiment, performing the first exposure process includes using a lithography stepper tool having a numerical aperture (NA) of less than 0.2.

在一實施例中,方法包含在第一晶粒、第二晶粒以及模製化合物上方沈積光阻,其中模製化合物設置為環繞第一晶粒及第二晶粒;使用第一倍縮光罩在光阻的第一圖案化區上執行第一曝光製程;在執行第一曝光製程之後,使用第二倍縮光罩在光阻的第二圖案化區上執行第二曝光製程,其中第一圖案化區及第二圖案化區在拼接區中交疊,其中執行第一曝光製程包括將第一倍縮光罩的第一三角形開口置放於拼接區正上方,且其中執行第二曝光製程包括將第二倍縮光罩的第二三角形開口置放於拼接區正上方;顯影光阻以在光阻中定義第三開口,其中第三開口自第一圖案化區穿過拼接區延伸至第二圖案化區;以及在第三開口中鍍覆導電材料,其中導電材料將第一晶粒電連接至第二晶粒。在一實施例中,執行第一曝光製程包括:將第一三角形開口的一側置放於拼接區的第一邊緣處;將第一三角形開口的頂點置放於拼接區的第二邊緣處;將第二三角形開口的一側置放於拼接區的第二邊緣處;以及將第二三角形開口的頂點置放於拼接區的第一邊緣處。在一實施例中,方法更包含使用第一對準標記以使第一倍縮光罩與在光阻之下的層對準;且使用重疊標記以使第二倍縮光罩與由第一倍縮光罩定義的圖案對準。在一實施例中,第一對準標記與重疊標記交疊。 In one embodiment, the method includes depositing a photoresist over the first die, the second die, and a mold compound, wherein the mold compound is disposed to surround the first die and the second die; using a first photomultiplier performing a first exposure process on the first patterned area of the photoresist; after performing the first exposure process, a second photoresist is used to perform a second exposure process on the second patterned area of the photoresist, wherein the first A patterned area and a second patterned area overlap in the splicing area, wherein performing the first exposure process includes placing the first triangular opening of the first reticle directly above the splicing area, and wherein performing the second exposure The process includes placing the second triangular opening of the second photomask directly above the splicing area; developing the photoresist to define a third opening in the photoresist, wherein the third opening extends from the first patterned area through the splicing area to the second patterned region; and plating a conductive material in the third opening, wherein the conductive material electrically connects the first die to the second die. In one embodiment, performing the first exposure process includes: placing one side of the first triangular opening at the first edge of the splicing area; placing the vertex of the first triangular opening at the second edge of the splicing area; placing one side of the second triangular opening at the second edge of the splice area; and placing the apex of the second triangular opening at the first edge of the splice area. In one embodiment, the method further includes using first alignment marks to align the first reticle with the layer under the photoresist; and using overlapping marks to align the second reticle with the layer formed by the first reticle. Reticle-defined pattern alignment. In one embodiment, the first alignment marks overlap the overlapping marks.

前文概述若干實施例的特徵以使得本領域的技術人員可更佳地理解本揭露內容的態樣。本領域的技術人員應理解,其可易於使用本揭露內容作為設計或修改用於實現本文中所引入的實施例的相同目的及/或達成相同優點的其他方法及結構的基礎。本領域的技術人員亦應認識到,此類等效構造並不脫離本揭露內容的精神及範疇,且本領域的技術人員可在不脫離本揭露內容的精神及範疇的情況下在本文中作出改變、替代及更改。 The foregoing outlines the features of several embodiments in order that those skilled in the art may better understand aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other methods and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and those skilled in the art could make them herein without departing from the spirit and scope of the present disclosure Alterations, Substitutions and Alterations.

100:載體基底 100: carrier substrate

100A:第一封裝區 100A: The first package area

100B:第二封裝區 100B: Second packaging area

100C:區 100C: District

102:釋放層 102: Release Layer

104、108、132:介電層 104, 108, 132: Dielectric layer

106、138:金屬化圖案 106, 138: Metallization pattern

110:背側重佈線結構 110: Back-side wiring structure

112:穿孔 112: perforation

114:積體電路晶粒 114: integrated circuit die

116:黏著劑 116: Adhesive

126:晶粒連接件 126: Die connector

128:介電材料 128: Dielectric Materials

130:密封體 130: Sealing body

200A:第一圖案化區 200A: First patterned area

200B:第二圖案化區 200B: Second patterned area

200C:拼接區 200C: Splicing area

Claims (7)

一種半導體元件,包括:模製化合物,密封第一積體電路晶粒及第二積體電路晶粒;介電層,位於所述模製化合物、所述第一積體電路晶粒以及所述第二積體電路晶粒上方;以及金屬化圖案,位於所述介電層上,且將所述第一積體電路晶粒電連接至所述第二積體電路晶粒,其中所述金屬化圖案包括多個導線,且其中所述多個導線中的每一者:自所述金屬化圖案的第一區穿過所述金屬化圖案的第二區連續延伸至所述金屬化圖案的第三區;以及在所述金屬化圖案的所述第二區中具有相同類型的製造異常,其中與所述金屬化圖案的所述第一區及所述金屬化圖案的所述第三區相比,所述多個導線中的每一者的寬度在所述金屬化圖案的所述第二區中減小。 A semiconductor device comprising: a molding compound encapsulating a first integrated circuit die and a second integrated circuit die; a dielectric layer on the molding compound, the first integrated circuit die and the over a second integrated circuit die; and a metallization pattern on the dielectric layer and electrically connecting the first integrated circuit die to the second integrated circuit die, wherein the metal The metallization pattern includes a plurality of conductive lines, and wherein each of the plurality of conductive lines: extends continuously from a first region of the metallization pattern through a second region of the metallization pattern to the end of the metallization pattern a third region; and having the same type of fabrication anomaly in the second region of the metallization pattern as the first region of the metallization pattern and the third region of the metallization pattern In contrast, the width of each of the plurality of wires is reduced in the second region of the metallization pattern. 如申請專利範圍第1項所述的半導體元件,其中所述多個導線中的每一者的多個側壁在所述金屬化圖案的所述第二區中未對準。 The semiconductor device of claim 1, wherein a plurality of sidewalls of each of the plurality of wires are misaligned in the second region of the metallization pattern. 如申請專利範圍第1項所述的半導體元件,其中所述金屬化圖案的所述第二區設置於第一對準標記與第二對準標記之間。 The semiconductor device of claim 1, wherein the second region of the metallization pattern is disposed between the first alignment mark and the second alignment mark. 一種形成半導體元件的方法,包括:將第一積體電路晶粒及第二積體電路晶粒密封於模製化合物中;在所述第一積體電路晶粒、所述第二積體電路晶粒以及所述 模製化合物上方沈積晶種層;在所述晶種層上方沈積光阻;在所述光阻的第一圖案化區上執行第一曝光製程以定義第一曝光區,其中執行所述第一曝光製程包括降低所述第一曝光製程在拼接區中施加的曝光強度,其中所述第一曝光製程施加的所述曝光強度在朝向第二圖案化區的方向上降低;在執行所述第一曝光製程之後,在所述光阻的所述第二圖案化區上執行第二曝光製程以定義第二曝光區,其中所述第一圖案化區與所述第二圖案化區在所述拼接區中交疊;顯影所述光阻以定義第一開口,所述第一開口自所述第一圖案化區穿過所述拼接區延伸至所述第二圖案化區;在所述第一開口中鍍覆導電材料,其中所述導電材料電連接所述第一積體電路晶粒及所述第二積體電路晶粒;以及移除所述光阻。 A method of forming a semiconductor device, comprising: encapsulating a first integrated circuit die and a second integrated circuit die in a molding compound; grains and the depositing a seed layer over the molding compound; depositing a photoresist over the seed layer; performing a first exposure process on a first patterned area of the photoresist to define a first exposure area, wherein the first exposure is performed The exposure process includes reducing the exposure intensity applied by the first exposure process in the spliced area, wherein the exposure intensity applied by the first exposure process is reduced in a direction toward the second patterned area; performing the first exposure process After the exposure process, a second exposure process is performed on the second patterned area of the photoresist to define a second exposure area, wherein the first patterned area and the second patterned area are in the splicing overlapping in the area; developing the photoresist to define a first opening extending from the first patterned area through the splicing area to the second patterned area; in the first patterned area A conductive material is plated in the opening, wherein the conductive material electrically connects the first integrated circuit die and the second integrated circuit die; and the photoresist is removed. 如申請專利範圍第4項所述的方法,其中所述第一曝光區的形狀或所述第二曝光區的形狀在所述拼接區中為三角形。 The method of claim 4, wherein the shape of the first exposure area or the shape of the second exposure area is triangular in the tiling area. 如申請專利範圍第4項所述的方法,其中所述第一圖案化區的尺寸對應於在所述第一曝光製程期間使用的倍縮光罩的尺寸。 The method of claim 4, wherein the size of the first patterned region corresponds to the size of a reticle used during the first exposure process. 一種形成半導體元件的方法,包括:在第一晶粒、第二晶粒以及模製化合物上方沈積光阻,其中所述模製化合物設置為環繞所述第一晶粒及所述第二晶粒;使用第一倍縮光罩在所述光阻的第一圖案化區上執行第一曝光製程; 在執行所述第一曝光製程之後,使用第二倍縮光罩在所述光阻的第二圖案化區上執行第二曝光製程,其中所述第一圖案化區及所述第二圖案化區在拼接區中交疊,其中執行所述第一曝光製程包括將所述第一倍縮光罩的第一三角形開口置放於所述拼接區正上方,將所述第一三角形開口的一側置放於所述拼接區的第一邊緣處,且將所述第一三角形開口的頂點置放於所述拼接區的第二邊緣處;且其中執行所述第二曝光製程包括將所述第二倍縮光罩的第二三角形開口置放於所述拼接區正上方,將所述第二三角形開口的一側置放於所述拼接區的所述第二邊緣處,且將所述第二三角形開口的頂點置放於所述拼接區的所述第一邊緣處;顯影所述光阻以在所述光阻中定義第三開口,其中所述第三開口自所述第一圖案化區穿過所述拼接區延伸至所述第二圖案化區;以及在所述第三開口中鍍覆導電材料,其中所述導電材料將所述第一晶粒電連接至所述第二晶粒。 A method of forming a semiconductor device comprising: depositing photoresist over a first die, a second die, and a molding compound, wherein the molding compound is disposed to surround the first die and the second die ; performing a first exposure process on the first patterned area of the photoresist using a first reticle; After performing the first exposure process, a second exposure process is performed on the second patterned area of the photoresist using a second reticle, wherein the first patterned area and the second patterned area are The regions overlap in the splicing region, wherein performing the first exposure process includes placing the first triangular opening of the first reticle directly above the splicing region, and placing a first triangular opening of the first triangular opening the side is placed at a first edge of the spliced area, and the apex of the first triangular opening is placed at a second edge of the spliced area; and wherein performing the second exposure process includes placing the The second triangular opening of the second reticle is placed just above the splicing area, one side of the second triangular opening is placed at the second edge of the splicing area, and the The apex of a second triangular opening is placed at the first edge of the tiling area; the photoresist is developed to define a third opening in the photoresist, wherein the third opening is from the first pattern a patterned region extending through the splicing region to the second patterned region; and plating a conductive material in the third opening, wherein the conductive material electrically connects the first die to the second grains.
TW108134911A 2018-09-28 2019-09-26 Semiconductor device and method of forming the same TWI757639B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738830P 2018-09-28 2018-09-28
US62/738,830 2018-09-28
US16/459,218 US11158600B2 (en) 2018-09-28 2019-07-01 Lithography process for semiconductor packaging and structures resulting therefrom
US16/459,218 2019-07-01

Publications (2)

Publication Number Publication Date
TW202029283A TW202029283A (en) 2020-08-01
TWI757639B true TWI757639B (en) 2022-03-11

Family

ID=69781597

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108134911A TWI757639B (en) 2018-09-28 2019-09-26 Semiconductor device and method of forming the same

Country Status (2)

Country Link
DE (1) DE102019118612B4 (en)
TW (1) TWI757639B (en)

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7180189B2 (en) * 2002-02-20 2007-02-20 Micron Technology, Inc. Abberation mark and method for estimating overlay error and optical abberations
US7615119B2 (en) * 2004-04-29 2009-11-10 Micron Technology, Inc. Apparatus for spin coating semiconductor substrates
US20110245128A1 (en) * 2010-04-06 2011-10-06 Samsung Electronics Co., Ltd. Composition for removing a photoresist and method of manufacturing semiconductor device using the composition
CN102239539A (en) * 2008-12-04 2011-11-09 美光科技公司 Methods of fabricating substrates
TWI533369B (en) * 2012-05-30 2016-05-11 聯華電子股份有限公司 Method for fabricating semiconductor device
US20170186705A1 (en) * 2015-12-26 2017-06-29 Intel Corporation Non-Rectangular Electronic Device Components
US20170213798A1 (en) * 2016-01-26 2017-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Forming large chips through stitching
TWI596653B (en) * 2015-07-29 2017-08-21 台灣積體電路製造股份有限公司 Methods of fabricating semiconductor devices and photoresists

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017102534B4 (en) 2016-10-31 2022-01-13 Taiwan Semiconductor Manufacturing Co. Ltd. Redistribution layers in semiconductor packages and methods for their manufacture
US9773753B1 (en) 2016-11-18 2017-09-26 Advanced Semiconductor Engineering, Inc. Semiconductor devices and methods of manufacturing the same
DE102017105402A1 (en) 2017-03-14 2018-09-20 Infineon Technologies Ag RETICLES AND EXPOSURE METHOD INCLUDING A PROJECTION OF A RETICLE PATTERN IN ADDITIONAL EXPOSURE FIELDS

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7180189B2 (en) * 2002-02-20 2007-02-20 Micron Technology, Inc. Abberation mark and method for estimating overlay error and optical abberations
US7615119B2 (en) * 2004-04-29 2009-11-10 Micron Technology, Inc. Apparatus for spin coating semiconductor substrates
CN102239539A (en) * 2008-12-04 2011-11-09 美光科技公司 Methods of fabricating substrates
US20110245128A1 (en) * 2010-04-06 2011-10-06 Samsung Electronics Co., Ltd. Composition for removing a photoresist and method of manufacturing semiconductor device using the composition
TWI533369B (en) * 2012-05-30 2016-05-11 聯華電子股份有限公司 Method for fabricating semiconductor device
TWI596653B (en) * 2015-07-29 2017-08-21 台灣積體電路製造股份有限公司 Methods of fabricating semiconductor devices and photoresists
US20170186705A1 (en) * 2015-12-26 2017-06-29 Intel Corporation Non-Rectangular Electronic Device Components
US20170213798A1 (en) * 2016-01-26 2017-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Forming large chips through stitching

Also Published As

Publication number Publication date
DE102019118612A1 (en) 2020-04-02
TW202029283A (en) 2020-08-01
DE102019118612B4 (en) 2024-02-08

Similar Documents

Publication Publication Date Title
US11923207B2 (en) Redistribution structures for semiconductor packages and methods of forming the same
US20220352086A1 (en) Dense Redistribution Layers in Semiconductor Packages and Methods of Forming the Same
US11652063B2 (en) Semiconductor package and method of forming the same
US11508695B2 (en) Redistribution layers in semiconductor packages and methods of forming same
US10867976B2 (en) Semiconductor packages having dummy connectors and methods of forming same
TWI652786B (en) Semiconductor package and method of forming same
TWI644402B (en) Semiconductor package and method of forming same
US11728249B2 (en) Semiconductor package and method
US11217546B2 (en) Embedded voltage regulator structure and method forming same
KR20190055703A (en) Semiconductor package and method
CN110970381B (en) Semiconductor device and method of forming a semiconductor device
TW202022954A (en) Semiconductor structure and method forming same
TWI757639B (en) Semiconductor device and method of forming the same