TWI755178B - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof Download PDF

Info

Publication number
TWI755178B
TWI755178B TW109142247A TW109142247A TWI755178B TW I755178 B TWI755178 B TW I755178B TW 109142247 A TW109142247 A TW 109142247A TW 109142247 A TW109142247 A TW 109142247A TW I755178 B TWI755178 B TW I755178B
Authority
TW
Taiwan
Prior art keywords
dielectric
region
fin
semiconductor
slit
Prior art date
Application number
TW109142247A
Other languages
Chinese (zh)
Other versions
TW202141590A (en
Inventor
柯忠廷
黃泰鈞
李志鴻
李資良
志安 徐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202141590A publication Critical patent/TW202141590A/en
Application granted granted Critical
Publication of TWI755178B publication Critical patent/TWI755178B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A method includes forming a semiconductor fin protruding higher than top surfaces of isolation regions. The isolation regions extend into a semiconductor substrate. A portion of the semiconductor fin is etched to form a trench, which extends lower than bottom surfaces of the isolation regions, and extends into the semiconductor substrate. The method further includes filling the trench with a first dielectric material to form a first fin isolation region, recessing the first fin isolation region to form a first recess, and filling the first recess with a second dielectric material. The first dielectric material and the second dielectric material in combination form a second fin isolation region.

Description

半導體元件及其製造方法 Semiconductor element and method of manufacturing the same

本公開涉及半導體元件及其製造方法。 The present disclosure relates to a semiconductor element and a method of manufacturing the same.

積體電路(Integrated Circuit,IC)材料和設計的技術進步已經產生了幾代積體電路,其中每一代都具有比前幾代更小、更複雜的電路。在積體電路發展的過程中,功能密度(例如,每個晶片面積之互連元件的數量)通常增加而幾何尺寸減小。這種按比例縮小的過程通常是透過提高生產效率和降低相關成本來獲益。 Technological advances in integrated circuit (IC) materials and design have produced several generations of integrated circuits, each of which has smaller and more complex circuits than previous generations. During the development of integrated circuits, functional density (eg, the number of interconnecting elements per wafer area) has generally increased while geometry has decreased. This scaling down process often benefits from increased production efficiency and reduced associated costs.

這種按比例縮小還增加了積體電路處理和製造的複雜性,並且為了要實現這些進步,需要在積體電路處理和製造中進行類似的發展。例如,已經引入了鰭式場效應電晶體(Fin Field-Effect Transistors,FinFETs)來替代平面電晶體。鰭式場效應電晶體的結構和製造鰭式場效應電晶體的方法正在開發中。 This scaling down also increases the complexity of integrated circuit processing and fabrication, and in order for these advancements to be realized, similar developments in integrated circuit processing and fabrication are required. For example, Fin Field-Effect Transistors (FinFETs) have been introduced to replace planar transistors. The structure of the fin field effect transistor and the method of fabricating the fin field effect transistor are under development.

鰭式場效應電晶體的形成通常包含形成長的半導體鰭片和長的閘極堆疊,然後形成隔離區域以將長的半導 體鰭片和長的閘極堆疊切割成較短的部分,使得較短的部分可以作為鰭式場效應電晶體的鰭片和閘極堆疊。 FinFET formation typically involves forming long semiconductor fins and long gate stacks, and then forming isolation regions to connect the long semiconductor fins. The body fin and long gate stack are cut into shorter sections so that the shorter sections can serve as the fin and gate stack of the finFET.

依據本公開之部分實施例,提供一種方法,包含:形成突出高於複數個隔離區域的複數個頂表面的半導體鰭片,其中隔離區域延伸到半導體基材中;蝕刻半導體鰭片的部分以形成溝槽,其中溝槽延伸到低於隔離區域的底表面,並延伸到半導體基材中;使用第一介電質材料填充溝槽以形成第一鰭片隔離區域;凹陷第一鰭片隔離區域以形成第一凹槽;以及使用第二介電質材料填充第一凹槽,其中第一介電質材料和第二介電質材料組合形成第二鰭片隔離區域。 According to some embodiments of the present disclosure, there is provided a method comprising: forming a semiconductor fin projecting above a plurality of top surfaces of a plurality of isolation regions, wherein the isolation regions extend into a semiconductor substrate; and etching portions of the semiconductor fin to form a trench, wherein the trench extends below the bottom surface of the isolation region and into the semiconductor substrate; fills the trench with a first dielectric material to form a first fin isolation region; recesses the first fin isolation region forming a first groove; and filling the first groove with a second dielectric material, wherein the first dielectric material and the second dielectric material combine to form a second fin isolation region.

依據本公開之部分實施例,提供一種元件,包含:半導體基材、複數個隔離區域和介電質區域。複數個隔離區域延伸到半導體基材中。介電質區域包含下部位和上部位。下部位在其中具有第一縫隙。上部位在其中具有第二縫隙,其中第一縫隙透過介電質區域的上部位的底部位與第二縫隙間隔開。 According to some embodiments of the present disclosure, there is provided a device including: a semiconductor substrate, a plurality of isolation regions, and a dielectric region. A plurality of isolation regions extend into the semiconductor substrate. The dielectric region includes a lower portion and an upper portion. The lower portion has a first slit therein. The upper portion has a second slit therein, wherein the first slit is spaced from the second slit through a bottom portion of the upper portion of the dielectric region.

依據本公開之部分實施例,提供一種元件,包含:基材、複數個隔離區域、半導體鰭片、第一磊晶半導體區域、第二磊晶半導體區域、第一介電質區域和第二介電質區域。複數個隔離區域延伸到基材中。半導體鰭片從隔離區域的複數個頂表面向上延伸。第一磊晶半導體區域和第 二磊晶半導體區域延伸到半導體鰭片中。第一介電質區域橫向地在第一磊晶半導體區域和第二磊晶半導體區域之間。第二介電質區域在第一介電質區域上,其中第二介電質區域包含與第一介電質區域的頂表面接觸的U形底部位。 According to some embodiments of the present disclosure, there is provided a device including: a substrate, a plurality of isolation regions, a semiconductor fin, a first epitaxial semiconductor region, a second epitaxial semiconductor region, a first dielectric region, and a second dielectric region. electrical area. A plurality of isolation regions extend into the substrate. Semiconductor fins extend upward from the plurality of top surfaces of the isolation regions. The first epitaxial semiconductor region and the first Two epitaxial semiconductor regions extend into the semiconductor fins. The first dielectric region is laterally between the first epitaxial semiconductor region and the second epitaxial semiconductor region. The second dielectric region is on the first dielectric region, wherein the second dielectric region includes a U-shaped bottom site in contact with the top surface of the first dielectric region.

10:晶片 10: Wafer

20:基材 20: Substrate

22:淺溝槽隔離區域 22: Shallow trench isolation region

22A:頂表面 22A: Top surface

22B:底表面 22B: Bottom surface

24:半導體條 24: Semiconductor strips

24':突出的鰭片 24': Protruding fins

25:介電質虛設條 25: Dielectric Dummy Strips

25':虛設鰭片 25': Dummy Fin

30:虛設閘極堆疊 30: Dummy gate stack

32:虛設閘極介電質 32: Dummy gate dielectric

34:虛設閘極電極 34: Dummy gate electrode

36:硬遮罩層 36: Hard mask layer

38:閘極間隔物 38: Gate spacer

38TS:頂表面 38TS: Top surface

40:凹槽 40: Groove

41:磊晶區域 41: Epitaxy area

42:磊晶區域 42: Epitaxy area

46:接觸蝕刻停止層 46: Contact etch stop layer

48:層間介電層 48: Interlayer dielectric layer

50:閘極隔離區域 50: Gate isolation area

51:縫隙 51: Gap

52:介電質遮罩 52: Dielectric Mask

54:鰭片隔離區域 54: Fin isolation area

54':襯墊 54': Pad

54's:線 54's: Line

54S:界面層 54S: Interface layer

55:縫隙 55: Gap

56:溝槽 56: Groove

57:虛線 57: Dotted line

58:閘極介電質層 58: gate dielectric layer

60:閘極電極 60: Gate electrode

62:閘極堆疊 62: Gate stack

66:介電質硬遮罩 66: Dielectric hard mask

66S:界面層 66S: Interface layer

67:縫隙 67: Gap

68:凹槽 68: Groove

68A:凹槽 68A: Groove

68B:凹槽 68B: Groove

70:介電質區域 70: Dielectric region

70A:介電質區域 70A: Dielectric area

70B:介電質區域 70B: Dielectric region

70C:介電質區域 70C: Dielectric region

71:縫隙 71: Gap

71A:縫隙 71A: Gap

71B:縫隙 71B: Gap

73:區域 73: Area

74:閘極接觸塞 74: Gate contact plug

75:隔離區域 75: Quarantine area

76:源極/汲極矽化物區域 76: source/drain silicide region

78:源極/汲極接觸塞 78: source/drain contact plug

80A:鰭式場效應電晶體 80A: Fin Field Effect Transistor

80B:鰭式場效應電晶體 80B: Fin Field Effect Transistor

84:區域 84: Area

200:製造流程 200: Manufacturing Process

202:步驟 202: Steps

204:步驟 204: Steps

206:步驟 206: Steps

208:步驟 208: Steps

210:步驟 210: Steps

212:步驟 212: Steps

214:步驟 214: Steps

216:步驟 216: Steps

218:步驟 218: Steps

220:步驟 220: Steps

222:步驟 222: Steps

224:步驟 224: Steps

226:步驟 226: Steps

228:步驟 228: Steps

230:步驟 230: Steps

232:步驟 232: Steps

7B-7B:橫截面 7B-7B: Cross Section

8B-8B:橫截面 8B-8B: Cross Section

D1:距離 D1: Distance

H1:高度 H1: height

W1:寬度 W1: width

W2:寬度 W2: width

X:方向 X: direction

Y:方向 Y: direction

當結合附圖閱讀時,根據以下詳細描述可以最好地理解本公開的各方面。應理解,根據行業中的標準實踐,各種特徵未按比例繪製。實際上,為了清楚起見,各種特徵的尺寸可以任意地增加或減小。 Aspects of the present disclosure are best understood from the following detailed description when read in conjunction with the accompanying drawings. It is understood that in accordance with standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity.

第1圖至第4圖、第5A圖、第5B圖、第6圖、第7A圖、第7B圖、第8A圖、第8B圖和第9圖至第15圖繪示根據部分實施例形成隔離區域和鰭式場效應電晶體的中間階段的透視圖、橫截面圖和俯視圖。 Figures 1-4, 5A, 5B, 6, 7A, 7B, 8A, 8B, and 9-15 illustrate the formation according to some embodiments Perspective, cross-sectional, and top views of the isolation region and intermediate stage of the finFET.

第16A圖繪示根據部分實施例中元件區域的俯視圖。 FIG. 16A shows a top view of the device region according to some embodiments.

第16B圖繪示根據部分實施例中元件區域的透視圖。 FIG. 16B shows a perspective view of a device area according to some embodiments.

第17圖繪示根據部分實施例中用於形成隔離區域和鰭式場效應電晶體的製造流程。 FIG. 17 illustrates a fabrication flow for forming isolation regions and finFETs in accordance with some embodiments.

以下提供了用於實現本公開的不同特徵的許多不同的實施例或示例。以下描述元件和配置的特定示例以簡化本公開。當然,這些僅是示例,並不旨在進行限制。例 如,在下面的描述中,在第二特徵之上或上方形成第一特徵可以包含其中第一特徵和第二特徵以直接接觸形成的實施例,並且還可以包含其中在第一特徵和第二特徵之間形成附加特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。另外,本公開可以在各個示例中重複參考數字和/或文字。此重複是出於簡單和清楚的目的,並且其本身並不指示所討論的各種實施例和/或配置之間的關係。 Many different embodiments or examples for implementing the various features of the present disclosure are provided below. Specific examples of elements and configurations are described below to simplify the present disclosure. Of course, these are only examples and are not intended to be limiting. example For example, in the following description, forming a first feature on or over a second feature may include embodiments in which the first feature and the second feature are formed in direct contact, and may also include embodiments in which the first feature and the second feature are formed in direct contact. Embodiments where additional features are formed between features such that the first feature and the second feature may not be in direct contact. Additionally, the present disclosure may repeat reference numerals and/or text in various instances. This repetition is for the purpose of simplicity and clarity, and in itself does not indicate a relationship between the various embodiments and/or configurations discussed.

更甚者,空間相對的詞彙(例如,「低於」、「下方」、「之下」、「上方」、「之上」等相關詞彙)於此用以簡單描述如圖所示之元件或特徵與另一元件或特徵的關係。在使用或操作時,除了圖中所繪示的轉向之外,這些空間相對的詞彙涵蓋元件的不同轉向。再者,這些元件可旋轉(旋轉90度或其他角度),且在此使用之空間相對的描述語可作對應的解讀。 What's more, spatially relative terms (eg, "below", "below", "below", "above", "above", etc. related terms) are used here to simply describe the elements shown in the figures or The relationship of a feature to another element or feature. In use or operation, these spatially relative terms encompass different orientations of elements in addition to the orientations depicted in the figures. Furthermore, these elements can be rotated (rotated 90 degrees or other angles) and the spatially relative descriptors used herein can be interpreted accordingly.

根據部分實施例,提供了用於切割鰭片和閘極堆疊的隔離區域、鰭式場效應電晶體及其形成方法。根據本公開的部分實施例,形成閘極隔離區域和鰭片隔離區域,然後使其凹陷,並且將介電質材料填充到所得的凹槽中。透過此過程,可以密封在閘極隔離區域和鰭片隔離區域中產生的縫隙。根據部分繪示的實施例,使用鰭式場效應電晶體的形成作為示例來解釋本公開的概念。其他類型的電晶體(例如,平面電晶體、閘極全環(Gate-All-Around,GAA)電晶體等)也可以採用本公開的實施例來切割相應的主動區域和閘極堆疊。本公開討論的實施例將提供示例, 以使得能夠製造或使用本公開的主題,並且本領域具通常知識者將容易理解可以在保持於不同實施例的預期範圍內的同時進行各種修改。貫穿各種視圖和說明性實施例,相似的參考標號用於指示相似的元件。儘管方法實施例可以被討論為以特定順序執行,但是其他方法實施例可以以任何邏輯順序執行。 According to some embodiments, isolation regions for dicing fin and gate stacks, fin field effect transistors, and methods of forming the same are provided. According to some embodiments of the present disclosure, gate isolation regions and fin isolation regions are formed, then recessed, and a dielectric material is filled into the resulting recesses. Through this process, the gaps created in the gate isolation region and the fin isolation region can be sealed. According to some of the illustrated embodiments, the formation of a fin field effect transistor is used as an example to explain the concepts of the present disclosure. Other types of transistors (eg, planar transistors, Gate-All-Around (GAA) transistors, etc.) may also employ embodiments of the present disclosure to cut the corresponding active regions and gate stacks. The embodiments discussed in this disclosure will provide examples, to enable the making or use of the disclosed subject matter, and those of ordinary skill in the art will readily appreciate that various modifications can be made while remaining within the intended scope of the different embodiments. Like reference numerals are used to refer to like elements throughout the various views and illustrative embodiments. Although method embodiments may be discussed as being performed in a particular order, other method embodiments may be performed in any logical order.

第1圖至第4圖、第5A圖、第5B圖、第6圖、第7A圖、第7B圖、第8A圖、第8B圖和第9圖至第15圖繪示根據部分實施例形成隔離區域和鰭式場效應電晶體的中間階段的透視圖、橫截面圖和俯視圖。相應的製程也示意性地反映在第17圖所示的製程流程中。 Figures 1-4, 5A, 5B, 6, 7A, 7B, 8A, 8B, and 9-15 illustrate the formation according to some embodiments Perspective, cross-sectional, and top views of the isolation region and intermediate stage of the finFET. The corresponding process is also schematically reflected in the process flow shown in FIG. 17 .

第1圖繪示初始結構的透視圖。初始結構包含晶片10,其中晶片10進一步包含基材20。基材20可以是半導體基材,此半導體基材可以是矽基材、矽鍺基材或由其他半導體材料形成的基材。基材20可以摻雜有p型或n型雜質。可以形成從基材20的頂表面延伸到基材20中的隔離區域22(例如,淺溝槽隔離(Shallow Trench Isolation,STI)區域)。相應的製程在第17圖所示的製造流程200中繪示為步驟202。在相鄰的淺溝槽隔離區域22之間之部分的基材20被稱為半導體條24。根據本公開的部分實施例,半導體條24是原始基材20的一部分,因此半導體條24的材料與基材20的材料相同。根據本公開的其他實施例,半導體條24是透過蝕刻淺溝槽隔離區域22之間之部分的基材20以形成凹槽,並且執行磊晶製程 以在凹槽中再生長另一個半導體材料而形成的替換條。因此,半導體條24由不同於基材20的半導體材料形成。根據部分實施例,半導體條24由矽(Si)、矽磷(SiP)、矽碳(SiC)、矽磷碳(SiPC)、矽鍺(SiGe)、矽鍺硼(SiGeB)、鍺(Ge)、III-V族化合物半導體(例如,磷化銦(InP)、砷化鎵(GaAs)、砷化鋁(AlAs)、砷化銦(InAs)、砷化銦鋁(InAlAs)、砷化銦鎵(InGaAs)等)形成。 Figure 1 shows a perspective view of the initial structure. The initial structure includes wafer 10 , wherein wafer 10 further includes substrate 20 . The substrate 20 may be a semiconductor substrate, and the semiconductor substrate may be a silicon substrate, a silicon germanium substrate, or a substrate formed of other semiconductor materials. The substrate 20 may be doped with p-type or n-type impurities. Isolation regions 22 (eg, Shallow Trench Isolation (STI) regions) may be formed extending into the substrate 20 from the top surface of the substrate 20 . The corresponding process is shown as step 202 in the manufacturing process 200 shown in FIG. 17 . The portions of substrate 20 between adjacent shallow trench isolation regions 22 are referred to as semiconductor strips 24 . According to some embodiments of the present disclosure, the semiconductor strips 24 are part of the original substrate 20 , so the material of the semiconductor strips 24 is the same as the material of the substrate 20 . According to other embodiments of the present disclosure, the semiconductor strips 24 are formed by etching a portion of the substrate 20 between the shallow trench isolation regions 22 to form grooves, and an epitaxial process is performed. A replacement bar formed by re-growing another semiconductor material in the groove. Thus, the semiconductor strips 24 are formed of a different semiconductor material than the substrate 20 . According to some embodiments, the semiconductor strips 24 are made of silicon (Si), silicon phosphorus (SiP), silicon carbon (SiC), silicon phosphorus carbon (SiPC), silicon germanium (SiGe), silicon germanium boron (SiGeB), germanium (Ge) , III-V compound semiconductors (eg, indium phosphide (InP), gallium arsenide (GaAs), aluminum arsenide (AlAs), indium arsenide (InAs), indium aluminum arsenide (InAlAs), indium gallium arsenide (InAlAs) (InGaAs) etc.).

淺溝槽隔離區域22可以包含襯墊氧化物(未繪示),其可以是透過熱氧化基材20的表面層而形成的熱氧化物。襯墊氧化物也可以是使用以下方法形成之沉積的氧化矽層,所使用的方法,例如,原子層沉積(Atomic Layer Deposition,ALD)、高密度電漿化學氣相沉積(High-Density Plasma Chemical Vapor Deposition,HDPCVD)、化學氣相沉積(Chemical Vapor Deposition,CVD)等。淺溝槽隔離區域22還可以包含在襯墊氧化物上方的介電質材料,其中可以使用可流動化學氣相沉積(Flowable Chemical Vapor Deposition,FCVD)、旋轉塗佈(spin-on coating)等形成介電質材料。 The shallow trench isolation region 22 may include a pad oxide (not shown), which may be a thermal oxide formed by thermally oxidizing a surface layer of the substrate 20 . The pad oxide can also be a deposited silicon oxide layer formed using methods such as Atomic Layer Deposition (ALD), High-Density Plasma Chemical Vapor Deposition (High-Density Plasma Chemical Vapor Deposition) Vapor Deposition, HDPCVD), chemical vapor deposition (Chemical Vapor Deposition, CVD), etc. The shallow trench isolation region 22 may also include a dielectric material over the pad oxide, which may be formed using flowable chemical vapor deposition (FCVD), spin-on coating, or the like Dielectric material.

第2圖繪示介電質虛設條25的形成,其可透過蝕刻半導體條24之一以形成凹槽,然後用介電質材料填充凹槽而形成。相應的製程在第17圖所示的製造流程200中繪示為步驟204。介電質材料可以由高介電常數介電質材 料(例如,氮化矽)形成或包含高介電常數介電質材料。另外,選擇介電質虛設條25的材料,使得其相對於隨後形成的虛設閘極堆疊的材料和淺溝槽隔離區域22的材料(例如,氧化矽)具有高蝕刻選擇性。介電質虛設條25的底表面可以高於、齊平或低於淺溝槽隔離區域22的底表面。 Figure 2 illustrates the formation of a dielectric dummy strip 25, which can be formed by etching one of the semiconductor strips 24 to form a recess, and then filling the recess with a dielectric material. The corresponding process is shown as step 204 in the manufacturing process 200 shown in FIG. 17 . The dielectric material can be made of a high-k dielectric material A material (eg, silicon nitride) is formed of or contains a high-k dielectric material. Additionally, the material of the dielectric dummy strips 25 is selected to have high etch selectivity relative to the material of the subsequently formed dummy gate stack and the material of the shallow trench isolation region 22 (eg, silicon oxide). The bottom surfaces of the dielectric dummy bars 25 may be higher, flush, or lower than the bottom surfaces of the shallow trench isolation regions 22 .

參照第3圖,使淺溝槽隔離區域22凹陷。半導體條24和介電質虛設條25的頂部位突出高於淺溝槽隔離區域22之剩餘部分的頂表面22A,以分別地形成突出的鰭片24'和虛設鰭片25'。相應的製程在第17圖所示的製造流程200中繪示為步驟206。可以使用乾式蝕刻製程來執行蝕刻,其中可以使用諸如(HF3)和氨(NH3)的混合物之類的蝕刻氣體。根據本公開的其他實施例,利用濕式蝕刻製程執行淺溝槽隔離區域22的凹陷。蝕刻的化學物質可以包含諸如氫氟酸(HF)溶液。 Referring to FIG. 3, the shallow trench isolation region 22 is recessed. The tops of the semiconductor strips 24 and the dielectric dummy strips 25 protrude above the top surface 22A of the remaining portion of the shallow trench isolation region 22 to form the protruding fins 24' and the dummy fins 25', respectively. The corresponding process is shown as step 206 in the manufacturing process 200 shown in FIG. 17 . The etching may be performed using a dry etching process, wherein an etching gas such as a mixture of (HF 3 ) and ammonia (NH 3 ) may be used. According to other embodiments of the present disclosure, the recessing of the shallow trench isolation regions 22 is performed using a wet etch process. The etching chemistry may include, for example, a hydrofluoric acid (HF) solution.

在上述實施例中,可以透過任何合適的方法來圖案化鰭片。例如,可以使用一種或多種光刻製程來圖案化鰭片,所述光刻製程包含雙圖案化或多圖案化製程。通常,雙圖案化或多圖案化製程將光刻和自對準製程相結合,從而允許例如產生之圖案的間距小於使用單個、直接光刻法可獲得的間距。例如,在一些實施例中,在基材上方形成犧牲層,並使用光刻製程對其進行圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。然後移除犧牲層,接著可以使用剩餘的間隔物或心軸來圖案化鰭片。 In the above-described embodiments, the fins may be patterned by any suitable method. For example, the fins may be patterned using one or more photolithographic processes, including dual-patterning or multi-patterning processes. Typically, dual-patterning or multi-patterning processes combine photolithography and self-alignment processes, allowing, for example, to produce patterns with smaller pitches than would be obtainable using a single, direct lithography method. For example, in some embodiments, a sacrificial layer is formed over the substrate and patterned using a photolithographic process. Spacers are formed next to the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed and the fins can then be patterned using the remaining spacers or mandrels.

進一步參考第3圖,在(突出的)鰭片24'和虛設 鰭片25'的頂表面和側壁上形成虛設閘極堆疊30和閘極間隔物。相應的製程在第17圖所示的製造流程200中繪示為步驟208。虛設閘極堆疊30可以包含虛設閘極介電質32和在虛設閘極介電質32上方的虛設閘極電極34。例如,可以使用多晶矽或非晶矽或使用其他材料形成虛設閘極電極34。每個虛設閘極堆疊30還可在虛設閘極電極34上方包含一個(或多個)硬遮罩層36。硬遮罩層36可由氮化矽、氧化矽、碳氮化矽或其多層形成。虛設閘極堆疊30可以跨過單個或多個突出的鰭片24'和虛設鰭片25'和/或淺溝槽隔離區域22。虛設閘極堆疊30的長度方向垂直突出的鰭片24'和虛設鰭片25'的長度方向。 With further reference to Figure 3, at (protruding) fins 24' and dummy Dummy gate stacks 30 and gate spacers are formed on top surfaces and sidewalls of fins 25'. The corresponding process is shown as step 208 in the manufacturing process 200 shown in FIG. 17 . Dummy gate stack 30 may include dummy gate dielectric 32 and dummy gate electrode 34 over dummy gate dielectric 32 . For example, the dummy gate electrode 34 may be formed using polysilicon or amorphous silicon or using other materials. Each dummy gate stack 30 may also include one (or more) hard mask layer 36 over the dummy gate electrode 34 . The hard mask layer 36 may be formed of silicon nitride, silicon oxide, silicon carbonitride, or multiple layers thereof. Dummy gate stack 30 may span single or multiple protruding fins 24 ′ and dummy fins 25 ′ and/or shallow trench isolation regions 22 . The length direction of the dummy gate stack 30 is perpendicular to the length direction of the protruding fins 24 ′ and the dummy fins 25 ′.

接下來,在虛設閘極堆疊30的側壁上形成閘極間隔物38。根據本公開的部分實施例,閘極間隔物38由介電質材料(例如,氮化矽(SiN)、氧化矽(SiO2)、碳氮化矽(SiCN)、氧氮化矽(SiON)、氧碳氮化矽(SiOCN)等)形成,並且可以具有單層結構或包含多個介電層的多層結構。閘極間隔物38的寬度可以在大約1奈米和大約3奈米之間的範圍內。 Next, gate spacers 38 are formed on the sidewalls of the dummy gate stack 30 . According to some embodiments of the present disclosure, the gate spacer 38 is made of a dielectric material (eg, silicon nitride (SiN), silicon oxide ( SiO2 ), silicon carbonitride (SiCN), silicon oxynitride (SiON) , silicon oxycarbonitride (SiOCN), etc.), and may have a single-layer structure or a multi-layer structure including a plurality of dielectric layers. The width of gate spacers 38 may range between about 1 nanometer and about 3 nanometers.

根據本公開的部分實施例,執行蝕刻製程(以下稱為源極/汲極凹陷)以蝕刻未被虛設閘極堆疊30和閘極間隔物38覆蓋之突出的鰭片24'的部分,從而得到第4圖所示的結構。相應的製程在第17圖所示的製造流程200中繪示為步驟210。此凹陷製程可以是各向異性的,因此,位於虛設閘極堆疊30和閘極間隔物38正下方之突出的鰭 片24'的部分被保護,並且未被蝕刻。根據部分實施例,凹陷的半導體條24的頂表面可以低於淺溝槽隔離區域22的頂表面22A。由蝕刻部分之突出的鰭片24'而留下的空間被稱為凹槽40。在蝕刻過程中,不蝕刻介電質虛設鰭片25'。例如,可以使用三氟化氮(NF3)和氨(NH3)的混合物、氫氟酸(HF)和氨(NH3)的混合物等來蝕刻突出的鰭片24'。 According to some embodiments of the present disclosure, an etch process (hereinafter referred to as source/drain recess) is performed to etch the portion of the protruding fin 24' not covered by the dummy gate stack 30 and gate spacer 38, resulting in The structure shown in Figure 4. The corresponding process is shown as step 210 in the manufacturing process 200 shown in FIG. 17 . This recessing process may be anisotropic, so that the portion of the protruding fin 24' located directly below the dummy gate stack 30 and gate spacer 38 is protected and not etched. According to some embodiments, the top surfaces of the recessed semiconductor strips 24 may be lower than the top surfaces 22A of the shallow trench isolation regions 22 . The space left by the etched portion of the protruding fin 24 ′ is called a groove 40 . During the etching process, the dielectric dummy fins 25' are not etched. For example, a mixture of nitrogen trifluoride (NF 3 ) and ammonia (NH 3 ), a mixture of hydrofluoric acid (HF) and ammonia (NH 3 ), or the like may be used to etch the protruding fins 24 ′.

接下來,透過從凹槽40選擇性地生長半導體材料來形成磊晶區域(源極/汲極區域)42,得到第5A圖的結構。相應的製程在第17圖所示的製造流程200中繪示為步驟212。根據部分實施例,磊晶區域42包含矽鍺、矽、矽碳等。取決於所得的鰭式場效應電晶體是p型鰭式場效應電晶體還是n型鰭式場效應電晶體,隨著磊晶的進行,可以原位摻雜p型或n型雜質。例如,當所得的鰭式場效應電晶體是p型鰭式場效應電晶體時,可以生長矽鍺硼(SiGeB)、矽硼(SiB)、鍺硼(GeB)等。相反地,當所得的鰭式場效應電晶體是n型鰭式場效應電晶體時,可以生長矽磷(SiP)、矽碳磷(SiCP)等。根據本公開的其他實施例,磊晶區域42由III-V族化合物半導體(例如,砷化鎵(GaAs)、磷化銦(InP)、氮化鎵(GaN)、砷化銦鎵(InGaAs)、砷化銦鋁(InAlAs)、銻化鎵(GaSb)、銻化鋁(AlSb)、砷化鋁(AlAs)、磷化鋁(AlP)、磷化鎵(GaP)、其組合或多其層)形成。在磊晶區域42完全地填充凹槽40之後,磊晶區域42開始水平地擴展, 並且可以形成晶面(facet)。 Next, epitaxial regions (source/drain regions) 42 are formed by selectively growing semiconductor material from the recesses 40, resulting in the structure of FIG. 5A. The corresponding process is shown as step 212 in the manufacturing process 200 shown in FIG. 17 . According to some embodiments, the epitaxial region 42 includes silicon germanium, silicon, silicon carbon, or the like. Depending on whether the resulting finFET is a p-type or n-type finFET, p-type or n-type impurities can be doped in-situ as epitaxy proceeds. For example, when the resulting fin field effect transistor is a p-type fin field effect transistor, silicon germanium boron (SiGeB), silicon boron (SiB), germanium boron (GeB), etc. may be grown. Conversely, when the resulting fin field effect transistor is an n-type fin field effect transistor, silicon phosphorus (SiP), silicon carbon phosphorus (SiCP), and the like may be grown. According to other embodiments of the present disclosure, the epitaxial region 42 is formed of a III-V compound semiconductor (eg, gallium arsenide (GaAs), indium phosphide (InP), gallium nitride (GaN), indium gallium arsenide (InGaAs) , indium aluminum arsenide (InAlAs), gallium antimonide (GaSb), aluminum antimonide (AlSb), aluminum arsenide (AlAs), aluminum phosphide (AlP), gallium phosphide (GaP), combinations or layers thereof )form. After the epitaxial region 42 completely fills the groove 40, the epitaxial region 42 begins to expand horizontally, And a facet can be formed.

第5B圖繪示根據本公開之其他實施例中源極/汲極區域42的形成。根據這些實施例,如第4圖所繪示之突出的鰭片24'沒有被凹陷,並且磊晶區域41生長在突出的鰭片24'上。取決於所得的鰭式場效應電晶體是p型還是n型鰭式場效應電晶體,磊晶區域41的材料可以類似於第5A圖所示的磊晶區域42的材料。因此,源極/汲極區域42包含突出的鰭片24'和磊晶區域41。可以(或可以不)進行佈植製程以佈植n型雜質或p型雜質。 FIG. 5B illustrates the formation of source/drain regions 42 in accordance with other embodiments of the present disclosure. According to these embodiments, the protruding fins 24' as shown in FIG. 4 are not recessed, and the epitaxial regions 41 are grown on the protruding fins 24'. Depending on whether the resulting finFET is p-type or n-type finFET, the material of epitaxial region 41 may be similar to that of epitaxial region 42 shown in FIG. 5A. Accordingly, source/drain regions 42 include protruding fins 24 ′ and epitaxial regions 41 . The implantation process may (or may not) be performed to implant n-type impurities or p-type impurities.

第6圖繪示在形成接觸蝕刻停止層(Contact Etch Stop Layer,CESL)46和層間介電層(Inter-Layer Dielectric,ILD)48之後的結構的透視圖。相應的製程在第17圖所示的製造流程200中繪示為步驟214。接觸蝕刻停止層46可以由氮化矽、碳氮化矽等形成。例如,可以使用諸如原子層沉積或化學氣相沉積的保形沉積方法來形成接觸蝕刻停止層46。層間介電層48可以包含使用諸如可流動化學氣相沉積、旋轉塗佈、化學氣相沉積或其他沉積方法形成的介電質材料。層間介電層48也可以由含氧的介電質材料製成,此材料可以是基於氧化矽的材料(例如,氧化矽、磷矽酸鹽玻璃(Phospho-Silicate Glass,PSG)、硼矽酸鹽玻璃(Boro-Silicate Glass,BSG)、摻硼磷矽酸鹽玻璃(Boron-Doped Phospho-Silicate Glass,BPSG)等)。執行諸如化學機械平坦化(Chemical Mechanical Polish,CMP)製程或機械研磨製程之類的平坦化製程,以使層間介電層48、虛設閘極堆疊30和閘極間隔物38的頂表面彼此齊平。 FIG. 6 is a perspective view of the structure after forming a Contact Etch Stop Layer (CESL) 46 and an Inter-Layer Dielectric (ILD) 48 . The corresponding process is shown as step 214 in the manufacturing process 200 shown in FIG. 17 . Contact etch stop layer 46 may be formed of silicon nitride, silicon carbonitride, or the like. For example, contact etch stop layer 46 may be formed using conformal deposition methods such as atomic layer deposition or chemical vapor deposition. The interlayer dielectric layer 48 may comprise a dielectric material formed using methods such as flowable chemical vapor deposition, spin coating, chemical vapor deposition, or other deposition methods. The interlayer dielectric layer 48 may also be made of an oxygen-containing dielectric material, which may be a silicon oxide-based material (eg, silicon oxide, Phospho-Silicate Glass (PSG), borosilicate glass) Salt glass (Boro-Silicate Glass, BSG), boron-doped phosphosilicate glass (Boron-Doped Phospho-Silicate Glass, BPSG), etc.). perform tasks such as chemical mechanical planarization A planarization process such as a Polish, CMP) process or a mechanical polishing process to make the top surfaces of the interlayer dielectric layer 48 , the dummy gate stack 30 and the gate spacer 38 flush with each other.

第7A圖繪示在形成閘極隔離區域50之後晶片10的一部分的平面圖(俯視圖),此閘極隔離區域50有時被稱為切割多晶矽(Cut-Poly,CPO)區域。相應的製程在第17圖所示的製造流程200中繪示為步驟216。相應的製程也可以被稱為切割多晶矽製程。圖示中繪示了突出的鰭片24'、介電質虛設鰭片25'、虛設閘極堆疊30和閘極間隔物38。突出的鰭片24'可以在虛設閘極堆疊30的正下方,並且源極/汲極區域42形成在虛設閘極堆疊30之間。可以理解,從相鄰之突出的鰭片24'生長的源極/汲極區域42可能會被合併(為了清楚起見在第7A圖中未繪示)。突出的鰭片24'為在X方向上具有長度方向的長條。虛設閘極堆疊30為在Y方向上具有長度方向的長條。 7A shows a plan view (top view) of a portion of wafer 10 after forming gate isolation regions 50, which are sometimes referred to as cut-poly (CPO) regions. The corresponding process is shown as step 216 in the manufacturing process 200 shown in FIG. 17 . The corresponding process may also be referred to as a dicing polysilicon process. Protruding fins 24', dielectric dummy fins 25', dummy gate stacks 30, and gate spacers 38 are shown. The protruding fins 24 ′ may be directly below the dummy gate stacks 30 , and the source/drain regions 42 are formed between the dummy gate stacks 30 . It will be appreciated that source/drain regions 42 grown from adjacent protruding fins 24' may be merged (not shown in Figure 7A for clarity). The protruding fins 24' are elongated strips having a length in the X direction. The dummy gate stack 30 is a long strip with a length in the Y direction.

第7B圖繪示從第7A圖中的參考橫截面7B-7B獲得的橫截面圖。形成閘極隔離區域50以將長的虛設閘極堆疊30分成較短的部分,使得較短的虛設閘極堆疊30可以作為不同的鰭式場效應電晶體的虛設閘極堆疊。應當理解,在所示的示例實施例中,在形成替代閘極堆疊之前形成閘極隔離區域50。在其他實施例中,也可以在形成替代閘極堆疊之後形成閘極隔離區域50,並且因此替代閘極堆疊被閘極隔離區域50切割。根據部分實施例,閘極隔離區域50的形成包含形成蝕刻遮罩(例如,圖案化的光阻), 其中要形成閘極隔離區域50(第7A圖)的區域透過蝕刻遮罩中的開口露出。蝕刻遮罩中的開口在部分的虛設鰭片25'的正上方。然後,蝕刻透過蝕刻遮罩暴露之部分的虛設閘極堆疊30。從第7B圖可以看出,可以在暴露出虛設鰭片25'之後停止蝕刻。接下來,移除蝕刻遮罩,並且沉積介電質材料以填充虛設閘極堆疊30中的開口。 Figure 7B shows a cross-sectional view obtained from reference cross-section 7B-7B in Figure 7A. The gate isolation region 50 is formed to divide the long dummy gate stack 30 into shorter sections so that the shorter dummy gate stack 30 can serve as a dummy gate stack for different finFETs. It should be appreciated that in the example embodiment shown, the gate isolation region 50 is formed prior to forming the replacement gate stack. In other embodiments, the gate isolation region 50 may also be formed after the replacement gate stack is formed, and thus the replacement gate stack is cut by the gate isolation region 50 . According to some embodiments, the formation of the gate isolation region 50 includes forming an etch mask (eg, a patterned photoresist), The region where gate isolation region 50 (FIG. 7A) is to be formed is exposed through openings in the etch mask. The opening in the etch mask is directly above a portion of the dummy fin 25'. Then, the portion of the dummy gate stack 30 exposed through the etch mask is etched. As can be seen in Figure 7B, the etching can be stopped after exposing the dummy fins 25'. Next, the etch mask is removed, and a dielectric material is deposited to fill the openings in the dummy gate stack 30 .

根據部分實施例,使用諸如原子層沉積的保形沉積方法來執行介電質材料的沉積,其中原子層沉積可以是電漿增強原子層沉積(Plasma-Enhanc Atomic Layer Deposition,PEALD)、熱原子層沉積等。介電質材料可以由氮化矽(SiN)、氧化矽(SiO2)、氧碳化矽(SiOC)、氧碳氮化矽(SiOCN)等或其組合形成或包含氮化矽(SiN)、氧化矽(SiO2)、氧碳化矽(SiOC)、氧碳氮化矽(SiOCN)等。根據部分實施例,介電質材料包含氮化矽(SiN),並且使用包含二氯甲矽烷(SiH2Cl2)和氨氣(NH3)的製程氣體來執行沉積。也可以添加氫氣(H2)。可以使用電漿增強原子層沉積在約450℃至約650℃之間的溫度下進行沉積製程。在沉積製程之後,執行諸如化學機械平坦化製程或機械研磨製程的平坦化製程。介電質材料的剩餘部分是閘極隔離區域50。縫隙51可以形成在閘極隔離區域的中間(如第7A圖和第7B圖所示)。縫隙51的寬度可以在大約0.5奈米和大約2奈米之間的範圍內。 According to some embodiments, the deposition of the dielectric material is performed using a conformal deposition method such as atomic layer deposition, which may be Plasma-Enhanc Atomic Layer Deposition (PEALD), thermal atomic layer deposition deposition, etc. The dielectric material may be formed of silicon nitride (SiN), silicon oxide (SiO 2 ), silicon oxycarbide (SiOC), silicon oxycarbonitride (SiOCN), etc., or a combination thereof, or include silicon nitride (SiN), oxide Silicon (SiO 2 ), silicon oxycarbide (SiOC), silicon oxycarbonitride (SiOCN), etc. According to some embodiments, the dielectric material includes silicon nitride (SiN), and the deposition is performed using a process gas including dichlorosilane (SiH 2 Cl 2 ) and ammonia (NH 3 ). Hydrogen (H 2 ) can also be added. The deposition process may be performed at a temperature between about 450°C and about 650°C using plasma enhanced atomic layer deposition. After the deposition process, a planarization process such as a chemical mechanical planarization process or a mechanical polishing process is performed. The remainder of the dielectric material is the gate isolation region 50 . The slit 51 may be formed in the middle of the gate isolation region (as shown in FIGS. 7A and 7B ). The width of the slit 51 may be in a range between about 0.5 nanometers and about 2 nanometers.

第8A圖繪示形成鰭片隔離區域54的平面圖,鰭 片隔離區域54有時被稱為OD邊緣上切割多晶矽(Cut-Poly on OD Edge,CPODE)區域。相應的製程在第17圖所示的製造流程200中繪示為步驟218。相應的製程也可以被稱為CPODE製程。鰭片隔離區域54將長的突出的鰭片24'分為多個較短的部分,使得多個較短的突出的鰭片24'可以作為不同的鰭式場效應電晶體的主動區域(例如,通道)。鰭片隔離區域54還可將相鄰的鰭式場效應電晶體的源極/汲極區域彼此分開。 FIG. 8A shows a plan view of forming the fin isolation region 54, the fin Die isolation region 54 is sometimes referred to as a Cut-Poly on OD Edge (CPODE) region. The corresponding process is shown as step 218 in the manufacturing process 200 shown in FIG. 17 . The corresponding process may also be referred to as a CPODE process. The fin isolation region 54 divides the long protruding fins 24' into a plurality of shorter sections so that the plurality of shorter protruding fins 24' can serve as active regions for different finFETs (eg, aisle). Fin isolation regions 54 may also separate the source/drain regions of adjacent FinFETs from each other.

第8B圖繪示從第8A圖中的參考橫截面8B-8B獲得的橫截面圖。根據部分實施例,鰭片隔離區域54的形成包含形成蝕刻遮罩,並使用此蝕刻遮罩來蝕刻虛設閘極堆疊30。在蝕刻製程中,首先各向異性地蝕刻虛設閘極堆疊30,直到暴露出下面突出的鰭片24'。可以在淺溝槽隔離區域22上停止蝕刻。然後蝕刻突出的鰭片24',並且蝕刻繼續向下進入下面的半導體條24,並且進一步向下進入在下面的半導體基材20的主體部分。淺溝槽隔離區域22作為蝕刻遮罩以定義所形成的開口的圖案。接下來,將介電質材料沉積到透過蝕刻製程而形成的開口中,然後進行平坦化製程以移除介電質材料的多餘部分。剩餘的介電質材料形成鰭片隔離區域54。 Figure 8B shows a cross-sectional view obtained from reference cross-section 8B-8B in Figure 8A. According to some embodiments, forming the fin isolation region 54 includes forming an etch mask and using the etch mask to etch the dummy gate stack 30 . In the etching process, the dummy gate stack 30 is first etched anisotropically until the underlying protruding fins 24' are exposed. Etching may be stopped on shallow trench isolation regions 22 . The protruding fins 24 ′ are then etched, and the etching continues down into the underlying semiconductor strips 24 , and further down into the bulk portion of the underlying semiconductor substrate 20 . The shallow trench isolation regions 22 serve as etch masks to define the pattern of openings formed. Next, a dielectric material is deposited into the openings formed by the etching process, followed by a planarization process to remove excess portions of the dielectric material. The remaining dielectric material forms fin isolation regions 54 .

根據部分實施例,(在形成鰭片隔離區域54之前或之後)形成介電質遮罩52以保護層間介電層48。介電質遮罩52的形成可以包含使層間介電層48凹陷,並且用介電質材料填充所形成的凹槽。介電質遮罩52可以由氮化 矽(SiN)、氧化矽(SiO2)、氧碳化矽(SiOC)、氧碳氮化矽(SiOCN)等形成或包含氮化矽(SiN)、氧化矽(SiO2)、氧碳化矽(SiOC)、氧碳氮化矽(SiOCN)等。介電質遮罩52的材料可以與鰭片隔離區域54的材料相同或不同。 According to some embodiments, a dielectric mask 52 is formed (before or after the fin isolation regions 54 are formed) to protect the interlayer dielectric layer 48 . Formation of the dielectric mask 52 may include recessing the interlayer dielectric layer 48 and filling the formed recesses with a dielectric material. The dielectric mask 52 may be formed of silicon nitride (SiN), silicon oxide (SiO 2 ), silicon oxycarbide (SiOC), silicon oxycarbonitride (SiOCN), etc. or include silicon nitride (SiN), silicon oxide (SiO 2 ), silicon oxycarbide (SiOC), silicon oxycarbonitride (SiOCN), etc. The material of the dielectric mask 52 may be the same as or different from the material of the fin isolation regions 54 .

根據部分實施例,使用諸如原子層沉積的保形沉積製程來執行隔離區域54的介電質材料的沉積,其中原子層沉積製程可以是電漿增強原子層沉積、熱原子層沉積等。介電質材料可以由氮化矽(SiN)、氧化矽(SiO2)、氧碳化矽(SiOC)、氧碳氮化矽(SiOCN)等或其組合形成或者包含氮化矽(SiN)、氧化矽(SiO2)、氧碳化矽(SiOC)、氧碳氮化矽(SiOCN)。鰭片隔離區域54可以由均質材料形成,或者可以具有包含多於一層的複合結構。例如,第8B圖繪示鰭片隔離區域54可以包含介電質襯墊54',其可以由諸如氧化矽形成。根據部分實施例,隔離區域54的介電質材料包含氮化矽(SiN),並且使用包含二氯甲矽烷和氨的製程氣體來執行沉積。可以添加或不添加氫氣(H2)。可以使用電漿增強原子層沉積在約450℃至約650℃之間的溫度下進行沉積製程。如第8A圖和第8B圖所示,縫隙55可以形成在鰭片隔離區域54的中間。縫隙55的寬度可在約0.5奈米與約2奈米之間的範圍內。在第8B圖中,標記淺溝槽隔離區域的頂表面22A和底表面22B以說明淺溝槽隔離區域22在何處。 According to some embodiments, deposition of the dielectric material of isolation regions 54 is performed using a conformal deposition process such as atomic layer deposition, which may be plasma enhanced atomic layer deposition, thermal atomic layer deposition, or the like. The dielectric material may be formed of silicon nitride (SiN), silicon oxide (SiO 2 ), silicon oxycarbide (SiOC), silicon oxycarbonitride (SiOCN), etc., or a combination thereof, or include silicon nitride (SiN), oxide Silicon (SiO 2 ), silicon oxycarbide (SiOC), silicon oxycarbonitride (SiOCN). The fin isolation region 54 may be formed of a homogeneous material, or may have a composite structure comprising more than one layer. For example, FIG. 8B shows that the fin isolation region 54 may include a dielectric liner 54', which may be formed of, for example, silicon oxide. According to some embodiments, the dielectric material of the isolation region 54 includes silicon nitride (SiN), and the deposition is performed using a process gas including dichlorosilane and ammonia. Hydrogen ( H2 ) may or may not be added. The deposition process may be performed at a temperature between about 450°C and about 650°C using plasma enhanced atomic layer deposition. As shown in FIGS. 8A and 8B , the slit 55 may be formed in the middle of the fin isolation region 54 . The width of the slit 55 may be in a range between about 0.5 nanometers and about 2 nanometers. In FIG. 8B, the top surface 22A and bottom surface 22B of the shallow trench isolation region are labeled to illustrate where the shallow trench isolation region 22 is.

第9圖和第10圖繪示替代閘極堆疊62的形成。 透過蝕刻移除虛設閘極堆疊30(如第8B圖所示),並且形成溝槽56(如第9圖所示)。相應的製程在第17圖所示的製造流程200中繪示為步驟220。接下來,如第10圖所示,形成(替換的)閘極堆疊62,其包含閘極介電質層58和閘極電極60。相應的製程在第17圖所示的製造流程200中繪示為步驟222。閘極堆疊62的形成包含形成/沉積多個層,然後執行諸如化學機械平坦化製程或機械研磨製程的平坦化製程。根據本公開的部分實施例,每個閘極介電質層58包含界面層(Interfacial Layer,IL)作為其下部位。界面層形成在突出的鰭片24'之暴露的表面上。界面層可以包含氧化層(例如,氧化矽層),其透過熱氧化製程或化學氧化製程來氧化每個突出的鰭片24'的表面層而形成,或者透過沉積製程而形成。閘極介電質層58中的每一個還可包含形成在界面層上方的高介電常數介電質層。高介電常數介電層可以包含高介電常數介電質材料(例如,二氧化鉿(HfO2)、二氧化鋯(ZrO2)、氧化鋯鉿(HfZrOx)、氧化矽鉿(HfSiOx)、氮氧化矽鉿(HfSiON)、氧化矽鋯(ZrSiOx)、氧化矽鋯鉿(HfZrSiOx)、氧化鋁(Al2O3)、氧化鋁鉿(HfAlOx)、氮化鋁鉿(HfAlN)、氧化鋁鋯(ZrAlOx)、氧化鑭(La2O3)、氧化鈦(TiO2)、氧化鐿(Yb2O3)、氮化矽等)。高介電常數介電質材料的介電常數(k值)高於3.9,並且可以高於約7.0。高介電常數介電質層可以形成為保形層,並且在突出的鰭片24'的側壁和閘極間隔物38的側壁上延伸。 閘極介電質層58也在介電質虛設鰭片25'的某些部分的頂表面和側壁上延伸,然而如果是透過熱氧化形成界面層,則在介電質虛設鰭片25'上可能不會形成界面層。根據本公開的部分實施例,使用原子層沉積、化學氣相沉積等形成閘極介電質層58中的高介電常數介電層。 FIGS. 9 and 10 illustrate the formation of the alternate gate stack 62 . Dummy gate stack 30 is removed by etching (shown in FIG. 8B ), and trenches 56 are formed (shown in FIG. 9 ). The corresponding process is shown as step 220 in the manufacturing process 200 shown in FIG. 17 . Next, as shown in FIG. 10 , a (alternative) gate stack 62 is formed, which includes gate dielectric layer 58 and gate electrode 60 . The corresponding process is shown as step 222 in the manufacturing process 200 shown in FIG. 17 . Formation of the gate stack 62 includes forming/depositing multiple layers and then performing a planarization process such as a chemical mechanical planarization process or a mechanical polishing process. According to some embodiments of the present disclosure, each gate dielectric layer 58 includes an interface layer (IL) as its lower portion. An interface layer is formed on the exposed surfaces of the protruding fins 24'. The interface layer may include an oxide layer (eg, a silicon oxide layer) formed by oxidizing the surface layer of each protruding fin 24' through a thermal oxidation process or a chemical oxidation process, or by a deposition process. Each of the gate dielectric layers 58 may also include a high-k dielectric layer formed over the interface layer. The high-k dielectric layer may include a high-k dielectric material (eg, hafnium dioxide (HfO 2 ), zirconium dioxide (ZrO 2 ), zirconium hafnium oxide (HfZrO x ), hafnium silicon oxide (HfSiO x ) ), hafnium silicon oxynitride (HfSiON), zirconium silicon oxide (ZrSiO x ), hafnium silicon zirconium oxide (HfZrSiO x ), aluminum oxide (Al 2 O 3 ), hafnium aluminum oxide (HfAlO x ), hafnium aluminum nitride (HfAlN) ), aluminum oxide zirconium (ZrAlO x ), lanthanum oxide (La 2 O 3 ), titanium oxide (TiO 2 ), ytterbium oxide (Yb 2 O 3 ), silicon nitride, etc.). The dielectric constant (k value) of high-k dielectric materials is higher than 3.9, and may be higher than about 7.0. The high-k dielectric layer may be formed as a conformal layer and extend over the sidewalls of the protruding fins 24 ′ and the sidewalls of the gate spacers 38 . The gate dielectric layer 58 also extends over the top surface and sidewalls of certain portions of the dielectric dummy fins 25', however if the interfacial layer is formed by thermal oxidation, then over the dielectric dummy fins 25' An interfacial layer may not be formed. According to some embodiments of the present disclosure, the high-k dielectric layer in gate dielectric layer 58 is formed using atomic layer deposition, chemical vapor deposition, or the like.

閘極電極60形成在閘極介電質層58的頂部位,並填充由移除的虛設閘極堆疊而留下的溝槽的剩餘部分。在圖示中並未單獨地繪示出閘極電極60中的子層,然而由於子層的組成不同,因此它們可彼此區分。可以使用諸如原子層沉積或化學氣相沉積之類的保形沉積方法來執行至少下部位的子層的沉積,使得閘極電極60(以及每個子層)的垂直部分的厚度和水平部分的厚度為彼此實質上相等。 Gate electrode 60 is formed on top of gate dielectric layer 58 and fills the remainder of the trench left by the removed dummy gate stack. The sub-layers in the gate electrode 60 are not individually shown in the figures, however, they can be distinguished from each other due to the different compositions of the sub-layers. The deposition of at least the lower portion of the sublayers may be performed using a conformal deposition method such as atomic layer deposition or chemical vapor deposition such that the thickness of the vertical portion and the thickness of the horizontal portion of the gate electrode 60 (and each sublayer) are are substantially equal to each other.

閘極電極60中的子層可以包含但不限於氮化鈦矽(TiSN)層、氮化鉭(TaN)層、氮化鈦(TiN)層、鈦鋁(TiAl)層、附加的氮化鈦(TiN)和/或氮化鉭(TaN)層以及填充金屬區域。以下,將閘極電極60稱為金屬閘極60。這些子層中的一些子層定義了各自的鰭式場效應電晶體的功函數。此外,p型鰭式場效應電晶體的金屬層和n型鰭式場效應電晶體的金屬層可以彼此不同,使得金屬層的功函數適合於相應的p型或n型鰭式場效應電晶體。填充金屬可以包含鎢、鈷等。 Sublayers in gate electrode 60 may include, but are not limited to, titanium silicon nitride (TiSN) layers, tantalum nitride (TaN) layers, titanium nitride (TiN) layers, titanium aluminum (TiAl) layers, additional titanium nitride layers (TiN) and/or tantalum nitride (TaN) layers and fill metal regions. Hereinafter, the gate electrode 60 is referred to as a metal gate 60 . Some of these sublayers define the work function of the respective finFET. In addition, the metal layer of the p-type finFET and the metal layer of the n-type finFET may be different from each other, so that the work function of the metal layer is suitable for the corresponding p-type or n-type finFET. The filler metal may contain tungsten, cobalt, or the like.

第11圖繪示諸如透過蝕刻製程使替代閘極堆疊62凹陷,因此再次形成了溝槽56的頂部位。相應的製程在第17圖所示的製造流程200中繪示為步驟224。 FIG. 11 shows that the replacement gate stack 62 is recessed, such as by an etch process, thus again forming the top of the trench 56 . The corresponding process is shown as step 224 in the manufacturing process 200 shown in FIG. 17 .

接下來,如第12圖所示,形成有時被稱為自對準接觸(Self-Aligned Contact,SAC)填充層66的介電質硬遮罩66。相應的製程在第17圖所示的製造流程200中繪示為步驟226。介電質硬遮罩66可以由氮化矽(SiN)、氧化矽(SiO2)、氧碳化矽(SiOC)、氧碳氮化矽(SiOCN)等或其組合形成或包含氮化矽(SiN)、氧化矽(SiO2)、氧碳化矽(SiOC)、氧碳氮化矽(SiOCN)等。根據部分實施例,介電質材料包含氮化矽(SiN),並且使用包含二氯甲矽烷和氨的製程氣體透過電漿增強原子層沉積進行沉積。可以添加或不添加氫氣(H2)。可以使用電漿增強原子層沉積在約350℃至約550℃之間的溫度下進行沉積製程。在沉積製程之後,執行平坦化製程。介電質材料的剩餘部分是介電質硬遮罩66。可以形成縫隙67。縫隙67的寬度可以在大約0.5奈米和大約2奈米之間的範圍內。當在如第8A圖所示的晶片10的俯視圖中觀察時,介電質硬遮罩66與所示的虛設閘極堆疊30位於相同的位置,並且縫隙67位於在虛設閘極堆疊30相對側上的閘極間隔物38之間的中間。 Next, as shown in FIG. 12, a dielectric hard mask 66, sometimes referred to as a Self-Aligned Contact (SAC) fill layer 66, is formed. The corresponding process is shown as step 226 in the manufacturing process 200 shown in FIG. 17 . The dielectric hard mask 66 may be formed of silicon nitride (SiN), silicon oxide (SiO 2 ), silicon oxycarbide (SiOC), silicon oxycarbonitride (SiOCN), etc., or a combination thereof, or include silicon nitride (SiN) ), silicon oxide (SiO 2 ), silicon oxycarbide (SiOC), silicon oxycarbonitride (SiOCN), etc. According to some embodiments, the dielectric material includes silicon nitride (SiN) and is deposited by plasma enhanced atomic layer deposition using a process gas including dichlorosilane and ammonia. Hydrogen ( H2 ) may or may not be added. The deposition process may be performed at a temperature between about 350°C and about 550°C using plasma enhanced atomic layer deposition. After the deposition process, a planarization process is performed. The remainder of the dielectric material is the dielectric hard mask 66 . A slit 67 may be formed. The width of the slit 67 may range between about 0.5 nanometers and about 2 nanometers. When viewed in a top view of wafer 10 as shown in FIG. 8A , dielectric hard mask 66 is located at the same location as dummy gate stack 30 as shown, and slot 67 is located on the opposite side of dummy gate stack 30 on the middle between the gate spacers 38 .

可以調節諸如溫度、沉積速率等的製程條件以使介電質硬遮罩66、鰭片隔離區域54和閘極隔離區域50彼此不同。例如,根據部分實施例,鰭片隔離區域54的密度可以高於介電質硬遮罩66的密度,並且介電質硬遮罩66的密度可以進一步高於閘極隔離區域50的密度。 Process conditions such as temperature, deposition rate, etc. can be adjusted to make the dielectric hard mask 66, the fin isolation region 54 and the gate isolation region 50 different from each other. For example, according to some embodiments, the density of fin isolation regions 54 may be higher than the density of dielectric hard mask 66 , and the density of dielectric hard mask 66 may be further higher than the density of gate isolation regions 50 .

參照第13圖,使介電質硬遮罩66和鰭片隔離區 域54凹陷以分別形成凹槽68A和68B(將它們統稱為凹槽68)。相應的製程在第17圖所示的製造流程200中繪示為步驟228。不在圖示平面中的閘極隔離區域50也可能被凹陷。可以透過凹陷製程移除介電質遮罩52。根據部分實施例,在共同的蝕刻製程中執行介電質硬遮罩66和鰭片隔離區域54的凹陷。根據其他實施例,在不同的蝕刻製程中執行介電質硬遮罩66的凹陷和鰭片隔離區域54的凹陷。根據部分實施例,不使襯墊54'凹陷。根據其他實施例,使襯墊54'凹陷,例如,線54'S繪示當使襯墊54'凹陷時襯墊54'的頂表面可能的位置。 Referring to Figure 13, make the dielectric hard mask 66 and the fin isolation region Domains 54 are recessed to form grooves 68A and 68B, respectively (collectively, grooves 68). The corresponding process is shown as step 228 in the manufacturing process 200 shown in FIG. 17 . Gate isolation regions 50 that are not in the plane shown may also be recessed. The dielectric mask 52 may be removed through a recess process. According to some embodiments, the recessing of the dielectric hard mask 66 and the fin isolation regions 54 is performed in a common etch process. According to other embodiments, the recessing of the dielectric hard mask 66 and the recessing of the fin isolation regions 54 are performed in different etch processes. According to some embodiments, the pad 54' is not recessed. According to other embodiments, the pad 54' is recessed, eg, line 54'S depicts a possible location of the top surface of the pad 54' when the pad 54' is recessed.

根據部分實施例,鰭片隔離區域54的底部位處於受控制的高度(例如,位於低於虛線57的高度),其中,使虛線57與突出的鰭片24'的頂表面的距離D1小於約50奈米或小於約20奈米。凹槽68A的底部位也可以位於比替代閘極堆疊62的頂表面低、在替代閘極堆疊62的頂表面與突出的鰭片24'的頂表面之間(或與之齊平)、或低於突出的鰭片24'的頂表面的任何高度。鰭片隔離區域54可以凹陷到低於介電質硬遮罩66。凹槽68A也可以比凹槽68B深。在凹陷之後,可能還是存在縫隙55和67。 According to some embodiments, the bottom of the fin isolation region 54 is at a controlled height (eg, at a lower height than the dashed line 57 ), wherein the distance D1 between the dashed line 57 and the top surface of the protruding fin 24 ′ is made less than about 50 nm or less than about 20 nm. The bottom of recess 68A may also be located lower than the top surface of replacement gate stack 62, between (or flush with) the top surface of replacement gate stack 62 and the top surface of protruding fin 24', or Any height below the top surface of the protruding fins 24'. The fin isolation regions 54 may be recessed below the dielectric hard mask 66 . Grooves 68A may also be deeper than grooves 68B. After recessing, gaps 55 and 67 may still be present.

蝕刻製程可以包含濕式蝕刻製程或乾式蝕刻製程。例如,當使用乾式蝕刻製程時,蝕刻氣體可以使用含碳和氟的氣體(CxFy-based)(例如,四氟化碳(CF4))、乙烷(C2H6)等。溫度可以在約25℃至約300℃之間的範圍內。蝕刻持續時間可以在約5秒至約300秒之間的 範圍內。當使用濕式蝕刻製程時,可以使用磷酸(H3PO4)。在蝕刻中,溫度可以在約150℃至約200℃之間的範圍內。蝕刻持續時間可以在約50秒至約2,000秒之間的範圍內。可以透過控制蝕刻時間來控制凹槽68的期望深度。根據部分實施例,鰭片隔離區域54的蝕刻速率可以大於介電質硬遮罩66的蝕刻速率,並且介電質硬遮罩66的蝕刻速率可以進一步大於閘極隔離區域50的蝕刻速率。 The etching process may include a wet etching process or a dry etching process. For example, when a dry etching process is used, the etching gas may use a gas containing carbon and fluorine (C x F y -based) (eg, carbon tetrafluoride (CF 4 )), ethane (C 2 H 6 ), and the like. The temperature may range between about 25°C to about 300°C. Etch durations may range between about 5 seconds to about 300 seconds. When a wet etching process is used, phosphoric acid (H 3 PO 4 ) can be used. In etching, the temperature may range between about 150°C to about 200°C. Etch durations may range between about 50 seconds to about 2,000 seconds. The desired depth of the grooves 68 can be controlled by controlling the etching time. According to some embodiments, the etch rate of fin isolation regions 54 may be greater than the etch rate of dielectric hard mask 66 , and the etch rate of dielectric hard mask 66 may be further greater than the etch rate of gate isolation regions 50 .

在蝕刻製程期間,層間介電層48和閘極間隔物38旨在不被蝕刻。例如,蝕刻選擇性ER50-54-66/ER48和蝕刻選擇性ER50-54-66/ER38可以大於約10,其中ER48是層間介電層48的蝕刻速率,ER38是閘極間隔物38的蝕刻速率,而ER50-54-66是閘極隔離區域50、鰭片隔離區域54和介電質硬遮罩66的蝕刻速率。因此,通常層間介電層48和閘極間隔物38不會被蝕刻。然而,隨著介電質硬遮罩66的凹陷,可能還會發生閘極間隔物38從其側壁被蝕刻的情況,並且由於閘極間隔物38很薄,因此在部分實施例中,閘極間隔物38也會被凹陷。在這些實施例中,凹陷的閘極間隔物38的頂表面可以被繪示為38TS,其低於層間介電層48的頂表面。頂表面38TS可以是傾斜的。替代閘極堆疊62的相對側上的閘極間隔物38可以是對稱的或者可以是不對稱的。 During the etching process, the interlayer dielectric layer 48 and the gate spacer 38 are not intended to be etched. For example, the etch selectivity ER 50-54-66 /ER 48 and the etch selectivity ER 50-54-66 /ER 38 can be greater than about 10, where ER 48 is the etch rate of the interlayer dielectric 48 and ER 38 is the gate The etch rate of spacer 38 , and ER 50 - 54 - 66 are the etch rates of gate isolation region 50 , fin isolation region 54 , and dielectric hard mask 66 . Therefore, typically the interlayer dielectric layer 48 and gate spacer 38 are not etched. However, as the dielectric hard mask 66 is recessed, it may also occur that the gate spacers 38 are etched from the sidewalls thereof, and since the gate spacers 38 are thin, in some embodiments, the gate spacers 38 are thin. Spacers 38 are also recessed. In these embodiments, the top surface of the recessed gate spacer 38 may be depicted as 38TS, which is lower than the top surface of the interlayer dielectric layer 48 . The top surface 38TS may be inclined. The gate spacers 38 on opposite sides of the alternate gate stack 62 may be symmetrical or may be asymmetrical.

第14圖繪示介電質區域70A和70B(統稱為介電質區域70)的形成。相應的製程在第17圖所示的製造流程200中繪示為步驟230。此外,縫隙71A和71B(統 稱為縫隙71)分別地形成在介電質區域70A和70B中。同時,介電質區域(70C,第16A圖和第16B圖)形成在凹陷的閘極隔離區域50的頂部位上,並且介電質區域70C和下面的閘極隔離區域50可以具有與介電質區域70B和介電質硬遮罩66相似的輪廓。可以在共同的沉積製程中形成介電質區域70A、70B和70C,此共同的沉積製程可以包含諸如原子層沉積或化學氣相沉積的保形沉積製程,接著是共同的平坦化製程。介電質區域70A和下面剩餘的鰭片隔離區域54組合形成隔離區域75。 FIG. 14 illustrates the formation of dielectric regions 70A and 70B (collectively referred to as dielectric regions 70). The corresponding process is shown as step 230 in the manufacturing process 200 shown in FIG. 17 . In addition, the slits 71A and 71B (generally Referred to as slits 71), are formed in dielectric regions 70A and 70B, respectively. Meanwhile, a dielectric region ( 70C, FIGS. 16A and 16B ) is formed on top of the recessed gate isolation region 50 , and the dielectric region 70C and the underlying gate isolation region 50 may have the same Substance region 70B and dielectric hard mask 66 have similar profiles. Dielectric regions 70A, 70B, and 70C may be formed in a common deposition process, which may include a conformal deposition process such as atomic layer deposition or chemical vapor deposition, followed by a common planarization process. Dielectric region 70A and the remaining fin isolation region 54 below combine to form isolation region 75 .

縫隙71A和71B的寬度可以在大約0.5奈米和大約2奈米之間的範圍內。根據部分實施例,介電質區域70由氮化矽(SiN)、氧化矽(SiO2)、氧碳化矽(SiOC)、氧碳氮化矽(SiOCN)等或其組合的材料形成或者包含氮化矽(SiN)、氧化矽(SiO2)、氧碳化矽(SiOC)、氧碳氮化矽(SiOCN)。再者,介電質區域70的材料可以與下面的介電質硬遮罩66、閘極隔離區域50和/或鰭片隔離區域54的材料相同或不同。在介電質區域70和下面的介電質硬遮罩66、閘極隔離區域50和鰭片隔離區域54之間界面(例如,所標示的54S和66S)可能是可以區分的,也可能是不可以區分的(例如,在穿透式電子顯微鏡(Transmission Electron Microscopy,TEM image)中是可以區分的或者不可以區分的),不論它們是由相同的材料形成還是由不同的材料形成。例如,當介電質硬遮罩66、閘極隔離區域50和鰭片隔離區域54由 氮化矽(SiN)形成時,介電質硬遮罩66、閘極隔離區域50和鰭片隔離區域54的表面層可以在自然氧化中被氧化形成薄的氧氮化矽(SiON)界面層。第14圖繪示示例性的界面層,其也被標記為66S和54S。根據部分實施例,界面層66S和54S在橫截面圖中具有U形。 The widths of slits 71A and 71B may range between about 0.5 nanometers and about 2 nanometers. According to some embodiments, the dielectric region 70 is formed of materials such as silicon nitride (SiN), silicon oxide ( SiO2 ), silicon oxycarbide (SiOC), silicon oxycarbonitride (SiOCN), the like, or a combination thereof, or includes nitrogen Silicon oxide (SiN), silicon oxide (SiO 2 ), silicon oxycarbide (SiOC), silicon oxycarbonitride (SiOCN). Furthermore, the material of dielectric region 70 may be the same or different from the material of underlying dielectric hard mask 66 , gate isolation region 50 and/or fin isolation region 54 . The interface between dielectric region 70 and underlying dielectric hardmask 66, gate isolation region 50, and fin isolation region 54 (eg, 54S and 66S as indicated) may be distinguishable or may be Indistinguishable (eg, distinguishable or indistinguishable in a Transmission Electron Microscopy (TEM image)), whether they are formed from the same material or from different materials. For example, when dielectric hard mask 66 , gate isolation region 50 and fin isolation region 54 are formed of silicon nitride (SiN), dielectric hard mask 66 , gate isolation region 50 and fin isolation region The surface layer of 54 can be oxidized in natural oxidation to form a thin silicon oxynitride (SiON) interface layer. Figure 14 depicts exemplary interface layers, also labeled 66S and 54S. According to some embodiments, the interface layers 66S and 54S have a U-shape in cross-sectional view.

如第14圖所示,介電質區域70A的底部位將上面的縫隙71A與下面的縫隙55分開。介電質區域70B的底部位將相應之上面的縫隙71B與相應之下面的縫隙67分開。介電質區域70A的底部位的寬度W1可以在大約12奈米至大約16奈米之間的範圍內,此寬度W1明顯地大於寬度W2(寬度W2可以在大約0.5奈米至大約2奈米之間的範圍內)。介電質區域70A的底部位的高度H1可以在大約5奈米與大約20奈米之間的範圍內。 As shown in FIG. 14, the bottom portion of the dielectric region 70A separates the upper slit 71A from the lower slit 55. The bottom bit of the dielectric region 70B separates the corresponding upper slit 71B from the corresponding lower slit 67 . The width W1 of the bottom bit of the dielectric region 70A may be in the range of about 12 nm to about 16 nm, and the width W1 may be significantly larger than the width W2 (the width W2 may be in the range of about 0.5 nm to about 2 nm). range between). The height H1 of the bottom bit of the dielectric region 70A may be in a range between about 5 nanometers and about 20 nanometers.

第15圖繪示鰭式場效應電晶體的附加特徵的形成。相應的製程在第17圖所示的製造流程200中繪示為步驟232。例如,閘極接觸塞74形成在閘極電極60上方並與閘極電極60接觸。形成源極/汲極矽化物區域76和源極/汲極接觸塞78以電連接到源極/汲極區域42。從而形成鰭式場效應電晶體80A和80B。可以理解的是,例如,在用於形成閘極接觸塞74和源極/汲極接觸塞78的平坦化製程和蝕刻製程中,可以移除介電質區域70A和70B的頂部位。縫隙71B可能與相應之介電質區域70B的頂部位一起被完全地移除,而縫隙71A可能被縮短。 Figure 15 illustrates the formation of additional features of a finFET. The corresponding process is shown as step 232 in the manufacturing process 200 shown in FIG. 17 . For example, gate contact plug 74 is formed over and in contact with gate electrode 60 . Source/drain silicide regions 76 and source/drain contact plugs 78 are formed to electrically connect to source/drain regions 42 . Thus, fin field effect transistors 80A and 80B are formed. It will be appreciated that the top bits of dielectric regions 70A and 70B may be removed, for example, during the planarization and etch processes used to form gate contact plugs 74 and source/drain contact plugs 78 . Gap 71B may be completely removed along with the top bits of the corresponding dielectric region 70B, while gap 71A may be shortened.

第16A圖繪示根據部分實施例中晶片10的一部 分的俯視圖。在第16A圖中,繪示一些閘極接觸塞74和源極/汲極接觸塞78,然而亦可以形成更多的閘極接觸塞和源極/汲極接觸塞。在第16A圖中繪示如第15圖所示的介電質區域70A和70B。此外,還繪示與介電質區域70A和70B在相同的沉積製程中形成的介電質區域70C。應當理解,在俯視圖中,介電質區域70A、70B和70C可以形成連續的區域,並且在它們之間沒有可區別的界面。換句話說,當從晶片10的頂部位觀察時,在以相同製程形成的介電質區域70A、70B和70C之間沒有可區別的界面。因此,在區域73中沒有可區別的界面。根據其他實施例,可以在第15圖所示的過程中完全地移除介電質區域70C,因此,在第16A圖和第16B圖中,不會留下介電質區域70C。相反地,閘極隔離區域50將是可見的。 FIG. 16A depicts a portion of wafer 10 in accordance with some embodiments Top view of points. In FIG. 16A, some gate contact plugs 74 and source/drain contact plugs 78 are shown, however more gate contact plugs and source/drain contact plugs may be formed. Dielectric regions 70A and 70B as shown in FIG. 15 are shown in FIG. 16A. In addition, dielectric region 70C is shown formed in the same deposition process as dielectric regions 70A and 70B. It should be understood that in top view, the dielectric regions 70A, 70B and 70C may form continuous regions with no distinguishable interface between them. In other words, when viewed from the top of wafer 10, there are no distinguishable interfaces between dielectric regions 70A, 70B, and 70C formed by the same process. Therefore, there is no distinguishable interface in region 73 . According to other embodiments, the dielectric region 70C may be completely removed in the process shown in FIG. 15, thus, in FIGS. 16A and 16B, the dielectric region 70C is not left behind. Instead, the gate isolation region 50 will be visible.

第16B圖繪示第16A圖中的區域84的透視圖。在所示的實施例中,在透視圖中繪示在淺溝槽隔離區域22上方之部分的鰭片隔離區域54以及在鰭片隔離區域54上方的介電質區域70A,並且縫隙67和71A也被繪示出。在其他實施例中,鰭片隔離區域54在第16B圖中不可見,因為介電質區域70A延伸到淺溝槽隔離區域22的頂表面。圖示中亦繪示介電質區域70B和70C。 Figure 16B shows a perspective view of the area 84 in Figure 16A. In the illustrated embodiment, portions of fin isolation region 54 over shallow trench isolation region 22 and dielectric region 70A over fin isolation region 54 are shown in perspective view, and slits 67 and 71A is also depicted. In other embodiments, fin isolation region 54 is not visible in FIG. 16B because dielectric region 70A extends to the top surface of shallow trench isolation region 22 . Dielectric regions 70B and 70C are also shown in the figure.

本公開的實施例具有一些特徵。透過使閘極隔離區域、鰭片隔離區域和介電質硬遮罩凹陷,可以在所得的凹槽中形成附加的介電質區域。在閘極隔離區域、鰭片隔離區域和介電質硬遮罩中的縫隙可以被密封。否則,長的縫 隙可能會被分為較短的上部位和下部位。這會減少由縫隙引起的問題。 Embodiments of the present disclosure have several features. By recessing the gate isolation regions, fin isolation regions, and dielectric hardmask, additional dielectric regions can be formed in the resulting recesses. The gaps in the gate isolation region, the fin isolation region and the dielectric hard mask can be sealed. Otherwise, long seams The gap may be divided into shorter upper and lower parts. This reduces problems caused by gaps.

根據本公開的部分實施例,一種方法包含:形成半導體鰭片,此半導體鰭片突出於隔離區域的頂表面之上,其中,隔離區域延伸到半導體基材中;蝕刻半導體鰭片的一部分以形成溝槽,其中此溝槽延伸到低於隔離區域的底表面,並延伸到半導體基材中;使用第一介電質材料填充溝槽以形成第一鰭片隔離區域;使第一鰭片隔離區域凹陷以形成第一凹槽;使用第二介電質材料填充第一凹槽,其中,第一介電質材料和第二介電質材料組合形成第二鰭片隔離區域。在一些實施例中,第一介電質材料包含第一縫隙,而第二介電質材料包含與第一縫隙重疊的第二縫隙。在一些實施例中,此方法更包含移除包含第二縫隙的第二介電質材料的頂部位,其中保留沒有第二縫隙的第二介電質材料的底部位。在一些實施例中,第一介電質材料與第二介電質材料相同。在一些實施例中,此方法更包含在半導體鰭片上形成閘極堆疊;並且形成閘極隔離區域,以將閘極堆疊分為第一部分和第二部分,其中當第一鰭片隔離區域被凹陷時,閘極隔離區域也被凹陷以形成第二凹槽,並使用第二介電質材料填充第二凹槽。在一些實施例中,此方法更包含在半導體鰭片上形成替代閘極堆疊;使替代閘極堆疊凹陷;並且在替代閘極堆疊上方形成介電質硬遮罩並使替代閘極堆疊與介電質硬遮罩接觸,其中,當第一鰭片隔離區域被凹陷時,介電質硬遮罩也被凹陷以形成附 加的凹槽,並且將第二介電質材料填充到附加的凹槽中。在一些實施例中,在第一鰭片隔離區域被凹陷之後,第一鰭片隔離區域之剩餘部分的頂表面低於半導體鰭片的另一頂表面。 According to some embodiments of the present disclosure, a method includes: forming a semiconductor fin projecting above a top surface of an isolation region, wherein the isolation region extends into a semiconductor substrate; and etching a portion of the semiconductor fin to form a trench, wherein the trench extends below the bottom surface of the isolation region and into the semiconductor substrate; fills the trench with a first dielectric material to form a first fin isolation region; isolates the first fin The region is recessed to form a first recess; the first recess is filled with a second dielectric material, wherein the first dielectric material and the second dielectric material combine to form a second fin isolation region. In some embodiments, the first dielectric material includes a first slit, and the second dielectric material includes a second slit overlapping the first slit. In some embodiments, the method further includes removing the top bits of the second dielectric material including the second slits, wherein the bottom bits of the second dielectric material without the second slits remain. In some embodiments, the first dielectric material is the same as the second dielectric material. In some embodiments, the method further includes forming a gate stack on the semiconductor fin; and forming a gate isolation region to divide the gate stack into a first portion and a second portion, wherein when the first fin isolation region is recessed , the gate isolation region is also recessed to form a second recess, and the second recess is filled with a second dielectric material. In some embodiments, the method further includes forming a replacement gate stack on the semiconductor fin; recessing the replacement gate stack; and forming a dielectric hard mask over the replacement gate stack and connecting the replacement gate stack with the dielectric A hard mask contact, wherein when the first fin isolation region is recessed, the dielectric hard mask is recessed to form an attached The additional grooves are filled, and the second dielectric material is filled into the additional grooves. In some embodiments, after the first fin isolation region is recessed, the top surface of the remaining portion of the first fin isolation region is lower than another top surface of the semiconductor fin.

根據本公開的部分實施例,元件包含半導體基材;隔離區域延伸到半導體基材中;以及介電質區域從高於隔離區域的頂表面的第一高度延伸到低於隔離區域的底表面的第二高度,其中,介電質區域包含在其中具有第一縫隙的下部位;以及在其中具有第二縫隙的上部位,其中第一縫隙透過介電區域的上部位的底部位與第二縫隙間隔開。在一些實施例中,下部位和上部位之間具有可區分的界面。在一些實施例中,下部位和上部位由相同的材料形成,並且可區分的界面包含界面層,並且界面層包含相同的材料和氧氣。在一些實施例中,第二縫隙與第一縫隙重疊。在一些實施例中,此方法更包含具有與同一直線對齊的長度方向的第一突出的半導體鰭片和第二突出的半導體鰭片,其中,介電質區域將第一突出的半導體鰭片與第二突出的半導體鰭片分開。在一些實施例中,此元件更包含第一鰭式場效應電晶體,此第一鰭式場效應電晶體包含第一突出的半導體鰭片和第一源極/汲極區域,其中第一源極/汲極區域在第一突出的半導體鰭片和介電質區域之間;以及第二鰭式場效應電晶體包含第二突出的半導體鰭片和第二源極/汲極區域,其中第二源極/汲極區域在第二突出的半導體鰭片和介電質區域之間。在一些實施例中,此元件更包 含在第一突出的半導體鰭片上的閘極堆疊;以及在閘極堆疊上方的介電質硬遮罩,其包含其中具有第三縫隙之附加的下部位;以及在附加的下部位之上並與之接觸之附加的上部位。在一些實施例中,附加的上部位沒有縫隙。 According to some embodiments of the present disclosure, an element includes a semiconductor substrate; an isolation region extends into the semiconductor substrate; and a dielectric region extends from a first height above a top surface of the isolation region to a height below a bottom surface of the isolation region a second height, wherein the dielectric region includes a lower portion having a first slit therein; and an upper portion having a second slit therein, wherein the first slit penetrates a bottom portion of the upper portion of the dielectric region and the second slit spaced apart. In some embodiments, there is a distinguishable interface between the lower portion and the upper portion. In some embodiments, the lower portion and the upper portion are formed of the same material, and the distinguishable interface comprises an interfacial layer, and the interfacial layer comprises the same material and oxygen. In some embodiments, the second slit overlaps the first slit. In some embodiments, the method further includes a first protruding semiconductor fin and a second protruding semiconductor fin having lengthwise aligned with the same line, wherein the dielectric region connects the first protruding semiconductor fin to the The second protruding semiconductor fins are separated. In some embodiments, the device further includes a first finFET including a first protruding semiconductor fin and a first source/drain region, wherein the first source/drain a drain region between the first protruding semiconductor fin and the dielectric region; and a second finFET including a second protruding semiconductor fin and a second source/drain region, wherein the second source The /drain region is between the second protruding semiconductor fin and the dielectric region. In some embodiments, this element further includes a gate stack contained on the first protruding semiconductor fin; and a dielectric hard mask over the gate stack including an additional lower portion having a third slit therein; and over the additional lower portion and The additional upper part with which it comes into contact. In some embodiments, the additional upper portion has no gaps.

根據本公開的部分實施例,一種元件包含基材;延伸到基材中的隔離區域;從隔離區域的頂表面向上延伸的半導體鰭片;延伸到半導體鰭片中的第一磊晶半導體區域和第二磊晶半導體區域;橫向地在第一磊晶半導體區域和第二磊晶半導體區域之間的第一介電質區域;以及在第一介電質區域上方的第二介電質區域,其中第二介電質區域包含與第一介電質區域的頂表面接觸的U形底部位。在一些實施例中,第一介電質區域和第二介電質區域包含相同的介電質材料。在一些實施例中,第一介電質區域和第二介電質區域分別包含第一縫隙和第二縫隙,並且第一縫隙透過第二介電質區域的一部分與第二縫隙分開。在一些實施例中,第一縫隙延伸到U形底部位。在一些實施例中,第二介電質區域的底表面低於第一磊晶半導體區域的另一頂表面。 According to some embodiments of the present disclosure, an element includes a substrate; an isolation region extending into the substrate; a semiconductor fin extending upwardly from a top surface of the isolation region; a first epitaxial semiconductor region extending into the semiconductor fin, and a second epitaxial semiconductor region; a first dielectric region laterally between the first epitaxial semiconductor region and the second epitaxial semiconductor region; and a second dielectric region over the first dielectric region, Wherein the second dielectric region includes a U-shaped bottom site in contact with the top surface of the first dielectric region. In some embodiments, the first dielectric region and the second dielectric region comprise the same dielectric material. In some embodiments, the first dielectric region and the second dielectric region include a first slit and a second slit, respectively, and the first slit is separated from the second slit by a portion of the second dielectric region. In some embodiments, the first slit extends to the bottom of the U-shape. In some embodiments, the bottom surface of the second dielectric region is lower than the other top surface of the first epitaxial semiconductor region.

前述概述了幾個實施例的特徵,使得本領域具通常知識者可以更好地理解本公開的各方面。本領域具通常知識者應該理解,他們可以容易地將本公開作為設計或修改其他過程和結構的基礎,以實現與本文介紹的實施例相同的目的和/或實現相同的益處。本領域具通常知識者還應該理解到,這樣的等效構造並不脫離本公開的精神和範圍, 並且在不脫離本公開的精神和範圍的情況下,它們可以進行各種改變、替換和變更。 The foregoing has outlined features of several embodiments so that those of ordinary skill in the art may better understand various aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same benefits of the embodiments described herein. It should also be understood by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the present disclosure, And they can be made various changes, substitutions and alterations without departing from the spirit and scope of the present disclosure.

10:晶片 10: Wafer

20:基材 20: Substrate

22A:頂表面 22A: Top surface

22B:底表面 22B: Bottom surface

24':突出的鰭片 24': Protruding fins

42:磊晶區域 42: Epitaxy area

46:接觸蝕刻停止層 46: Contact etch stop layer

48:層間介電層 48: Interlayer dielectric layer

54:鰭片隔離區域 54: Fin isolation area

54S:界面層 54S: Interface layer

55:縫隙 55: Gap

58:閘極介電質層 58: gate dielectric layer

60:閘極電極 60: Gate electrode

62:閘極堆疊 62: Gate stack

66:介電質硬遮罩 66: Dielectric hard mask

67:縫隙 67: Gap

70A:介電質區域 70A: Dielectric area

70B:介電質區域 70B: Dielectric region

71A:縫隙 71A: Gap

74:閘極接觸塞 74: Gate contact plug

75:隔離區域 75: Quarantine area

76:源極/汲極矽化物區域 76: source/drain silicide region

78:源極/汲極接觸塞 78: source/drain contact plug

80A:鰭式場效應電晶體 80A: Fin Field Effect Transistor

80B:鰭式場效應電晶體 80B: Fin Field Effect Transistor

Claims (10)

一種半導體元件的製造方法,包含:形成突出高於複數個隔離區域的複數個頂表面的一半導體鰭片,其中該些隔離區域延伸到一半導體基材中;蝕刻該半導體鰭片的一部分以形成一溝槽,其中該溝槽延伸到低於該些隔離區域的複數個底表面,並延伸到該半導體基材中;使用一第一介電質材料填充該溝槽以形成一第一鰭片隔離區域;凹陷該第一鰭片隔離區域以形成一第一凹槽;以及使用一第二介電質材料填充該第一凹槽,其中該第一介電質材料和該第二介電質材料組合形成一第二鰭片隔離區域。 A method of manufacturing a semiconductor device, comprising: forming a semiconductor fin protruding above a plurality of top surfaces of a plurality of isolation regions, wherein the isolation regions extend into a semiconductor substrate; etching a portion of the semiconductor fin to form a trench, wherein the trench extends below the bottom surfaces of the isolation regions and into the semiconductor substrate; filling the trench with a first dielectric material to form a first fin isolation region; recessing the first fin isolation region to form a first groove; and filling the first groove with a second dielectric material, wherein the first dielectric material and the second dielectric The materials combine to form a second fin isolation region. 根據請求項1所述的方法,其中該第一介電質材料包含一第一縫隙,並且該第二介電質材料包含與該第一縫隙重疊的一第二縫隙。 The method of claim 1, wherein the first dielectric material includes a first slit, and the second dielectric material includes a second slit overlapping the first slit. 根據請求項1所述的方法,更包含:形成一閘極堆疊於該半導體鰭片上;以及形成一閘極隔離區域以將該閘極堆疊分成一第一部分和一第二部分,其中當該第一鰭片隔離區域被凹陷時,該閘極隔離區域也被凹陷以形成一第二凹槽,並且將該第二介電質材料填充到該第二凹槽中。 The method of claim 1, further comprising: forming a gate stack on the semiconductor fin; and forming a gate isolation region to divide the gate stack into a first portion and a second portion, wherein when the first portion When a fin isolation region is recessed, the gate isolation region is also recessed to form a second groove, and the second dielectric material is filled into the second groove. 根據請求項1所述的方法,其中在使該第一鰭片隔離區域凹陷之後,該第一鰭片隔離區域的一剩餘部分的一頂表面低於該半導體鰭片的一另一頂表面。 The method of claim 1, wherein after recessing the first fin isolation region, a top surface of a remaining portion of the first fin isolation region is lower than another top surface of the semiconductor fin. 一種半導體元件,包含:一半導體基材;複數個隔離區域,延伸到該半導體基材中;以及一介電質區域,包含:一下部位,在該下部位中具有一第一縫隙;以及一上部位,在該上部位中具有一第二縫隙,其中該第一縫隙透過該介電質區域的該上部位的一底部位與該第二縫隙間隔開,且該介電質區域的該上部位中的該第一縫隙重疊於該介電質區域的該下部位中的該第二縫隙。 A semiconductor element, comprising: a semiconductor substrate; a plurality of isolation regions extending into the semiconductor substrate; and a dielectric region including: a lower portion having a first slit in the lower portion; and an upper portion a portion having a second slit in the upper portion, wherein the first slit is spaced apart from the second slit through a bottom portion of the upper portion of the dielectric region, and the upper portion of the dielectric region The first slit in the dielectric region overlaps the second slit in the lower portion of the dielectric region. 根據請求項5所述的半導體元件,其中該下部位和該上部位之間具有一可區分的界面。 The semiconductor device of claim 5, wherein a distinguishable interface is provided between the lower portion and the upper portion. 根據請求項5所述的半導體元件,更包含:一第一突出的半導體鰭片和一第二突出的半導體鰭片,該第一突出的半導體鰭片和該第二突出的半導體鰭片的長度方向對準同一直線,其中,該介電質區域將該第一突出的半導體鰭片與該第二突出的半導體鰭片分開。 The semiconductor device according to claim 5, further comprising: a first protruding semiconductor fin and a second protruding semiconductor fin, the lengths of the first protruding semiconductor fin and the second protruding semiconductor fin The directions are aligned on the same line, wherein the dielectric region separates the first protruding semiconductor fin from the second protruding semiconductor fin. 一種半導體元件,包含:一基材;複數個隔離區域,延伸到該基材中;一半導體鰭片,從該些隔離區域的複數個頂表面向上延伸;一第一磊晶半導體區域和一第二磊晶半導體區域,延伸到該半導體鰭片中;一第一介電質區域,橫向地在該第一磊晶半導體區域和該第二磊晶半導體區域之間;以及一第二介電質區域,在該第一介電質區域上,其中該第二介電質區域包含與該第一介電質區域的一頂表面接觸的一U形底部位。 A semiconductor device, comprising: a substrate; a plurality of isolation regions extending into the substrate; a semiconductor fin extending upward from a plurality of top surfaces of the isolation regions; a first epitaxial semiconductor region and a first Two epitaxial semiconductor regions extending into the semiconductor fin; a first dielectric region laterally between the first epitaxial semiconductor region and the second epitaxial semiconductor region; and a second dielectric region region, on the first dielectric region, wherein the second dielectric region includes a U-shaped bottom site in contact with a top surface of the first dielectric region. 根據請求項8所述的半導體元件,其中該第一介電質區域和該第二介電質區域包含一相同的介電質材料。 The semiconductor device of claim 8, wherein the first dielectric region and the second dielectric region comprise a same dielectric material. 根據請求項8所述的半導體元件,其中該第一介電質區域和該第二介電質區域分別地包含一第一縫隙和一第二縫隙,並且該第一縫隙與該第二縫隙透過該第二介電質區域的一部分間隔開。 The semiconductor device according to claim 8, wherein the first dielectric region and the second dielectric region respectively comprise a first slit and a second slit, and the first slit and the second slit pass through A portion of the second dielectric region is spaced apart.
TW109142247A 2020-04-28 2020-12-01 Semiconductor device and manufacturing method thereof TWI755178B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063016495P 2020-04-28 2020-04-28
US63/016,495 2020-04-28
US16/933,386 2020-07-20
US16/933,386 US11437277B2 (en) 2020-04-28 2020-07-20 Forming isolation regions for separating fins and gate stacks

Publications (2)

Publication Number Publication Date
TW202141590A TW202141590A (en) 2021-11-01
TWI755178B true TWI755178B (en) 2022-02-11

Family

ID=78222754

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109142247A TWI755178B (en) 2020-04-28 2020-12-01 Semiconductor device and manufacturing method thereof

Country Status (4)

Country Link
US (2) US11437277B2 (en)
KR (1) KR102437246B1 (en)
DE (1) DE102020120265A1 (en)
TW (1) TWI755178B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11532628B2 (en) * 2021-02-26 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US20230282483A1 (en) * 2022-03-03 2023-09-07 Intel Corporation Gate cut structures formed before dummy gate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201616581A (en) * 2014-10-17 2016-05-01 台灣積體電路製造股份有限公司 Semiconductor device and method for forming the same
TW202013510A (en) * 2018-09-27 2020-04-01 台灣積體電路製造股份有限公司 Method of fabricating finfet

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9012284B2 (en) 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
US9953975B2 (en) * 2013-07-19 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming STI regions in integrated circuits
US9159552B2 (en) 2013-12-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a germanium-containing FinFET
US9190496B2 (en) 2014-01-23 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
KR102524806B1 (en) 2016-08-11 2023-04-25 삼성전자주식회사 Semiconductor device including a contact structure
KR102549340B1 (en) 2016-09-27 2023-06-28 삼성전자주식회사 Semiconductor device and method for fabricating the same
KR102291559B1 (en) * 2017-06-09 2021-08-18 삼성전자주식회사 semiconductor device
US10522409B2 (en) 2017-08-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with dummy fin structure and method for forming the same
KR102472136B1 (en) 2018-03-12 2022-11-30 삼성전자주식회사 Integrated circuit device
KR102402763B1 (en) 2018-03-27 2022-05-26 삼성전자주식회사 Semiconductor device
US11056399B2 (en) * 2019-04-10 2021-07-06 International Business Machines Corporation Source and drain EPI protective spacer during single diffusion break formation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201616581A (en) * 2014-10-17 2016-05-01 台灣積體電路製造股份有限公司 Semiconductor device and method for forming the same
TW202013510A (en) * 2018-09-27 2020-04-01 台灣積體電路製造股份有限公司 Method of fabricating finfet

Also Published As

Publication number Publication date
KR102437246B1 (en) 2022-08-26
DE102020120265A1 (en) 2021-10-28
US11437277B2 (en) 2022-09-06
US20210335670A1 (en) 2021-10-28
KR20210133854A (en) 2021-11-08
TW202141590A (en) 2021-11-01
US20220359299A1 (en) 2022-11-10

Similar Documents

Publication Publication Date Title
TWI677019B (en) Semiconductor device and methods forming same
US11251284B2 (en) Dummy gate cutting process and resulting gate structures
US11171236B2 (en) Cut-fin isolation regions and method forming same
US20210376073A1 (en) Confined source/drain epitaxy regions and method forming same
US11626506B2 (en) Reducing pattern loading in the etch-back of metal gate
KR102334898B1 (en) Residue removal in metal gate cutting process
US20220359299A1 (en) Forming Isolation Regions for Separating Fins and Gate Stacks
US20210175125A1 (en) Slot Contacts and Method Forming Same
CN112750771A (en) Fin end gate structure and method of forming the same
US20230290780A1 (en) Semiconductor device structure and methods of forming the same
KR102434063B1 (en) Formation of hybrid isolation regions therough recess and re-deposition
US20220059685A1 (en) Cut-Fin Isolation Regions and Method Forming Same
CN113130394A (en) Semiconductor device and method for manufacturing the same
TWI778507B (en) Semiconductor device and method for forming the same
TWI773241B (en) Semiconductor devices and methods for forming the same
US20230163186A1 (en) Epitaxial features in semiconductor devices and manufacturing method of the same
TW202316514A (en) Method of forming semiconductor device