TWI747998B - Ion filter - Google Patents

Ion filter Download PDF

Info

Publication number
TWI747998B
TWI747998B TW106139940A TW106139940A TWI747998B TW I747998 B TWI747998 B TW I747998B TW 106139940 A TW106139940 A TW 106139940A TW 106139940 A TW106139940 A TW 106139940A TW I747998 B TWI747998 B TW I747998B
Authority
TW
Taiwan
Prior art keywords
substrate
orifice plate
plasma
orifice
providing
Prior art date
Application number
TW106139940A
Other languages
Chinese (zh)
Other versions
TW201826387A (en
Inventor
雷斯利 麥可 莉亞
林內爾 馬丁內斯
麥可 摩根
羅素 威斯特曼
Original Assignee
美商帕斯馬舍門有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商帕斯馬舍門有限責任公司 filed Critical 美商帕斯馬舍門有限責任公司
Publication of TW201826387A publication Critical patent/TW201826387A/en
Application granted granted Critical
Publication of TWI747998B publication Critical patent/TWI747998B/en

Links

Images

Abstract

The present invention provides a method for using ion filtering to adjust the number of ions delivered to a substrate. The method comprising a process chamber being provided that is operatively connected to a plasma source. The substrate is provided on a substrate support that is provided within the process chamber. An electrical bias source is provided that is operatively connected to an aperture plate that is provided in the process chamber. The substrate on the substrate support is processed using a plasma generated using the plasma source. A variable bias voltage from the electrical bias source is applied to the aperture plate during the plasma processing of the substrate. The plasma processing of the substrate can further comprise exposing the substrate to a plasma time division multiplex process which alternates between deposition and etching on the substrate.

Description

離子過濾器 Ion filter

本發明涉及帶電粒子源領域,包括用於直接蝕刻和沉積的電漿源、用於離子束沉積和蝕刻的寬束離子源以及用於表面調整的電子源。 The invention relates to the field of charged particle sources, including a plasma source for direct etching and deposition, a wide beam ion source for ion beam deposition and etching, and an electron source for surface adjustment.

相關申請案的交叉參考 Cross reference of related applications

本申請案主張於2016年11月18日提交的名稱為“離子過濾器”的共同擁有的美國臨時專利申請案第62/424,360號的優先權並且相關於所述臨時專利申請案,所述臨時專利申請案藉由引用方式而併入於此。 This application claims the priority of the jointly-owned U.S. Provisional Patent Application No. 62/424,360 filed on November 18, 2016 entitled "Ion Filter" and is related to the provisional patent application. The patent application is incorporated herein by reference.

用於蝕刻矽晶圓和其它基板或將各種材料沉積到各種基板上的電漿處理設備對於生產半導體裝置和其它相關系統是非常有效的。在其基本形式中,電漿在源區中由適當的前驅物氣體產生,形成氣體的正離子、電子和中性自由基。在晶圓上,對於蝕刻製程,可能需要主要利用所述中性自由基的更多化學蝕刻或使用加速離子的更多物理蝕刻。在許多情況下,蝕刻需要離子和中性自由基的組合。 Plasma processing equipment used to etch silicon wafers and other substrates or deposit various materials on various substrates is very effective for the production of semiconductor devices and other related systems. In its basic form, the plasma is generated in the source zone by a suitable precursor gas to form positive ions, electrons and neutral radicals of the gas. On the wafer, for the etching process, more chemical etching mainly using the neutral radicals or more physical etching using accelerated ions may be required. In many cases, etching requires a combination of ions and neutral radicals.

電漿可以透過例如電感耦合電漿源(ICP)、電容耦合電漿源(CCP)、微波電漿源或電子迴旋共振源(ECR)的各種手段中產生。通常情況下,為了達到所需目的而產生的電漿不具有理想的離子數量與化學反應性自由基數量的比率,以實現晶圓的最有效的電漿處理。特別是在要求變得更加強 以更快的速率蝕刻材料情況下,僅僅增加流向電漿源的氣體流量和用於使氣體離解和電離的功率層級,導致電漿中相對於中性自由基的數量存在太多離子,並且隨著蝕刻製程的進行,過量的離子可能對正被蝕刻的結構造成損害。 Plasma can be generated by various means such as an inductively coupled plasma source (ICP), a capacitively coupled plasma source (CCP), a microwave plasma source, or an electron cyclotron resonance source (ECR). Under normal circumstances, the plasma generated to achieve the desired purpose does not have an ideal ratio of the number of ions to the number of chemically reactive radicals in order to achieve the most effective plasma processing of the wafer. Especially when it is required to become more intensified and etch materials at a faster rate, only increasing the gas flow to the plasma source and the power level for dissociating and ionizing the gas will result in a plasma relative to neutral free radicals. There are too many ions, and as the etching process progresses, the excess ions may cause damage to the structure being etched.

上述問題在過去二十多年來已經被一些個人和團體在一定程度上解決了,通常是以相當簡單的方式,為其目的提供了足夠的離子數目減少,但是不具有在電漿處理設備中以不同時間執行不同處理的使用靈活性。現有技術方法已經描述了使用由永久磁體或電磁體產生的磁場將離子引導到電漿源和晶圓之間的損耗表面。這些技術依賴於之後與損耗表面相交的磁場線上的電子俘獲。局部產生的電場確保離子也丟失到表面。電磁體的使用增加了該技術的靈活性,因為可以對離子損失的程度進行一些調整。基於磁體的技術在可以使用的壓力範圍內受到限制,因為隨著壓力的增加,電子不會保持被困在磁場線上的可能性增加,因為它們與中性氣體原子或分子碰撞,並且隨機地穿過場線。在10至20毫托(mTorr)的壓力下,該技術變得不那麼有效。參見例如Lea等人的美國專利公開案(美國專利公開案第2002/0185226號)。 The above-mentioned problems have been solved to a certain extent by some individuals and groups in the past two decades, usually in a fairly simple way, providing sufficient ion number reduction for their purposes, but not in plasma processing equipment. Use flexibility to execute different processes at different times. Prior art methods have described the use of magnetic fields generated by permanent magnets or electromagnets to guide ions to the lossy surface between the plasma source and the wafer. These techniques rely on electron trapping on the magnetic field lines that later intersect the lossy surface. The locally generated electric field ensures that ions are also lost to the surface. The use of electromagnets increases the flexibility of the technique, as some adjustments can be made to the degree of ion loss. Magnet-based technology is limited in the pressure range that can be used, because as the pressure increases, the probability that electrons will not remain trapped on the magnetic field lines increases because they collide with neutral gas atoms or molecules and pass through them randomly. Cutscenes line. At pressures of 10 to 20 millitorr (mTorr), this technique becomes less effective. See, for example, Lea et al., U.S. Patent Publication (U.S. Patent Publication No. 2002/0185226).

在現有技術中更廣泛地描述了使用通常由與電漿製程相容的金屬(例如鋁或陽極氧化鋁)製成的多孔板或網格。該柵格或多孔板被放置在跨越電漿源和晶圓之間的處理腔室。它依賴於離子和電子對損失到板的表面和接點上孔的側邊的高機率。一些中性的自由基會損失到多孔板上,但損失的可能性通常比離子/電子對的損失機率要小得多,所以具有多孔板在適當位置的離子對中性自由基的比例與沒有板的比例相比係減少的。一些現有技術的例子是Savas等人(美國專利案第5,811,022號);Lea等人(美國專利公開案第2002/0185226號);Antonelli等人(美國專利案第8,084,339號);和Martinez等人(美國專利案第8,980,764號)。 The prior art more extensively describes the use of porous plates or grids that are usually made of metals compatible with plasma processes, such as aluminum or anodized aluminum. The grid or multi-well plate is placed across the processing chamber between the plasma source and the wafer. It relies on the high probability of ion and electron pairs being lost to the surface of the board and the sides of the holes in the contacts. Some neutral free radicals will be lost to the porous plate, but the possibility of loss is usually much smaller than the loss probability of ion/electron pairs. Therefore, the ratio of ion to neutral free radicals with the porous plate in the proper position is not The proportion of the board is reduced compared to the system. Some examples of prior art are Savas et al. (U.S. Patent No. 5,811,022); Lea et al. (U.S. Patent Publication No. 2002/0185226); Antonelli et al. (U.S. Patent No. 8,084,339); and Martinez et al. ( U.S. Patent No. 8,980,764).

如果網格的透明度降低,則離子損失會更大。也就是與板的整 個面積相比孔的面積減小了。如果孔縱橫比(深度除以直徑)增加(例如,板較厚和/或孔直徑較小),離子損失也將增加。隨著孔縱橫比的增加,穿過孔的帶電物質增加了與孔的側壁接觸而丟失的可能性。Antonelli等人(美國專利第8,084,339號)顯示了隨著板厚與孔直徑的增加,預期典型的離子損失。 If the transparency of the grid decreases, the ion loss will be greater. That is, the area of the hole is reduced compared to the entire area of the board. If the hole aspect ratio (depth divided by diameter) increases (for example, the plate is thicker and/or the hole diameter is smaller), the ion loss will also increase. As the aspect ratio of the hole increases, the charged material passing through the hole increases the possibility of contact with the sidewall of the hole and be lost. Antonelli et al. (US Patent No. 8,084,339) showed that as the plate thickness and hole diameter increase, typical ion losses are expected.

當電漿源產生隨離子源位置變化的離子與自由基數量比率時,需要在整個晶圓上改變離子與自由基數量的比率,或者反過來在整個晶圓上獲得相同或相似的離子與自由基數量之比率,其中該製程需要根據時間調整離子與自由基數量比率,或者兩者兼而有之。 When the plasma source generates a ratio of the number of ions to the number of radicals that varies with the position of the ion source, the ratio of the number of ions to the number of radicals needs to be changed on the entire wafer, or vice versa to obtain the same or similar ions and free radicals on the entire wafer. The ratio of the number of bases, where the process needs to adjust the ratio of the number of ions to free radicals according to time, or both.

現有技術沒有提供與本發明相伴隨的益處。 The prior art does not provide the benefits accompanying the present invention.

因此,本發明的目的是提供一種改良,其克服了現有技術裝置的不足之處,並且對於使用帶電粒子源的進步作出了重大貢獻。 Therefore, the purpose of the present invention is to provide an improvement that overcomes the shortcomings of the prior art devices and makes a significant contribution to the advancement of the use of charged particle sources.

本發明的另一個目的是提供一種使用離子過濾來調節輸送到基板的離子數量的方法,該方法包括:提供處理腔室;提供可操作地連接到所述處理腔室的電漿源;在所述處理腔室內提供基板支撐件;將所述基板提供到所述基板支撐件上;提供多個電偏壓源;在所述處理腔室中提供孔板,所述孔板具有多個孔板區域,其中所述多個孔板區域中的至少兩個孔板區域可操作地連接到所述多個電偏壓源的個別偏壓(separate bias);使用所述電漿源產生電漿;使用產生的所述電漿處理所述基板支撐件上的所述基板;以及在所述基板的所述電漿處理期間,將來自所述多個電偏壓源的個別偏壓電壓施加到所述多個孔區域中的至少兩個孔區域。 Another object of the present invention is to provide a method for adjusting the amount of ions delivered to a substrate using ion filtering, the method comprising: providing a processing chamber; providing a plasma source operably connected to the processing chamber; A substrate support is provided in the processing chamber; the substrate is provided on the substrate support; a plurality of electric bias sources are provided; an orifice plate is provided in the processing chamber, the orifice plate has a plurality of orifice plates Area, wherein at least two orifice plate areas of the plurality of orifice plate areas are operably connected to separate biases of the plurality of electrical bias voltage sources; the plasma source is used to generate plasma; Using the generated plasma to process the substrate on the substrate support; and during the plasma processing of the substrate, applying individual bias voltages from the plurality of electrical bias sources to all At least two hole regions among the plurality of hole regions.

本發明的另一個目的是提供一種使用離子過濾來調節輸送到基板的離子數量的方法,該方法包括:提供處理腔室;提供可操作地連接到所述處理腔室的電漿源;在所述處理腔室內提供基板支撐件;將所述基板提供到所述基板支撐件上;提供多個電偏壓源;在所述處理腔室中提供多個孔板,所述 多個孔板中的至少一個孔板具有多個孔板區域,其中所述多個孔板區域中的至少兩個孔板區域可操作地連接到多個電偏壓源的個別偏壓;使用所述電漿源產生電漿;使用產生的所述電漿處理所述基板支撐件上的所述基板;以及在所述基板的電漿處理期間,將來自所述多個電偏壓源的個別偏壓電壓施加到所述多個孔板區域中的至少兩個孔板區域。 Another object of the present invention is to provide a method for adjusting the amount of ions delivered to a substrate using ion filtering, the method comprising: providing a processing chamber; providing a plasma source operably connected to the processing chamber; A substrate support is provided in the processing chamber; the substrate is provided on the substrate support; a plurality of electrical bias sources are provided; a plurality of orifice plates are provided in the processing chamber, and the plurality of orifice plates At least one orifice plate of has a plurality of orifice plate areas, wherein at least two of the orifice plate areas of the plurality of orifice plate areas are operably connected to individual bias voltages of a plurality of electrical bias voltage sources; using the plasma source Generating plasma; using the generated plasma to process the substrate on the substrate support; and during the plasma processing of the substrate, applying individual bias voltages from the plurality of electrical bias sources To at least two orifice plate areas among the plurality of orifice plate areas.

本發明的又一個目的是提供一種使用離子過濾來調節輸送到基板的離子的數量的方法,該方法包括:提供處理腔室;提供可操作地連接到所述處理腔室的電漿源;在所述處理腔室內提供基板支撐件;將所述基板提供到所述基板支撐件上;提供電偏壓源;在所述處理腔室中提供孔板,所述孔板可操作地連接到所述電偏壓源;使用所述電漿源產生電漿;使用產生的所述電漿處理所述基板支撐件上的所述基板;以及將來自所述電偏壓源的可變偏壓電壓施加到所述孔板,在所述基板的所述電漿處理期間,所述偏壓電壓隨時間變化。 Another object of the present invention is to provide a method for adjusting the amount of ions delivered to a substrate using ion filtering, the method comprising: providing a processing chamber; providing a plasma source operably connected to the processing chamber; A substrate support is provided in the processing chamber; the substrate is provided on the substrate support; an electric bias source is provided; an orifice plate is provided in the processing chamber, and the orifice plate is operatively connected to the The electric bias source; the plasma source is used to generate plasma; the generated plasma is used to process the substrate on the substrate support; and the variable bias voltage from the electric bias source Applied to the orifice plate, the bias voltage changes with time during the plasma treatment of the substrate.

本發明的另一個目的是提供一種使用離子過濾來調節輸送到基板的離子數量的方法,該方法包括:提供處理腔室;提供可操作地連接到所述處理腔室的電漿源;在所述處理腔室內提供基板支撐件;將所述基板提供到所述基板支撐件上;提供電偏壓源;在所述處理腔室中提供多個孔板,所述多個孔板中的至少一個可操作地連接到所述電偏壓源;使用所述電漿源產生電漿;使用產生的所述電漿處理所述基板支撐件上的所述基板;以及將來自所述電偏壓源的可變偏壓電壓施加到所述多個孔板中的至少一個孔板,所述偏壓電壓在所述基板的所述電漿處理期間隨時間變化。 Another object of the present invention is to provide a method for adjusting the amount of ions delivered to a substrate using ion filtering, the method comprising: providing a processing chamber; providing a plasma source operably connected to the processing chamber; A substrate support is provided in the processing chamber; the substrate is provided on the substrate support; an electric bias source is provided; a plurality of orifice plates are provided in the processing chamber, at least of the plurality of orifice plates One is operatively connected to the electrical bias source; uses the plasma source to generate plasma; uses the generated plasma to process the substrate on the substrate support; A variable bias voltage of the source is applied to at least one of the plurality of orifice plates, and the bias voltage changes with time during the plasma processing of the substrate.

本發明的另一個目的是提供一種使用離子過濾來調節輸送到基板的離子數量的方法,該方法包括:提供處理腔室;提供可操作地連接到所述處理腔室的電漿源;在所述處理腔室內提供基板支撐件;將所述基板提供到所 述基板支撐件上;提供多個電偏壓源;在所述處理腔室中提供孔板,所述孔板具有多個孔板區域,其中所述多個孔板區域中的至少兩個孔板區域可操作地連接到所述多個電偏壓源的個別偏壓;使用所述電漿源產生電漿;使用產生的所述電漿處理所述基板支撐件上的所述基板;以及在所述基板的所述電漿處理期間,將來自所述多個電偏壓源的個別偏壓電壓施加到所述多個孔板區域中的至少兩個孔板區域,至少一個偏壓電壓在所述基板的所述電漿處理期間隨著時間變化。 Another object of the present invention is to provide a method for adjusting the amount of ions delivered to a substrate using ion filtering, the method comprising: providing a processing chamber; providing a plasma source operably connected to the processing chamber; A substrate support is provided in the processing chamber; the substrate is provided on the substrate support; a plurality of electric bias sources are provided; an orifice plate is provided in the processing chamber, the orifice plate has a plurality of orifice plates Area, wherein at least two orifice plate areas of the plurality of orifice plate areas are operably connected to the individual bias voltages of the plurality of electrical bias voltage sources; the plasma source is used to generate plasma; the generated all The plasma processes the substrate on the substrate support; and during the plasma processing of the substrate, individual bias voltages from the plurality of electrical bias sources are applied to the plurality of holes In at least two orifice plate areas in the plate area, at least one bias voltage changes with time during the plasma processing of the substrate.

本發明的又一個目的是提供一種使用離子過濾來調節輸送到基板的離子的數量的方法,該方法包括:提供處理腔室;提供可操作地連接到所述處理腔室的電漿源;在所述處理腔室內提供基板支撐件;將所述基板提供到所述基板支撐件上;提供多個電偏壓源;在所述處理腔室中提供多個孔板,所述多個孔板中的至少一個孔板具有多個孔板區域,其中所述多個孔板區域中的至少兩個孔板區域可操作地連接到所述多個電偏壓源的個別偏壓;使用所述電漿源產生電漿;使用產生的所述電漿處理所述基板支撐件上的所述基板;以及在所述基板的所述電漿處理期間,將來自所述多個電偏壓源的個別偏壓電壓施加到所述多個孔板區域中的至少兩個孔板區域,至少一個偏壓電壓在所述基板的所述電漿處理期間隨著時間變化。 Another object of the present invention is to provide a method for adjusting the amount of ions delivered to a substrate using ion filtering, the method comprising: providing a processing chamber; providing a plasma source operably connected to the processing chamber; A substrate support is provided in the processing chamber; the substrate is provided on the substrate support; a plurality of electric bias sources are provided; a plurality of orifice plates are provided in the processing chamber, the plurality of orifice plates At least one orifice plate in has a plurality of orifice plate regions, wherein at least two of the orifice plate regions in the plurality of orifice plate regions are operably connected to individual bias voltages of the plurality of electrical bias voltage sources; using the The plasma source generates plasma; the generated plasma is used to process the substrate on the substrate support; and during the plasma processing of the substrate, the Individual bias voltages are applied to at least two orifice plate regions of the plurality of orifice plate regions, and at least one bias voltage changes with time during the plasma processing of the substrate.

本發明的另一個目的是提供一種離子過濾系統,包括:處理腔室;電漿源,可操作地連接到所述處理腔室;基板支撐件,位於所述處理腔室內;多個電偏壓源;以及所述處理腔室中的孔板,所述孔板具有多個孔板區域,其中所述多個孔板區域中的至少兩個孔板區域可操作地連接到所述多個電偏壓源的個別偏壓。 Another object of the present invention is to provide an ion filtration system, including: a processing chamber; a plasma source operably connected to the processing chamber; a substrate support member located in the processing chamber; a plurality of electrical biases Source; and an orifice plate in the processing chamber, the orifice plate having a plurality of orifice plate areas, wherein at least two orifice plate areas of the plurality of orifice plate areas are operably connected to the plurality of electrical The individual bias of the bias source.

以上概述了本發明的一些相關目的。這些目的應被解釋為僅僅是說明本發明的一些更突出的特徵和應用。透過以不同的方式應用所公開的發 明或者在本發明公開的範圍內修改本發明,可以獲得許多其他的有益結果。因此,除了結合附圖的申請專利範圍所限定的本發明範圍外,透過參考本發明的概述和優選實施例的詳細描述,可以獲得本發明的其他目的和更全面的理解。 The above summarizes some related objects of the present invention. These purposes should be interpreted as merely illustrating some of the more prominent features and applications of the present invention. Many other beneficial results can be obtained by applying the disclosed invention in a different manner or modifying the invention within the scope of the present disclosure. Therefore, in addition to the scope of the present invention defined by the patent application in conjunction with the accompanying drawings, by referring to the summary of the present invention and the detailed description of the preferred embodiments, other purposes and a more comprehensive understanding of the present invention can be obtained.

這裡描述的本發明提供了比現有技術的基本設計更大的到達晶圓的離子數量的控制。這裡描述的本發明特別適用於深反應離子蝕刻(DRIE),正如通常應用於由德國的羅伯特.博世員工發明的“博世製程”,Laermer和Schilp的美國專利第5,498,312號中所述,以排除其用於其他蝕刻或沉積應用。 The invention described here provides greater control of the number of ions reaching the wafer than the basic design of the prior art. The invention described here is particularly suitable for Deep Reactive Ion Etching (DRIE), as it is usually applied by Robert of Germany. The "Bosch process" invented by Bosch employees, described in Laermer and Schilp's US Patent No. 5,498,312, excludes its use for other etching or deposition applications.

在博世DRIE製程中,透過多次重複蝕刻和沉積製程循環,對由矽表面上的遮罩定義的特徵進行各向異性蝕刻。隨著該製程進行一個循環,在沉積步驟中,在所有表面上沉積鈍化層。在隨後的蝕刻步驟(或者通常被定義為第一蝕刻子步驟)開始時,來自電漿的離子被加速到晶圓並且從將被蝕刻的特徵的基底去除鈍化材料。在剩餘的蝕刻步驟(或第二蝕刻子步驟)中,使用電漿中產生的中性自由基各向同性地蝕刻矽。隨著周期的重複,由遮罩定義的特徵被進一步蝕刻到矽晶圓中。對於該製程的有效操作特別重要的是,在第一蝕刻子步驟中,根據多個參數來很好地定義離子通量,而在第二蝕刻子步驟和沉積步驟中,離子數量應該是少的,因為它們對這些步驟的任何一個步驟都沒有顯著的貢獻,並且如果數量太多,會降低對遮罩的蝕刻選擇性,從而使遮罩被侵蝕得太快,並且該特徵在其已經達到足夠的深度之前會橫向地被定義。 In the Bosch DRIE process, the features defined by the mask on the silicon surface are anisotropically etched through repeated cycles of etching and deposition processes. As the process goes through a cycle, in the deposition step, a passivation layer is deposited on all surfaces. At the beginning of the subsequent etching step (or generally defined as the first etching sub-step), the ions from the plasma are accelerated to the wafer and the passivating material is removed from the base of the feature to be etched. In the remaining etching step (or the second etching sub-step), the neutral radicals generated in the plasma are used to etch silicon isotropically. As the cycle repeats, the features defined by the mask are further etched into the silicon wafer. It is particularly important for the effective operation of the process that in the first etching substep, the ion flux is well defined according to multiple parameters, while in the second etching substep and the deposition step, the number of ions should be small , Because they have no significant contribution to any of these steps, and if the number is too large, it will reduce the etching selectivity of the mask, so that the mask is eroded too fast, and the feature has reached enough The depth of is previously defined horizontally.

關於博世DRIE製程,期望增加孔板上或者孔板或孔板部分之間的孔板偏壓,使得在沉積步驟和第二蝕刻子步驟期間減少到達晶圓的離子的數量並且因此減少遮罩腐蝕,從而增加遮罩整個製程的選擇性。在第一蝕刻子步 驟期間孔板偏壓的層級將被降低,使得足夠數量的離子可被加速到晶圓以實現所需的指向性鈍化去除。對於被劃分成環形孔區域或其他孔區域幾何形狀的離子過濾器,施加到每個扇形區域中的驅動孔板的偏壓可以適當地改變,以在第一蝕刻子步驟期間實現遍布晶圓的高度均勻離子密度,並且在第二蝕刻子步驟和沉積步驟期間晶圓的離子數量也非常少。如果離子過濾器未被分成多個環形孔區域,則仍然可以本發明中描述的方式施加隨時間變化的偏壓,以用於關於博世DRIE製程或需要到達晶圓的離子數量上的時間變化的任何其它製程。 Regarding the Bosch DRIE process, it is desirable to increase the orifice plate bias on the orifice plate or between the orifice plate or orifice plate portions, so as to reduce the number of ions reaching the wafer during the deposition step and the second etching substep and thus reduce the mask corrosion , Thereby increasing the selectivity of the masking process. During the first etching sub-step, the level of the orifice bias voltage will be reduced so that a sufficient number of ions can be accelerated to the wafer to achieve the required directional passivation removal. For ion filters that are divided into annular hole regions or other hole region geometries, the bias voltage applied to the drive orifice plate in each fan-shaped region can be changed appropriately to achieve a spread throughout the wafer during the first etching substep. The ion density is highly uniform, and the number of ions on the wafer during the second etching sub-step and the deposition step is also very small. If the ion filter is not divided into a plurality of annular hole regions, the time-varying bias voltage can still be applied in the manner described in the present invention for the Bosch DRIE process or the time-varying number of ions that need to reach the wafer. Any other manufacturing process.

本發明的另一個特徵是提供一種使用離子過濾來調整輸送到基板的離子數量的方法。該方法包括提供可操作地連接到電漿源的處理腔室。基板設置在處理腔室內提供的基板支撐件上。基板可以進一步包括在框架上的膠帶上的半導體晶圓。提供多個電偏壓源。具有多個孔板區域的孔板設置在處理腔室中,其中多個孔板區域中的至少兩個孔板區域可操作地連接到多個電偏壓源的個別偏壓。孔板可以進一步包括多個孔。多個孔板區域中的至少一個可以進一步包括環形幾何形狀。使用電漿源產生的電漿處理基板支撐件上的基板。在基板的電漿處理期間,將來自多個偏壓源的個別偏壓電壓施加到多個孔板區域中的至少兩個孔板區域。在基板的電漿處理期間,孔板可被主動地冷卻一段時間。在基板的電漿處理期間,多個孔板區域中的至少一個孔板區域可以接地一段時間。 Another feature of the present invention is to provide a method for adjusting the number of ions delivered to the substrate using ion filtration. The method includes providing a processing chamber operably connected to a plasma source. The substrate is set on a substrate support provided in the processing chamber. The substrate may further include a semiconductor wafer on an adhesive tape on the frame. Provide multiple sources of electrical bias. An orifice plate having a plurality of orifice plate areas is disposed in the processing chamber, wherein at least two orifice plate areas of the plurality of orifice plate areas are operably connected to individual bias voltages of a plurality of electrical bias voltage sources. The orifice plate may further include a plurality of holes. At least one of the plurality of orifice plate regions may further include a circular geometric shape. The plasma generated by the plasma source is used to process the substrate on the substrate support. During plasma processing of the substrate, individual bias voltages from a plurality of bias voltage sources are applied to at least two orifice plate regions among the plurality of orifice plate regions. During the plasma processing of the substrate, the orifice plate can be actively cooled for a period of time. During the plasma processing of the substrate, at least one orifice area of the plurality of orifice areas may be grounded for a period of time.

本發明的另一個特徵是提供一種使用離子過濾來調整輸送到基板的離子數量的方法。該方法包括提供可操作地連接到電漿源的處理腔室。基板設置在處理腔室內提供的基板支撐件上。基板可以進一步包括在框架上的膠帶上的半導體晶圓。提供多個電偏壓源。在處理腔室中提供多個孔板,其中多個孔板中的至少一個具有多個孔板區域,其中多個孔板區域中的至少兩個孔板區域可操作地連接到多個電偏壓源中的個別偏壓。多個孔板中的至少一個可以 進一步包括多個孔。多個孔板區域中的至少一個可以進一步包括環形幾何形狀。使用電漿源產生的電漿處理基板支撐件上的基板。在基板的電漿處理期間,將來自多個電偏壓源的個別偏壓電壓施加到多個孔板區域中的至少兩個孔板區域。在基板的電漿處理期間,多個孔板區域中的至少一個孔板區域可以主動冷卻一段時間。在基板的電漿處理期間,多個孔板區域中的至少一個孔板區域可以接地一段時間。在基板的電漿處理期間,多個孔板中的至少一個孔板可以定位成與多個孔板中的至少一個不平坦。在基板的電漿處理期間,多個孔板中的至少一個可以被定位成與多個孔板中的至少一個不平行。 Another feature of the present invention is to provide a method for adjusting the number of ions delivered to the substrate using ion filtration. The method includes providing a processing chamber operably connected to a plasma source. The substrate is set on a substrate support provided in the processing chamber. The substrate may further include a semiconductor wafer on an adhesive tape on the frame. Provide multiple sources of electrical bias. A plurality of orifice plates are provided in the processing chamber, wherein at least one of the plurality of orifice plates has a plurality of orifice plate areas, and wherein at least two orifice plate areas of the plurality of orifice plate areas are operably connected to a plurality of electric biases Individual bias in the pressure source. At least one of the plurality of orifice plates may further include a plurality of holes. At least one of the plurality of orifice plate regions may further include a circular geometric shape. The plasma generated by the plasma source is used to process the substrate on the substrate support. During plasma processing of the substrate, individual bias voltages from a plurality of electrical bias sources are applied to at least two orifice plate regions among the plurality of orifice plate regions. During the plasma processing of the substrate, at least one orifice plate area among the plurality of orifice plate areas may be actively cooled for a period of time. During the plasma processing of the substrate, at least one orifice area of the plurality of orifice areas may be grounded for a period of time. During the plasma processing of the substrate, at least one orifice plate of the plurality of orifice plates may be positioned unevenly from at least one of the plurality of orifice plates. During plasma processing of the substrate, at least one of the plurality of orifice plates may be positioned non-parallel to at least one of the plurality of orifice plates.

本發明的又一個特徵是提供一種使用離子過濾來調整輸送到基板的離子的數量的方法。該方法包括提供可操作地連接到電漿源的處理腔室。基板設置在處理腔室內提供的基板支撐件上。基板可以進一步包括在框架上的膠帶上的半導體晶圓。提供電偏壓源,該電偏壓源可操作地連接到設置在處理腔室中的孔板。孔板可以進一步包括多個孔。使用電漿源產生的電漿處理基板支撐件上的基板。在基板的電漿處理期間,來自電偏壓源的可變偏壓電壓被施加到孔板。在基板的電漿處理期間,孔板可被主動地冷卻一段時間。在基板的電漿處理期間,孔板可以接地一段時間。基板的電漿處理還可以包括將基板暴露於在基板上的沉積和蝕刻之間交替的電漿分時多工處理。 Another feature of the present invention is to provide a method of adjusting the amount of ions delivered to the substrate using ion filtering. The method includes providing a processing chamber operably connected to a plasma source. The substrate is set on a substrate support provided in the processing chamber. The substrate may further include a semiconductor wafer on an adhesive tape on the frame. An electrical bias source is provided, and the electrical bias source is operatively connected to an orifice plate provided in the processing chamber. The orifice plate may further include a plurality of holes. The plasma generated by the plasma source is used to process the substrate on the substrate support. During plasma processing of the substrate, a variable bias voltage from an electrical bias source is applied to the orifice plate. During the plasma processing of the substrate, the orifice plate can be actively cooled for a period of time. During the plasma processing of the substrate, the orifice plate can be grounded for a period of time. The plasma processing of the substrate may also include a plasma time division multiplexing process in which the substrate is exposed to alternate between deposition and etching on the substrate.

本發明的另一個特徵是提供一種使用離子過濾來調整輸送到基板的離子數量的方法。該方法包括提供可操作地連接到電漿源的處理腔室。基板設置在處理腔室內提供的基板支撐件上。基板可以進一步包括在框架上的膠帶上的半導體晶圓。提供電孔板偏壓源。在處理腔室中設置多個可操作地連接到電孔板偏壓源的孔板。多個孔板中的至少一個可以進一步包括多個孔。使用電漿源產生的電漿處理基板支撐件上的基板。在基板的電漿處理期間,來自電孔板偏壓源的可變偏壓電壓被施加到多個孔板中的至少一個,其中偏壓電壓隨 時間而變化。在基板的電漿處理期間,多個孔板中的至少一個可以主動冷卻一段時間。在基板的電漿處理期間,多個孔板中的至少一個可以接地一段時間。在基板的電漿處理期間,多個孔板中的至少一個孔板可以定位成與多個孔板中的至少一個不平坦。在基板的電漿處理期間,多個孔板中的至少一個可以被定位成與多個孔板中的至少一個不平行。基板的電漿處理還可以包括將基板暴露於在基板上的沉積和蝕刻之間交替的電漿分時多工處理。 Another feature of the present invention is to provide a method for adjusting the number of ions delivered to the substrate using ion filtration. The method includes providing a processing chamber operably connected to a plasma source. The substrate is set on a substrate support provided in the processing chamber. The substrate may further include a semiconductor wafer on an adhesive tape on the frame. Provide electric orifice plate bias source. A plurality of orifice plates operatively connected to an electric orifice plate bias source are provided in the processing chamber. At least one of the plurality of orifice plates may further include a plurality of holes. The plasma generated by the plasma source is used to process the substrate on the substrate support. During plasma processing of the substrate, a variable bias voltage from an electro-orifice plate bias source is applied to at least one of the plurality of orifice plates, wherein the bias voltage changes with time. During the plasma processing of the substrate, at least one of the plurality of orifice plates may be actively cooled for a period of time. During the plasma processing of the substrate, at least one of the plurality of orifice plates may be grounded for a period of time. During the plasma processing of the substrate, at least one orifice plate of the plurality of orifice plates may be positioned unevenly from at least one of the plurality of orifice plates. During plasma processing of the substrate, at least one of the plurality of orifice plates may be positioned non-parallel to at least one of the plurality of orifice plates. The plasma processing of the substrate may also include a plasma time division multiplexing process in which the substrate is exposed to alternate between deposition and etching on the substrate.

本發明的另一個特徵是提供一種使用離子過濾來調整輸送到基板的離子數量的方法。該方法包括提供可操作地連接到電漿源的處理腔室。基板設置在處理腔室內提供的基板支撐件上。基板可以進一步包括在框架上的膠帶上的半導體晶圓。提供多個電孔板偏壓源。具有多個孔板區域的孔板設置在處理腔室中,其中多個孔板區域中的至少兩個孔板區域可操作地連接到多個電孔板偏壓源的個別偏壓。多個孔板區域中的至少一個可以進一步包括環形幾何形狀。孔板可以進一步包括多個孔。使用電漿源產生的電漿處理基板支撐件上的基板。在基板的電漿處理期間,來自多個電孔板偏壓源的個別偏壓電壓被施加到多個孔板區域的至少兩個孔板區域。在基板的電漿處理期間,孔板的至少一個孔板區域可以主動冷卻一段時間。在基板的電漿處理期間,孔板的至少一個孔板區域可以接地一段時間。基板的電漿處理還可以包括將基板暴露於在基板上的沉積和蝕刻之間交替的電漿分時多工處理。 Another feature of the present invention is to provide a method for adjusting the number of ions delivered to the substrate using ion filtration. The method includes providing a processing chamber operably connected to a plasma source. The substrate is set on a substrate support provided in the processing chamber. The substrate may further include a semiconductor wafer on an adhesive tape on the frame. Provide multiple electric orifice plate bias sources. An orifice plate having a plurality of orifice plate regions is disposed in the processing chamber, wherein at least two orifice plate regions of the plurality of orifice plate regions are operatively connected to individual bias voltages of the plurality of electric orifice plate biasing sources. At least one of the plurality of orifice plate regions may further include a circular geometric shape. The orifice plate may further include a plurality of holes. The plasma generated by the plasma source is used to process the substrate on the substrate support. During plasma processing of the substrate, individual bias voltages from a plurality of electro-orifice plate bias sources are applied to at least two orifice plate regions of the plurality of orifice plate regions. During the plasma processing of the substrate, at least one orifice area of the orifice plate can be actively cooled for a period of time. During the plasma processing of the substrate, at least one orifice area of the orifice plate may be grounded for a period of time. The plasma processing of the substrate may also include a plasma time division multiplexing process in which the substrate is exposed to alternate between deposition and etching on the substrate.

本發明的又一個特徵是提供一種使用離子過濾來調整輸送到基板的離子的數量的方法。該方法包括提供可操作地連接到電漿源的處理腔室。基板設置在處理腔室內提供的基板支撐件上。基板可以進一步包括在框架上的膠帶上的半導體晶圓。提供多個電孔板偏壓源。具有多個孔板區域的多個孔板設置在處理腔室中,其中多個孔板區域中的至少兩個孔板區域可操作地連接到多個電孔板偏壓源的個別偏壓。多個孔板區域中的至少一個可以進一步包括環 形幾何形狀。多個孔板中的至少一個可以進一步包括多個孔。使用電漿源產生的電漿處理基板支撐件上的基板。在基板的電漿處理期間,來自多個電孔板偏壓源的個別偏壓電壓被施加到多個孔板區域的至少兩個孔板區域。在基板的電漿處理期間,多個孔板區域中的至少一個孔板區域可以主動冷卻一段時間。在基板的電漿處理期間,多個孔板區域中的至少一個孔板區域可以接地一段時間。在基板的電漿處理期間,多個孔板中的至少一個孔板可以定位成與多個孔板中的至少一個不平坦。在基板的電漿處理期間,多個孔板中的至少一個可以被定位成與多個孔板中的至少一個不平行。基板的電漿處理還可以包括將基板暴露於在基板上的沉積和蝕刻之間交替的電漿分時多工處理。 Another feature of the present invention is to provide a method of adjusting the amount of ions delivered to the substrate using ion filtering. The method includes providing a processing chamber operably connected to a plasma source. The substrate is set on a substrate support provided in the processing chamber. The substrate may further include a semiconductor wafer on an adhesive tape on the frame. Provide multiple electric orifice plate bias sources. A plurality of orifice plates having a plurality of orifice plate regions are disposed in the processing chamber, wherein at least two orifice plate regions of the plurality of orifice plate regions are operatively connected to individual bias voltages of the plurality of electric orifice plate bias voltage sources. At least one of the plurality of orifice plate regions may further include a toroidal geometric shape. At least one of the plurality of orifice plates may further include a plurality of holes. The plasma generated by the plasma source is used to process the substrate on the substrate support. During plasma processing of the substrate, individual bias voltages from a plurality of electro-orifice plate bias sources are applied to at least two orifice plate regions of the plurality of orifice plate regions. During the plasma processing of the substrate, at least one orifice plate area among the plurality of orifice plate areas may be actively cooled for a period of time. During the plasma processing of the substrate, at least one orifice area of the plurality of orifice areas may be grounded for a period of time. During the plasma processing of the substrate, at least one orifice plate of the plurality of orifice plates may be positioned unevenly from at least one of the plurality of orifice plates. During plasma processing of the substrate, at least one of the plurality of orifice plates may be positioned non-parallel to at least one of the plurality of orifice plates. The plasma processing of the substrate may also include a plasma time division multiplexing process in which the substrate is exposed to alternate between deposition and etching on the substrate.

本發明的另一特徵是提供一種離子過濾系統,其包括可操作地連接到電漿源的處理腔室。基板支撐件與具有多個孔板區域的孔板一起定位在處理腔室內。多個電孔板偏壓源的個別偏壓可操作地連接到孔板的多個孔板區域中的至少兩個孔板區域。孔板的多個孔板區域中的至少一個可進一步包括環形幾何形狀。孔板可以進一步包括多個孔。 Another feature of the present invention is to provide an ion filtration system including a processing chamber operably connected to a plasma source. The substrate support is positioned in the processing chamber together with an orifice plate having a plurality of orifice plate regions. The individual biases of the plurality of electric orifice plate biasing sources are operatively connected to at least two orifice plate regions of the plurality of orifice plate regions of the orifice plate. At least one of the plurality of orifice plate regions of the orifice plate may further include an annular geometry. The orifice plate may further include a plurality of holes.

前面已經相當廣泛地概述了本發明的更相關和重要的特徵,以便可以更好地理解隨後的本發明詳細描述,從而可以更充分地理解對本領域的貢獻。下面將描述構成本發明申請專利範圍的所請標的之本發明的附加特徵。本領域技術人士應該理解的是,所公開的概念和具體實施例可以容易地使用以作為用於實現本發明的相同目的之修改或設計其他結構的基礎。本領域技術人士還應認識到,這樣的等效構造不脫離如所附申請專利範圍中闡述的本發明的精神和範圍。 The more relevant and important features of the present invention have been summarized quite extensively in the foregoing, so that the subsequent detailed description of the present invention can be better understood, so that the contribution to the field can be more fully understood. The following will describe the additional features of the subject invention that constitutes the scope of the patent application of the present invention. Those skilled in the art should understand that the disclosed concepts and specific embodiments can be easily used as a basis for modifying or designing other structures for achieving the same purpose of the present invention. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present invention as set forth in the scope of the appended patent application.

100‧‧‧處理腔室 100‧‧‧Processing chamber

110‧‧‧氣體供應器 110‧‧‧Gas Supply

120‧‧‧電漿源 120‧‧‧Plasma source

130‧‧‧基板支撐件 130‧‧‧Substrate support

140‧‧‧基板偏壓源 140‧‧‧Substrate bias source

150‧‧‧排氣口 150‧‧‧Exhaust port

170‧‧‧基板 170‧‧‧Substrate

190‧‧‧孔板 190‧‧‧Orifice plate

191‧‧‧孔板 191‧‧‧Orifice plate

192‧‧‧孔板 192‧‧‧Orifice plate

195‧‧‧孔 195‧‧‧Hole

202‧‧‧孔板區域 202‧‧‧Orifice plate area

203‧‧‧孔板區域 203‧‧‧Orifice plate area

204‧‧‧孔板區域 204‧‧‧Orifice plate area

206‧‧‧電孔板偏壓源 206‧‧‧Orifice plate bias source

207‧‧‧電孔板偏壓源 207‧‧‧Orifice plate bias source

208‧‧‧電孔板偏壓源 208‧‧‧Orifice plate bias source

209‧‧‧電絕緣部件 209‧‧‧Electrical insulation parts

210‧‧‧電絕緣部件 210‧‧‧Electrical insulation parts

220‧‧‧孔板 220‧‧‧Orifice plate

221‧‧‧孔板 221‧‧‧Orifice plate

222‧‧‧孔板 222‧‧‧Orifice plate

225‧‧‧孔板偏壓 225‧‧‧Orifice plate bias

226‧‧‧孔板偏壓 226‧‧‧Orifice plate bias

圖1是現有技術離子過濾系統的示意圖;圖2是根據現有技術的具有孔幾何形狀的放大插圖的孔板的視圖;圖3是根據本發明的一個實施例的離子過濾系統的示意圖;圖4是根據本發明的一個實施例的離子過濾系統的示意圖;圖5示出根據本發明的一個實施例的孔板和孔板結構幾何形狀的選項的放大視圖;圖6示出根據本發明的一個實施例的孔板和用於孔板結構幾何形狀的選項的放大視圖;圖7示出根據本發明的一個實施例的孔板和用於孔板結構幾何形狀的選項的放大圖;圖8示出根據本發明的一個實施例的孔板和用於孔板結構幾何形狀的選項的放大圖;圖9示出了根據本發明的一個實施例的被偏壓的孔板的三個區域;圖10示出了根據本發明的一個實施例的被偏壓的孔板的三個區域;圖11是根據本發明的一個實施例的參考製程變數的電壓對時間的曲線圖;圖12是根據本發明的一個實施例的參考製程變數的電壓對時間的曲線圖;圖13是根據本發明的一個實施例的參考製程變數的電壓對時間的曲線圖;圖14是根據本發明的一個實施例的參考製程變數的電壓對時間的曲線圖;和圖15是根據本發明的一個實施例的參考製程變數的電壓對時間的曲線圖。 Fig. 1 is a schematic diagram of a prior art ion filtration system; Fig. 2 is a view of an orifice plate with an enlarged inset of hole geometry according to the prior art; Fig. 3 is a schematic diagram of an ion filtration system according to an embodiment of the present invention; Fig. 4 Is a schematic diagram of an ion filtration system according to an embodiment of the present invention; FIG. 5 shows an enlarged view of an orifice plate and an orifice plate structure geometry option according to an embodiment of the present invention; FIG. 6 shows an embodiment according to the present invention An enlarged view of the orifice plate of the embodiment and the options for the orifice structure geometry; FIG. 7 shows an enlarged view of the orifice plate and the options for the orifice structure geometry according to an embodiment of the present invention; FIG. 8 shows An enlarged view of an orifice plate according to an embodiment of the present invention and options for the geometry of the orifice plate structure; Figure 9 shows three regions of a biased orifice plate according to an embodiment of the present invention; 10 shows three regions of a biased orifice plate according to an embodiment of the present invention; FIG. 11 is a graph of voltage versus time of a reference process variable according to an embodiment of the present invention; FIG. 12 is a graph according to the present invention. A graph of voltage versus time for a reference process variable according to an embodiment of the invention; FIG. 13 is a graph of voltage versus time for a reference process variable according to an embodiment of the present invention; FIG. 14 is a graph according to an embodiment of the present invention A graph of voltage versus time for a reference process variable; and FIG. 15 is a graph of voltage versus time for a reference process variable according to an embodiment of the present invention.

在附圖的多個視圖中,相似的附圖標記代表相似的特徵。 In the multiple views of the drawings, similar reference numerals represent similar features.

本發明提供了幾種使用離子過濾來調整輸送到基板的離子數量的方法實施例。本發明的所有方法實施例都具有可操作地連接到電漿源的處理 腔室,其中基板放置在處理腔室內提供的基板支撐件上。本發明的所有方法實施例都可以使基板還包括在框架上的膠帶上的半導體晶圓。本發明的所有方法實施例使用電漿源來生成電漿,該電漿源用於在處理腔室中處理基板。 The present invention provides several method embodiments that use ion filtering to adjust the number of ions delivered to the substrate. All method embodiments of the present invention have a processing chamber operatively connected to a plasma source, wherein the substrate is placed on a substrate support provided in the processing chamber. All the method embodiments of the present invention can make the substrate also include the semiconductor wafer on the tape on the frame. All method embodiments of the present invention use a plasma source to generate plasma, which is used to process a substrate in a processing chamber.

在這裡描述的所有方法實施例中,當孔板被分成兩個或更多個可以分開偏壓的物理上分離區域時,可以通過將兩個或更多個孔板區域中的偏壓電壓調整到不同位準來使在不同區域中離子過濾的程度調整成較多或較少,從而分別調節每個物理上分離區域中通過過濾器的離子的數量。物理上分離區域可以被描述為在適當的坐標系統中具有不同的位置,例如笛卡兒x、y、z坐標系統或者圓柱形r、θ、z圓柱坐標系統。當在不同孔板區域中選擇了不同程度的離子過濾時,遞送到基板的離子的數量可被描述為“經空間調整”。作為隨時間改變的到達基板的離子數量的變化可以通過調整隨時間改變的一個或多個孔板或孔板區域上的偏壓電壓來實現,以便穿過孔板、孔板組裝件或孔板區域的離子數量隨時間而變化。這稱為遞送到基板的離子數量之“時間調整”。 In all the method embodiments described here, when the orifice plate is divided into two or more physically separated regions that can be biased apart, the bias voltage in the two or more orifice regions can be adjusted To different levels, the degree of ion filtering in different regions can be adjusted to be more or less, so as to adjust the number of ions passing through the filter in each physically separated region respectively. Physically separated regions can be described as having different positions in an appropriate coordinate system, such as a Cartesian x, y, z coordinate system or a cylindrical r, θ, z cylindrical coordinate system. When different degrees of ion filtration are selected in different orifice regions, the number of ions delivered to the substrate can be described as "spatially adjusted." The change in the number of ions reaching the substrate as a time-varying change can be achieved by adjusting the bias voltage on one or more orifice plates or areas of the orifice plate that changes over time so as to pass through the orifice plate, orifice plate assembly or orifice plate The number of ions in the region changes over time. This is called "time adjustment" of the number of ions delivered to the substrate.

在根據本發明的一個實施例中,提供了多個電孔板偏壓源。具有多個孔板區域的孔板設置在處理腔室中,其中多個孔板區域中的至少兩個孔板區域可操作地連接到多個電孔板偏壓源的個別偏壓。孔板可以進一步包括多個孔。多個孔板區域中的至少一個可以進一步包括環形幾何形狀。在基板的電漿處理期間,藉由將來自多個電孔板偏壓源的個別偏壓電壓施加到多個孔板區域中的至少兩個孔板區域,可以在空間和/或時間上調節輸送到基板的離子數量以實現最佳性能。此外,孔板可在基板的電漿處理期間被主動冷卻一段時間,及/或多個孔板區域中的至少一個孔板區域可在基板的電漿處理期間接地一段時間。 In one embodiment according to the present invention, a plurality of electric orifice plate bias voltage sources are provided. An orifice plate having a plurality of orifice plate regions is disposed in the processing chamber, wherein at least two orifice plate regions of the plurality of orifice plate regions are operatively connected to individual bias voltages of the plurality of electric orifice plate biasing sources. The orifice plate may further include a plurality of holes. At least one of the plurality of orifice plate regions may further include a circular geometric shape. During the plasma processing of the substrate, by applying individual bias voltages from a plurality of electric orifice plate biasing sources to at least two of the orifice plate regions, it can be adjusted in space and/or time The number of ions delivered to the substrate for optimal performance. In addition, the orifice plate may be actively cooled for a period of time during the plasma processing of the substrate, and/or at least one orifice plate area among the plurality of orifice plate regions may be grounded for a period of time during the plasma processing of the substrate.

在根據本發明的一個實施例中,提供了多個電孔板偏壓源。在處理腔室中提供多個孔板,其中多個孔板中的至少一個具有多個孔板區域,其 中多個孔板區域中的至少兩個孔板區域可操作地連接到多個電孔板偏壓源中的個別偏壓。多個孔板中的至少一個可以進一步包括多個孔。多個孔板區域中的至少一個可以進一步包括環形幾何形狀。在基板的電漿處理期間,藉由將來自多個電孔板偏壓源的個別偏壓施加至多個孔板區域中的至少兩個孔板區域,可以在空間和/或時間上調節輸送到基板的離子數量以實現最佳性能。此外,多個孔板區域中的至少一個孔板區域可以在基板的電漿處理期間被主動地冷卻一段時間,及/或多個孔板區域中的至少一個孔板區域可以在基板的電漿處理期間被接地一段時間。而且,在基板的電漿處理期間,多個孔板中的至少一個孔板可以定位成與多個孔板中的至少一個為非平面,及/或在基板的電漿處理期間,多個孔板中的至少一個可以定位成與多個孔板中的至少一個為非平行。 In one embodiment according to the present invention, a plurality of electric orifice plate bias voltage sources are provided. A plurality of orifice plates are provided in the processing chamber, wherein at least one of the plurality of orifice plates has a plurality of orifice plate areas, and wherein at least two orifice plate areas of the plurality of orifice plate areas are operably connected to the plurality of electric holes Individual bias voltage in the plate bias voltage source. At least one of the plurality of orifice plates may further include a plurality of holes. At least one of the plurality of orifice plate regions may further include a circular geometric shape. During the plasma processing of the substrate, by applying individual bias voltages from a plurality of electric orifice plate biasing sources to at least two orifice plate regions of the plurality of orifice plate regions, it is possible to adjust the delivery to at least in space and/or time. The number of ions on the substrate to achieve the best performance. In addition, at least one orifice plate area among the plurality of orifice plate areas may be actively cooled for a period of time during the plasma processing of the substrate, and/or at least one orifice plate area among the plurality of orifice plate areas may be in the plasma of the substrate. Grounded for a period of time during processing. Moreover, during the plasma processing of the substrate, at least one of the plurality of orifice plates may be positioned to be non-planar with at least one of the plurality of orifice plates, and/or during the plasma processing of the substrate, the plurality of holes At least one of the plates may be positioned non-parallel to at least one of the plurality of orifice plates.

在根據本發明的一個實施例中,提供了可操作地連接到設置在處理腔室中的孔板的電孔板偏壓源。孔板可以進一步包括多個孔。在基板的電漿處理期間,藉由將來自電孔板偏壓源的時間變數的偏壓電壓施加到孔板,遞送到基板的離子數量可以在時間上被調節以獲得最佳性能。“時間變數”偏壓是在基板的電漿處理期間隨時間變化的偏壓電壓。偏壓可以在電漿處理的持續時間內線性地變化或者非線性地增加或減少。極性可以保持不變或者可以顛倒一次或多次。根據交流波形的瞬時電壓,它的極性可能會改變,或者只能跟隨大小。它可以包括疊加在DC背景上的AC波形。如果交流波形,頻率可能保持不變或可能隨時間而變化。當製程條件在製程的不同階段隨著時間推進而變化,或者各個參數值變化時,孔板偏壓可隨著變化而逐步調節、隨變化的更複雜函數來調節或與變化反相地調節。孔板偏壓可以保持恆定一段時間,但是在此製程中的其他時間可以被改變。此外,在基板的電漿處理期間,孔板可被主動地冷卻一段時間,及/或在基板的電漿處理期間,孔板可接地一段時間。此外,基板的電漿處理還可以包括將基板暴露於在基板上的沉積和蝕刻之間交替 的電漿分時多工處理。 In one embodiment according to the present invention, there is provided an electric orifice plate bias source operably connected to an orifice plate provided in the processing chamber. The orifice plate may further include a plurality of holes. During the plasma processing of the substrate, by applying a time-variable bias voltage from the electro-orifice bias source to the orifice, the number of ions delivered to the substrate can be adjusted in time for optimal performance. The "time variable" bias is the bias voltage that changes with time during the plasma processing of the substrate. The bias voltage can vary linearly or increase or decrease non-linearly during the duration of the plasma treatment. The polarity can remain the same or can be reversed one or more times. Depending on the instantaneous voltage of the AC waveform, its polarity may change, or it can only follow the magnitude. It can include AC waveforms superimposed on a DC background. If the AC waveform is used, the frequency may remain the same or may change over time. When the process conditions change with time in different stages of the process, or the values of various parameters change, the orifice plate bias can be adjusted gradually with the change, adjusted with a more complex function of the change, or adjusted in anti-phase with the change. The orifice bias can be kept constant for a period of time, but can be changed at other times in the process. In addition, during the plasma processing of the substrate, the orifice plate can be actively cooled for a period of time, and/or during the plasma processing of the substrate, the orifice plate can be grounded for a period of time. In addition, the plasma processing of the substrate may also include a plasma time division multiplexing process in which the substrate is exposed to alternate between deposition and etching on the substrate.

在根據本發明的一個實施例中,提供了一種電孔板偏壓源。一個或多個孔板可操作地連接到電孔板偏壓源。多個孔板中的至少一個可以進一步包括多個孔。在基板的電漿處理期間,藉由將來自電孔板偏壓源的可變偏壓電壓施加到多個孔板中的至少一個孔板,遞送到基板的離子數量可以時間上調整以獲得最佳性能,其中偏壓電壓是隨時間而變化。此外,在基板的電漿處理期間,多個孔板中的至少一個可被主動地冷卻一段時間,及/或在基板的電漿處理期間,多個孔板中的至少一個可接地一段時間。而且,在基板的電漿處理期間,多個孔板中的至少一個孔板可以定位成與多個孔板中的至少一個為非平面,及/或在基板的電漿處理期間,多個孔板中的至少一個孔板可以定位成與多個孔板中的至少一個為非平行。而且,基板的電漿處理還可以包括將基板暴露於在基板上的沉積和蝕刻之間交替的電漿分時多工處理。 In an embodiment according to the present invention, an electric orifice plate bias source is provided. One or more orifice plates are operably connected to a source of electrical orifice plate bias. At least one of the plurality of orifice plates may further include a plurality of holes. During the plasma processing of the substrate, by applying a variable bias voltage from the electro-orifice bias source to at least one of the plurality of orifice plates, the number of ions delivered to the substrate can be adjusted in time to obtain the optimum Good performance, where the bias voltage changes with time. In addition, during the plasma processing of the substrate, at least one of the plurality of orifice plates may be actively cooled for a period of time, and/or during the plasma processing of the substrate, at least one of the plurality of orifice plates may be grounded for a period of time. Moreover, during the plasma processing of the substrate, at least one of the plurality of orifice plates may be positioned to be non-planar with at least one of the plurality of orifice plates, and/or during the plasma processing of the substrate, the plurality of holes At least one orifice plate of the plates may be positioned non-parallel to at least one of the plurality of orifice plates. Moreover, the plasma processing of the substrate may also include a plasma time division multiplexing process in which the substrate is exposed to alternate between deposition and etching on the substrate.

在根據本發明的一個實施例中,提供了多個電孔板偏壓源。具有多個孔板區域的孔板設置在處理腔室中,其中多個孔板區域中的至少兩個孔板區域可操作地連接到多個電孔板偏壓源的個別偏壓。多個孔板區域中的至少一個可以進一步包括環形幾何形狀。多個孔板中的至少一個可以進一步包括多個孔。在基板的電漿處理期間,藉由將來自多個電孔板偏壓源的個別偏壓電壓施加至多個孔板區域中的至少兩個孔板區域,可以在空間和/或時間上調節輸送到基板的離子數量以實現最佳性能。此外,在基板的電漿處理期間,多個孔板區域中的至少一個孔板區域可以被主動地冷卻一段時間,及/或在基板的電漿處理期間,多個孔板區域中的至少一個孔板區域可以被接地一段時間。而且,在基板的電漿處理期間,多個孔板中的至少一個孔板可以定位與多個孔板中的至少一個為非平面的,及/或在基板的電漿處理期間,多個孔板中的至少一個可以定位成與多個孔板中的至少一個為非平行。而且,基板的電漿處理還可以包括 將基板暴露於在基板上的沉積和蝕刻之間交替的電漿分時多工處理。 In one embodiment according to the present invention, a plurality of electric orifice plate bias voltage sources are provided. An orifice plate having a plurality of orifice plate regions is disposed in the processing chamber, wherein at least two orifice plate regions of the plurality of orifice plate regions are operatively connected to individual bias voltages of the plurality of electric orifice plate biasing sources. At least one of the plurality of orifice plate regions may further include a circular geometric shape. At least one of the plurality of orifice plates may further include a plurality of holes. During the plasma processing of the substrate, by applying individual bias voltages from a plurality of electric orifice plate bias sources to at least two of the orifice plate regions, the transport can be adjusted in space and/or time The number of ions to the substrate for optimal performance. In addition, during the plasma processing of the substrate, at least one of the orifice plate regions may be actively cooled for a period of time, and/or during the plasma processing of the substrate, at least one of the orifice regions The orifice area can be grounded for a period of time. Moreover, during the plasma processing of the substrate, at least one of the plurality of orifice plates may be positioned to be non-planar with at least one of the plurality of orifice plates, and/or during the plasma processing of the substrate, the plurality of holes At least one of the plates may be positioned non-parallel to at least one of the plurality of orifice plates. Moreover, the plasma processing of the substrate may also include a plasma time division multiplexing process in which the substrate is exposed to alternate between deposition and etching on the substrate.

在根據本發明的一個實施例中,提供了多個電孔板偏壓源。具有多個孔板區域的多個孔板設置在處理腔室中,其中多個孔板區域中的至少兩個孔板區域可操作地連接到多個電孔板偏壓源的個別偏壓。多個孔板區域中的至少一個可以進一步包括環形幾何形狀。多個孔板中的至少一個可以進一步包括多個孔。在基板的電漿處理期間,藉由將來自多個電孔板偏壓源的個別偏壓電壓施加至多個孔板區域中的至少兩個孔板區域,可以在空間和/或時間上調節輸送到基板的離子數量以實現最佳性能。此外,在基板的電漿處理期間,多個孔板區域中的至少一個孔板區域可以被主動地冷卻一段時間,及/或在基板的電漿處理期間,多個孔板區域中的至少一個孔板區域可以被接地一段時間。而且,在基板的電漿處理期間,多個孔板中的至少一個孔板可以定位成與多個孔板中的至少一個為非平面,和/或在基板的電漿處理期間,多個孔板中的至少一個孔板可以定位成與多個孔板中的至少一個為非平行。而且,基板的電漿處理還可以包括將基板暴露於在基板上的沉積和蝕刻之間交替的電漿分時多工處理。 In one embodiment according to the present invention, a plurality of electric orifice plate bias voltage sources are provided. A plurality of orifice plates having a plurality of orifice plate regions are disposed in the processing chamber, wherein at least two orifice plate regions of the plurality of orifice plate regions are operatively connected to individual bias voltages of the plurality of electric orifice plate bias voltage sources. At least one of the plurality of orifice plate regions may further include a circular geometric shape. At least one of the plurality of orifice plates may further include a plurality of holes. During the plasma processing of the substrate, by applying individual bias voltages from a plurality of electric orifice plate bias sources to at least two of the orifice plate regions, the transport can be adjusted in space and/or time The number of ions to the substrate for optimal performance. In addition, during the plasma processing of the substrate, at least one of the orifice plate regions may be actively cooled for a period of time, and/or during the plasma processing of the substrate, at least one of the orifice regions The orifice area can be grounded for a period of time. Moreover, during the plasma processing of the substrate, at least one of the plurality of orifice plates may be positioned non-planar with at least one of the plurality of orifice plates, and/or during the plasma processing of the substrate, the plurality of holes At least one orifice plate of the plates may be positioned non-parallel to at least one of the plurality of orifice plates. Moreover, the plasma processing of the substrate may also include a plasma time division multiplexing process in which the substrate is exposed to alternate between deposition and etching on the substrate.

如圖1所示,現有技術教導了處理腔室100,該處理腔室100可操作地連接到電漿源120,基板支撐件130位於處理腔室100內用於支撐基板170。具有多個孔195的孔板190定位在處理腔室100內。基板偏壓源140可以可操作地連接到基板支撐件130。氣體供應器110可操作地連接到處理腔室100,並且排氣口150可操作地連接到處理腔室100。 As shown in FIG. 1, the prior art teaches a processing chamber 100, which is operatively connected to a plasma source 120, and a substrate support 130 is located in the processing chamber 100 for supporting a substrate 170. An orifice plate 190 having a plurality of holes 195 is positioned in the processing chamber 100. The substrate bias source 140 may be operatively connected to the substrate support 130. The gas supplier 110 is operatively connected to the processing chamber 100, and the exhaust port 150 is operatively connected to the processing chamber 100.

在圖2中示出了現有技術的孔板,其插入有孔幾何形狀的放大視圖。 In Figure 2 is shown a prior art orifice plate with an enlarged view of the hole geometry inserted.

如圖3所示,在根據本發明的一個實施例中,處理腔室100可以可操作地連接到電漿源120,基板支撐件130可以定位在處理腔室100內以支撐 基板170。另外,示出了具有彼此對齊的多個孔之多個孔板190。基板偏壓源140可以可操作地連接到基板支撐件130。氣體供應器110可以可操作地連接到處理腔室100,並且排氣口150可以可操作地連接到處理腔室100。 As shown in FIG. 3, in one embodiment according to the present invention, the processing chamber 100 may be operably connected to the plasma source 120, and the substrate support 130 may be positioned in the processing chamber 100 to support the substrate 170. In addition, a plurality of orifice plates 190 having a plurality of holes aligned with each other is shown. The substrate bias source 140 may be operatively connected to the substrate support 130. The gas supplier 110 may be operably connected to the processing chamber 100, and the exhaust port 150 may be operably connected to the processing chamber 100.

如圖4所示,在根據本發明的一個實施例中,處理腔室100可以可操作地連接到電漿源120,基板支撐件130可定位在處理腔室100內以支撐基板170。另外,示出了具有多個孔的多個孔板190、191、192。孔板可以相互偏移。孔板190、192可以重疊。在孔板190、191、192重疊的區域中,重疊區域中的一些孔可以與其他孔重疊。重疊區域中的一些孔可能不與另一個孔重疊。基板偏壓源140可以可操作地連接到基板支撐件130。氣體供應器110可以可操作地連接到處理腔室100,排氣口150可以可操作地連接到處理腔室100。 As shown in FIG. 4, in one embodiment according to the present invention, the processing chamber 100 may be operatively connected to the plasma source 120, and the substrate support 130 may be positioned in the processing chamber 100 to support the substrate 170. In addition, a plurality of orifice plates 190, 191, 192 with a plurality of holes are shown. The orifice plates can be offset from each other. The orifice plates 190, 192 may overlap. In the area where the orifice plates 190, 191, 192 overlap, some holes in the overlap area may overlap with other holes. Some holes in the overlap area may not overlap with another hole. The substrate bias source 140 may be operatively connected to the substrate support 130. The gas supply 110 may be operably connected to the processing chamber 100, and the exhaust port 150 may be operably connected to the processing chamber 100.

圖5示出孔板220和用於孔板區域幾何形狀的選項的放大圖。根據本發明的一個實施例的孔板220具有多個孔板區域。 Figure 5 shows an enlarged view of the orifice plate 220 and options for the geometry of the orifice plate area. The orifice plate 220 according to an embodiment of the present invention has a plurality of orifice plate regions.

圖6示出孔板221和用於孔板區域幾何形狀的選項的放大圖。根據本發明的一個實施例的孔板221具有多個孔板區域。 Figure 6 shows an enlarged view of the orifice plate 221 and options for the geometry of the orifice plate area. The orifice plate 221 according to an embodiment of the present invention has a plurality of orifice plate regions.

圖7示出孔板222和用於孔板區域幾何形狀的選項的放大圖。根據本發明的一個實施例的孔板222具有多個孔板區域。另外,圖7示出一個孔板偏壓225可操作地連接到多個孔板區域中的一個,另一個孔板偏壓226可操作地連接到多個孔板區域中的另一個。 Figure 7 shows an enlarged view of the orifice plate 222 and options for the geometry of the orifice plate area. The orifice plate 222 according to an embodiment of the present invention has a plurality of orifice plate regions. In addition, FIG. 7 shows that one orifice plate bias 225 is operatively connected to one of the plurality of orifice plate regions, and the other orifice plate bias 226 is operatively connected to the other of the plurality of orifice plate regions.

圖8示出孔板222和用於孔板區域幾何形狀的選項的放大圖。根據本發明的一個實施例的孔板222具有多個孔板區域。另外,圖8示出一個孔板偏壓225可操作地連接到多個孔板區域中的超過一個孔板區域,並且另一個孔板偏壓226可操作地連接到多個孔板區域中的另一個。 Figure 8 shows an enlarged view of the orifice plate 222 and options for the geometry of the orifice plate area. The orifice plate 222 according to an embodiment of the present invention has a plurality of orifice plate regions. In addition, FIG. 8 shows that one orifice plate bias 225 is operatively connected to more than one of the orifice plate areas, and another orifice plate bias 226 is operably connected to the orifice plate area. another.

如圖9所示,多個電孔板偏壓源分別可操作地連接到個別的孔板區域。圖9示出了多個電孔板偏壓源206、207、208,其根據本發明的一個實施 例可操作地連接到孔板的多個孔區域的個別的孔板區域202、203、204。示出了代表性的電絕緣部件209,其可以用於支撐和保持孔板之間的間隔,同時允許在孔板之間或孔板區域之間待施加的電位差。 As shown in Fig. 9, a plurality of electric orifice plate bias sources are respectively operatively connected to individual orifice plate regions. 9 shows a plurality of electric orifice plate biasing sources 206, 207, 208, which are operably connected to individual orifice plate regions 202, 203, 204 of the plurality of orifice regions of the orifice plate according to an embodiment of the present invention . A representative electrical insulating member 209 is shown, which can be used to support and maintain the spacing between the orifice plates while allowing the potential difference to be applied between the orifice plates or between the orifice plate regions.

如圖10所示,一組孔板,其中至少一個孔板具有多個孔板區域202、203、204。多個電孔板偏壓源206、207、208各自可操作地連接到根據本發明的一個實施例的具有多個孔區域的孔板的至少一個孔板區域。圖10還顯示了接地孔板。示出了代表性電絕緣部件209、210,其可用於支撐和保持孔板之間的間隔,同時允許在孔板之間或孔板區域之間待施加的電位差。 As shown in FIG. 10, a group of orifice plates, at least one orifice plate has a plurality of orifice plate regions 202, 203, 204. The plurality of electric orifice plate biasing sources 206, 207, 208 are each operatively connected to at least one orifice plate area of an orifice plate having a plurality of orifice areas according to an embodiment of the present invention. Figure 10 also shows the ground hole plate. Representative electrical insulating parts 209, 210 are shown, which can be used to support and maintain the spacing between the orifice plates while allowing the potential difference to be applied between the orifice plates or between the orifice plate regions.

圖11至15顯示了參考製程變數的變化之孔板偏壓電壓對時間的圖。具體而言,施加到孔板或施加到多個孔板中的一個孔板或孔板中的孔板區域的偏壓可以及時施加,以便與處理參數的變化同步或者相對於處理參數中的變化及時偏移或只反應處理參數的一些變化。圖11至15的每個圖示出了根據本發明的實施例。製程變數可以包括製程設定點及/或系統響應。製程設定點可以包括RF功率、製程壓力、氣體流量和/或氣體組成。製程設定點可以是配方定義的。系統響應可以是來自系統的可測量響應。系統響應可以包括節流閥位置、匹配網絡可變電容位置、RF反射功率等。系統響應還可以包括諸如電漿密度、電漿強度、電漿組成(例如通過發射光譜法)的製程測量和/或基板特性(例如膜厚度、蝕刻深度等)的測量。製程測量可以在製程期間現場進行。孔板偏壓電壓可以與製程變數同步或非同步。孔板偏壓電壓可以與製程變數同相或反相。雖然附圖顯示了與製程變數類似頻率的電壓曲線,但是電壓曲線可以處於與任何或所有製程變數不同的頻率。 Figures 11 to 15 show graphs of orifice bias voltage versus time with reference to changes in process variables. Specifically, the bias applied to the orifice plate or to one orifice plate of the plurality of orifice plates or the orifice plate area in the orifice plate can be applied in time to synchronize with the change in the processing parameter or relative to the change in the processing parameter Offset in time or only reflect some changes in processing parameters. Each of Figures 11 to 15 shows an embodiment according to the present invention. Process variables can include process set points and/or system responses. Process set points can include RF power, process pressure, gas flow, and/or gas composition. The process set point can be defined by the recipe. The system response can be a measurable response from the system. System response can include throttle valve position, matching network variable capacitor position, RF reflected power, etc. The system response may also include process measurements such as plasma density, plasma strength, plasma composition (e.g., by emission spectroscopy), and/or measurement of substrate characteristics (e.g., film thickness, etching depth, etc.). Process measurement can be performed on-site during the process. The orifice bias voltage can be synchronized or non-synchronized with process variables. The orifice bias voltage can be in phase or opposite to the process variable. Although the figure shows a voltage curve with a similar frequency to the process variables, the voltage curve can be at a different frequency than any or all of the process variables.

在本發明的任何實施例中,至少一個孔板可以是平面或非平面的。孔板可以平行於基板,或者孔板可以不平行於基板。孔板可以是圓頂的。孔板可以由單一材料或多種材料組成。孔板可以是部分或完全傳導的;部分或 完全為介電質;及/或部分或完全半導體。 In any embodiment of the invention, at least one orifice plate may be planar or non-planar. The orifice plate may be parallel to the substrate, or the orifice plate may not be parallel to the substrate. The orifice plate can be domed. The orifice plate can be composed of a single material or multiple materials. The orifice plate can be partially or fully conductive; partially or fully dielectric; and/or partially or fully semiconductor.

在本發明的任何實施例中,所有的孔板可以是相同的尺寸,或者至少一個孔板可以是與其他孔板不同的尺寸。所有孔板可以是相同的形狀,或者至少一個板可以是與其他孔板不同的形狀。兩個孔板的至少一部分可以是非共面的,或者所有孔板可以是非共面的。兩個孔板的至少一部分可以共面或者所有的板可以共面。兩個孔板的至少一部分可以是平行的,或者兩個孔板的至少一部分可以是不平行的。沒有孔板可以重疊或者兩個孔板的至少一部分可以重疊。板內的至少一個孔可以與第二板中的孔重疊,或者超過一個的孔可以重疊或者所有的孔可以彼此重疊。板內的至少一個孔不能與第二板中的孔重疊,或者超過一個孔不能重疊或孔不能彼此重疊。至少一個孔板可以被第二孔板完全重疊,或者所有孔板可以重疊。 In any embodiment of the present invention, all orifice plates may be the same size, or at least one orifice plate may be a different size from other orifice plates. All the orifice plates can be the same shape, or at least one plate can be a different shape from the other orifice plates. At least a part of the two orifice plates may be non-coplanar, or all the orifice plates may be non-coplanar. At least a part of the two orifice plates can be coplanar or all the plates can be coplanar. At least a part of the two orifice plates may be parallel, or at least a part of the two orifice plates may be non-parallel. No orifice plates can overlap or at least a part of two orifice plates can overlap. At least one hole in the plate may overlap the hole in the second plate, or more than one hole may overlap or all the holes may overlap each other. At least one hole in the plate cannot overlap the hole in the second plate, or more than one hole cannot overlap or the holes cannot overlap each other. At least one orifice plate may be completely overlapped by the second orifice plate, or all orifice plates may be overlapped.

在本發明的任何實施例中,至少一個孔板可以與第二板電隔離,或者超過兩個孔板可以彼此電隔離或者所有孔板彼此電隔離。至少一個孔板可以電連接到第二板,或者超過兩個孔板可以彼此電連接,或者所有孔板可以彼此電連接。至少一個孔板可以與接地隔離,或者多於一個孔板可以與接地隔離,或者所有孔板都可以與接地隔離。至少有一個孔板可以接地,或者多個孔板可以接地,或者所有孔板都可以接地。至少一個孔板可以被分成超過一個孔板區域,或者超過一個孔板可以具有多個區域,或者所有孔板可以具有多個區域。 In any embodiment of the present invention, at least one orifice plate may be electrically isolated from the second plate, or more than two orifice plates may be electrically isolated from each other or all orifice plates may be electrically isolated from each other. At least one orifice plate may be electrically connected to the second plate, or more than two orifice plates may be electrically connected to each other, or all orifice plates may be electrically connected to each other. At least one orifice plate can be isolated from ground, or more than one orifice plate can be isolated from ground, or all orifice plates can be isolated from ground. At least one orifice plate can be grounded, or multiple orifice plates can be grounded, or all orifice plates can be grounded. At least one orifice plate may be divided into more than one orifice plate area, or more than one orifice plate may have multiple areas, or all orifice plates may have multiple areas.

在本發明的任何實施例中,不同孔板中、孔板內、不同孔板區域之間和/或孔板區域內的孔可以具有相同的尺寸、形狀和/或長寬比或各種尺寸、形狀和/或長寬比。 In any embodiment of the present invention, the holes in different orifice plates, in the orifice plate, between different orifice plate areas, and/or in the orifice plate area may have the same size, shape and/or aspect ratio or various sizes, Shape and/or aspect ratio.

在本發明的任何實施例中,可以將電壓施加到至少一個孔板。電壓可以是AC或DC或兩者的組合。施加到不同孔板、在一孔板內、在不同孔 板區域之間和/或在一孔板區域內的電壓可以相同或者可以相對於在製程的某個部分期間的振幅、頻率和/或相位而變化。對於製程的某些部分,任何孔板或孔板區域都可以接地。 In any embodiment of the invention, a voltage can be applied to at least one orifice plate. The voltage can be AC or DC or a combination of both. The voltage applied to different orifice plates, in an orifice plate, between different orifice plate areas, and/or in an orifice plate area may be the same or may be relative to the amplitude, frequency, and/or during a certain part of the process The phase changes. For certain parts of the process, any orifice plate or area of the orifice plate can be grounded.

在本發明的任何實施例中,超過一個孔可以與基板重疊。孔板可以分成超過一個孔板區域或至少兩個彼此電隔離的孔板區域,或者可以彼此電隔離的所有孔板區域。至少兩個孔板區域可以彼此電連接,或者所有孔板區域可以彼此電連接。至少兩個孔板區域可以是相同的形狀,或者所有的孔板區域可以是相同的形狀。至少兩個孔板區域可以是不同的形狀,或者所有孔板區域可以是不同的形狀。所有的孔板區域可以是相同的尺寸,或者至少兩個孔板區域可以是不同的尺寸。至少一個孔板區域可以電接地。電壓可以被施加到至少一個孔板區域,或者電壓可以被施加到超過一個孔板區域,或者電壓可以被施加到所有孔板區域。相同的電壓可以施加到所有孔板區域,或者至少兩個孔板區域可以具有不同的電壓抑或針對電漿製程的至少一部分可以具有不同的電壓,或者針對整個電漿製程可以具有不同的電壓。 In any embodiment of the invention, more than one hole may overlap the substrate. The orifice plate can be divided into more than one orifice plate area or at least two orifice plate areas that are electrically isolated from each other, or all orifice plate areas that can be electrically isolated from each other. At least two orifice plate areas may be electrically connected to each other, or all orifice plate areas may be electrically connected to each other. At least two orifice plate areas may be the same shape, or all orifice plate areas may be the same shape. At least two orifice plate areas may be of different shapes, or all orifice plate areas may be of different shapes. All orifice areas can be the same size, or at least two orifice areas can be different sizes. At least one orifice area can be electrically grounded. The voltage may be applied to at least one orifice area, or the voltage may be applied to more than one orifice area, or the voltage may be applied to all orifice areas. The same voltage can be applied to all orifice regions, or at least two orifice regions can have different voltages or different voltages for at least a part of the plasma process, or different voltages for the entire plasma process.

本發明揭露內容包括所附申請專利範圍中包含的以及前述描述的內容。儘管已經用一定程度的特定性以優選形式描述了本發明,但是應當理解的是,優選形式的本發明揭露內容僅以示例的方式進行,並且構造的細節和組合和組件的佈置上的各種變化在不脫離本發明的精神和範圍的情況下可以採用。 The disclosure of the present invention includes the content included in the scope of the appended application and the foregoing description. Although the present invention has been described in a preferred form with a certain degree of specificity, it should be understood that the disclosure of the present invention in the preferred form is done by way of example only, and various changes in the details and combinations of construction and the arrangement of components It can be adopted without departing from the spirit and scope of the present invention.

現在已經描述了本發明,請查閱本發明的申請專利範圍書。 Now that the present invention has been described, please refer to the patent scope of the present invention.

100‧‧‧處理腔室 100‧‧‧Processing chamber

110‧‧‧氣體供應器 110‧‧‧Gas Supply

120‧‧‧電漿源 120‧‧‧Plasma source

130‧‧‧基板支撐件 130‧‧‧Substrate support

140‧‧‧基板偏壓源 140‧‧‧Substrate bias source

150‧‧‧排氣口 150‧‧‧Exhaust port

170‧‧‧基板 170‧‧‧Substrate

190‧‧‧孔板 190‧‧‧Orifice plate

Claims (40)

一種使用離子過濾來調整輸送到基板的離子的數量的方法,所述方法包括:提供處理腔室;提供可操作地連接到所述處理腔室的電漿源;在所述處理腔室內提供基板支撐件;將所述基板提供到所述基板支撐件上;提供多個電偏壓源;在所述處理腔室中提供孔板,所述孔板具有多個孔板區域,其中所述多個孔板區域中的至少兩個孔板區域可操作地連接到所述多個電偏壓源的個別偏壓;使用所述電漿源產生電漿;使用產生的所述電漿處理所述基板支撐件上的所述基板;以及在所述基板的所述電漿處理期間,將來自所述多個電偏壓源的個別偏壓電壓施加到所述多個孔板區域中的至少兩個孔板區域。 A method for adjusting the amount of ions delivered to a substrate using ion filtering, the method comprising: providing a processing chamber; providing a plasma source operably connected to the processing chamber; and providing a substrate in the processing chamber Supporting member; providing the substrate to the substrate supporting member; providing a plurality of electrical bias sources; providing an orifice plate in the processing chamber, the orifice plate having a plurality of orifice plate regions, wherein the plurality of At least two of the orifice plate regions are operatively connected to the individual bias voltages of the plurality of electrical bias voltage sources; the plasma source is used to generate plasma; the generated plasma is used to process the The substrate on the substrate support; and during the plasma processing of the substrate, applying individual bias voltages from the plurality of electrical bias sources to at least two of the plurality of orifice regions Orifice area. 如請求項1所述之方法,其中,所述多個孔板區域中的至少一個還包括環形幾何形狀。 The method according to claim 1, wherein at least one of the plurality of orifice plate regions further includes a circular geometric shape. 如請求項1所述之方法,其中所述基板還包括在框架上的膠帶上的半導體晶片。 The method according to claim 1, wherein the substrate further includes a semiconductor wafer on an adhesive tape on the frame. 如請求項1所述之方法,其中所述孔板還包括多個孔。 The method according to claim 1, wherein the orifice plate further includes a plurality of holes. 如請求項1所述之方法,其中所述孔板在所述基板的所述電漿處理期間被主動地冷卻一段時間。 The method according to claim 1, wherein the orifice plate is actively cooled for a period of time during the plasma processing of the substrate. 如請求項1所述之方法,其中所述多個孔板區域中的至少一個區域在所述基板的所述電漿處理期間接地一段時間。 The method according to claim 1, wherein at least one area of the plurality of orifice plate areas is grounded for a period of time during the plasma processing of the substrate. 一種使用離子過濾來調整輸送到基板的離子的數量的方法,所述方法包括:提供處理腔室;提供可操作地連接到所述處理腔室的電漿源;在所述處理腔室內提供基板支撐件;將所述基板提供到所述基板支撐件上;提供多個電偏壓源;在所述處理腔室中提供多個孔板,所述多個孔板中的至少一個孔板具有多個孔板區域,其中所述多個孔板區域中的至少兩個孔板區域可操作地連接到所述多個電偏壓源的個別偏壓;使用所述電漿源產生電漿;使用產生的所述電漿處理所述基板支撐件上的所述基板;以及在所述基板的所述電漿處理期間,將來自所述多個電偏壓源的個別偏壓電壓施加到所述多個孔板區域中的至少兩個孔板區域,其中所述多個孔板區域中的至少一個孔板區域在所述基板的所述電漿處理期間被主動地冷卻一段時間。 A method for adjusting the amount of ions delivered to a substrate using ion filtering, the method comprising: providing a processing chamber; providing a plasma source operably connected to the processing chamber; and providing a substrate in the processing chamber Supporting member; providing the substrate to the substrate supporting member; providing a plurality of electrical bias sources; providing a plurality of orifice plates in the processing chamber, at least one orifice plate of the plurality of orifice plates having A plurality of orifice plate areas, wherein at least two orifice plate areas of the plurality of orifice plate areas are operably connected to individual bias voltages of the plurality of electrical bias voltage sources; generating plasma using the plasma source; Using the generated plasma to process the substrate on the substrate support; and during the plasma processing of the substrate, applying individual bias voltages from the plurality of electrical bias sources to all At least two orifice plate areas of the plurality of orifice plate areas, wherein at least one orifice plate area of the plurality of orifice plate areas is actively cooled for a period of time during the plasma processing of the substrate. 如請求項7所述的方法,其中所述多個孔板區域中的至少一個孔板區域在所述基板的所述電漿處理期間接地一段時間。 The method according to claim 7, wherein at least one orifice plate area of the plurality of orifice plate areas is grounded for a period of time during the plasma processing of the substrate. 如請求項7所述之方法,其中在所述基板的所述電漿處理期間,所述多個孔板中的至少一個孔板被定位成與所述多個孔板中的至少一個孔板不平坦。 The method according to claim 7, wherein during the plasma processing of the substrate, at least one orifice plate of the plurality of orifice plates is positioned to correspond to at least one orifice plate of the plurality of orifice plates Uneven. 如請求項7所述之方法,其中在所述基板的所述電漿處理期間,所述多個孔板中的至少一個孔板被定位成與所述多個孔板中的至少一個孔板不平行。 The method according to claim 7, wherein during the plasma processing of the substrate, at least one orifice plate of the plurality of orifice plates is positioned to correspond to at least one orifice plate of the plurality of orifice plates Not parallel. 一種使用離子過濾來調整輸送到基板的離子的數量的方法,所述方法包括:提供處理腔室;提供可操作地連接到所述處理腔室的電漿源;在所述處理腔室內提供基板支撐件;將所述基板提供到所述基板支撐件上;提供電偏壓源;在所述處理腔室中提供孔板,所述孔板可操作地連接到所述電偏壓源;使用所述電漿源產生電漿;使用產生的所述電漿處理所述基板支撐件上的所述基板;以及將來自所述電偏壓源的可變偏壓電壓施加到所述孔板,在所述基板的所述電漿處理期間,所述偏壓電壓隨時間變化。 A method for adjusting the amount of ions delivered to a substrate using ion filtering, the method comprising: providing a processing chamber; providing a plasma source operably connected to the processing chamber; and providing a substrate in the processing chamber Supporting member; providing the substrate to the substrate supporting member; providing an electrical bias source; providing an orifice plate in the processing chamber, the orifice plate operably connected to the electrical bias source; using The plasma source generates plasma; the generated plasma is used to process the substrate on the substrate support; and a variable bias voltage from the electrical bias source is applied to the orifice plate, During the plasma processing of the substrate, the bias voltage changes with time. 如請求項11所述之方法,其中所述基板還包括在框架上的膠帶上的半導體晶圓。 The method according to claim 11, wherein the substrate further includes a semiconductor wafer on an adhesive tape on the frame. 如請求項11所述之方法,其中所述孔板還包括多個孔。 The method according to claim 11, wherein the orifice plate further includes a plurality of holes. 如請求項11所述之方法,其中所述孔板在所述基板的所述電漿處理期間被主動地冷卻一段時間。 The method according to claim 11, wherein the orifice plate is actively cooled for a period of time during the plasma processing of the substrate. 如請求項11所述之方法,其中所述孔板在所述基板的所述電漿處理期間接地一段時間。 The method according to claim 11, wherein the orifice plate is grounded for a period of time during the plasma treatment of the substrate. 如請求項11所述之方法,其中所述基板的所述電漿處理還包括將所述基板暴露於在所述基板上的沉積和蝕刻之間交替的電漿分時多工處理。 The method according to claim 11, wherein the plasma processing of the substrate further comprises exposing the substrate to a plasma time division multiplexing process of alternating between deposition and etching on the substrate. 一種使用離子過濾來調整輸送到基板的離子的數量的方法,所述方法包括:提供處理腔室; 提供可操作地連接到所述處理腔室的電漿源;在所述處理腔室內提供基板支撐件;將所述基板提供到所述基板支撐件上;提供電偏壓源;在所述處理腔室中提供多個孔板,所述多個孔板中的至少一個可操作地連接到所述電偏壓源;使用所述電漿源產生電漿;使用產生的所述電漿處理所述基板支撐件上的所述基板;以及將來自所述電偏壓源的可變偏壓電壓施加到所述多個孔板中的至少一個孔板,所述偏壓電壓在所述基板的所述電漿處理期間隨時間變化。 A method for adjusting the amount of ions delivered to a substrate using ion filtering, the method comprising: providing a processing chamber; Providing a plasma source operably connected to the processing chamber; providing a substrate support in the processing chamber; providing the substrate on the substrate support; providing an electrical bias source; A plurality of orifice plates are provided in the chamber, at least one of the plurality of orifice plates is operatively connected to the electrical bias source; the plasma source is used to generate plasma; the generated plasma is used to treat the plasma The substrate on the substrate support; and applying a variable bias voltage from the electrical bias source to at least one orifice plate of the plurality of orifice plates, the bias voltage being applied to the substrate The plasma treatment period varies with time. 如請求項17所述之方法,其中所述基板還包括在框架上的膠帶上的半導體晶圓。 The method of claim 17, wherein the substrate further includes a semiconductor wafer on an adhesive tape on the frame. 如請求項17所述之方法,其中所述多個孔板中的至少一個還包括多個孔。 The method according to claim 17, wherein at least one of the plurality of orifice plates further includes a plurality of holes. 如請求項17所述之方法,其中所述多個孔板中的至少一個在所述基板的所述電漿處理期間被主動地冷卻一段時間。 The method according to claim 17, wherein at least one of the plurality of orifice plates is actively cooled for a period of time during the plasma processing of the substrate. 如請求項17所述之方法,其中所述多個孔板中的至少一個在所述基板的所述電漿處理期間接地一段時間。 The method according to claim 17, wherein at least one of the plurality of orifice plates is grounded for a period of time during the plasma processing of the substrate. 如請求項17所述之方法,其中在所述基板的所述電漿處理期間,所述多個孔板中的至少一個孔板被定位成與所述多個孔板中的至少一個孔板不平坦。 The method according to claim 17, wherein during the plasma processing of the substrate, at least one orifice plate of the plurality of orifice plates is positioned to correspond to at least one orifice plate of the plurality of orifice plates Uneven. 如請求項17所述之方法,其中,在所述基板的所述電漿處理期間,所述多個孔板中的至少一個孔板被定位為與所述多個孔板中的至少一個孔板不平行。 The method according to claim 17, wherein, during the plasma processing of the substrate, at least one orifice plate of the plurality of orifice plates is positioned to correspond to at least one of the plurality of orifice plates The plates are not parallel. 如請求項17所述之方法,其中所述基板的所述電漿處理還包括將所述基板暴露於在所述基板上的沉積和蝕刻之間交替的電漿分時多工處理。 The method according to claim 17, wherein the plasma processing of the substrate further comprises exposing the substrate to a plasma time-division multiplexing process of alternating between deposition and etching on the substrate. 一種使用離子過濾來調整輸送到基板的離子的數量的方法,所述方法包括:提供處理腔室;提供可操作地連接到所述處理腔室的電漿源;在所述處理腔室內提供基板支撐件;將所述基板提供到所述基板支撐件上;提供多個電偏壓源;在所述處理腔室中提供孔板,所述孔板具有多個孔板區域,其中所述多個孔板區域中的至少兩個孔板區域可操作地連接到所述多個電偏壓源的個別偏壓;使用所述電漿源產生電漿;使用產生的所述電漿處理所述基板支撐件上的所述基板;以及在所述基板的所述電漿處理期間,將來自所述多個電偏壓源的個別偏壓電壓施加到所述多個孔板區域中的至少兩個孔板區域,至少一個偏壓電壓在所述基板的所述電漿處理期間隨時間變化。 A method for adjusting the amount of ions delivered to a substrate using ion filtering, the method comprising: providing a processing chamber; providing a plasma source operably connected to the processing chamber; and providing a substrate in the processing chamber Supporting member; providing the substrate to the substrate supporting member; providing a plurality of electrical bias sources; providing an orifice plate in the processing chamber, the orifice plate having a plurality of orifice plate regions, wherein the plurality of At least two of the orifice plate regions are operatively connected to the individual bias voltages of the plurality of electrical bias voltage sources; the plasma source is used to generate plasma; the generated plasma is used to process the The substrate on the substrate support; and during the plasma processing of the substrate, applying individual bias voltages from the plurality of electrical bias sources to at least two of the plurality of orifice regions In each orifice area, at least one bias voltage changes with time during the plasma processing of the substrate. 如請求項25所述之方法,其中所述多個孔板區域中的至少一個還包括環形幾何形狀。 The method according to claim 25, wherein at least one of the plurality of orifice plate regions further includes a circular geometric shape. 如請求項25所述之方法,其中所述基板還包括在框架上的膠帶上的半導體晶圓。 The method of claim 25, wherein the substrate further includes a semiconductor wafer on an adhesive tape on the frame. 如請求項25所述之方法,其中所述孔板還包括多個孔。 The method according to claim 25, wherein the orifice plate further includes a plurality of holes. 如請求項25所述之方法,其中在所述基板的所述電漿處理期間,所述孔板的至少一個孔板區域被主動地冷卻一段時間。 The method according to claim 25, wherein during the plasma processing of the substrate, at least one orifice plate area of the orifice plate is actively cooled for a period of time. 如請求項25所述之方法,其中在所述基板的所述電漿處理期間,所述孔板的至少一個孔板區域接地一段時間。 The method according to claim 25, wherein during the plasma treatment of the substrate, at least one orifice plate area of the orifice plate is grounded for a period of time. 如請求項25所述之方法,其中所述基板的所述電漿處理還包括使所述基板暴露於在所述基板上的沉積和蝕刻之間交替的電漿分時多工處理。 The method according to claim 25, wherein the plasma treatment of the substrate further comprises a plasma time-division multiplexing process in which the substrate is exposed to alternating between deposition and etching on the substrate. 一種使用離子過濾來調整輸送到基板的離子的數量的方法,所述方法包括:提供處理腔室;提供可操作地連接到所述處理腔室的電漿源;在所述處理腔室內提供基板支撐件;將所述基板提供到所述基板支撐件上;提供多個電偏壓源;在所述處理腔室中提供多個孔板,所述多個孔板中的至少一個孔板具有多個孔板區域,其中所述多個孔板區域中的至少兩個孔板區域可操作地連接到多個電偏壓源的個別偏壓;使用所述電漿源產生電漿;使用產生的所述電漿處理所述基板支撐件上的所述基板;以及在所述基板的所述電漿處理期間,將來自所述多個電偏壓源的個別偏壓電壓施加到所述多個孔板區域中的至少兩個孔板區域,至少一個偏壓電壓在所述基板的所述電漿處理期間隨時間變化。 A method for adjusting the amount of ions delivered to a substrate using ion filtering, the method comprising: providing a processing chamber; providing a plasma source operably connected to the processing chamber; and providing a substrate in the processing chamber Supporting member; providing the substrate to the substrate supporting member; providing a plurality of electrical bias sources; providing a plurality of orifice plates in the processing chamber, at least one orifice plate of the plurality of orifice plates having A plurality of orifice plate areas, wherein at least two orifice plate areas of the plurality of orifice plate areas are operably connected to individual bias voltages of a plurality of electrical bias voltage sources; using the plasma source to generate plasma; The plasma processes the substrate on the substrate support; and during the plasma processing of the substrate, individual bias voltages from the plurality of electrical bias sources are applied to the multiple In at least two of the orifice plate regions, at least one bias voltage changes with time during the plasma processing of the substrate. 如請求項32所述之方法,其中所述多個孔板區域中的至少一個還包括環形幾何形狀。 The method according to claim 32, wherein at least one of the plurality of orifice plate regions further includes a circular geometric shape. 如請求項32所述之方法,其中所述基板進一步包括在框架上的膠帶上的半導體晶圓。 The method of claim 32, wherein the substrate further includes a semiconductor wafer on an adhesive tape on the frame. 如請求項32所述之方法,其中所述多個孔板中的至少一個還包 括多個孔。 The method according to claim 32, wherein at least one of the plurality of orifice plates also includes Includes multiple holes. 如請求項32所述之方法,其中在所述基板的所述電漿處理期間,所述多個孔板區域中的至少一個孔板區域被主動地冷卻一段時間。 The method according to claim 32, wherein during the plasma processing of the substrate, at least one orifice plate area of the plurality of orifice plate areas is actively cooled for a period of time. 如請求項32所述之方法,其中在所述基板的所述電漿處理期間,所述多個孔板區域中的至少一個孔板區域接地一段時間。 The method according to claim 32, wherein during the plasma processing of the substrate, at least one orifice area of the plurality of orifice plate areas is grounded for a period of time. 如請求項32所述之方法,其中,在所述基板的所述電漿處理期間,所述多個孔板中的至少一個孔板被定位成與所述多個孔板中的至少一個孔板不平坦。 The method according to claim 32, wherein, during the plasma processing of the substrate, at least one orifice plate of the plurality of orifice plates is positioned to correspond to at least one of the plurality of orifice plates The board is not flat. 如請求項32所述之方法,其中在所述基板的所述電漿處理期間,所述多個孔板中的至少一個孔板被定位成與所述多個孔板中的至少一個孔板不平行。 The method according to claim 32, wherein during the plasma processing of the substrate, at least one orifice plate of the plurality of orifice plates is positioned to correspond to at least one orifice plate of the plurality of orifice plates Not parallel. 如請求項32所述之方法,其中所述基板的所述電漿處理還包括將所述基板暴露於在所述基板上的沉積和蝕刻之間交替的電漿分時多工處理。 The method according to claim 32, wherein the plasma processing of the substrate further comprises exposing the substrate to a plasma time-division multiplexing process of alternating between deposition and etching on the substrate.
TW106139940A 2016-11-18 2017-11-17 Ion filter TWI747998B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662424360P 2016-11-18 2016-11-18
US62/424,360 2016-11-18

Publications (2)

Publication Number Publication Date
TW201826387A TW201826387A (en) 2018-07-16
TWI747998B true TWI747998B (en) 2021-12-01

Family

ID=63640239

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106139940A TWI747998B (en) 2016-11-18 2017-11-17 Ion filter

Country Status (1)

Country Link
TW (1) TWI747998B (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6250250B1 (en) * 1999-03-18 2001-06-26 Yuri Maishev Multiple-cell source of uniform plasma
TWI267138B (en) * 2004-04-30 2006-11-21 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
US20100244699A1 (en) * 2009-03-27 2010-09-30 Centre National De La Recherche Scientifique - Cnrs - Inductive Plasma Applicator
TWI337381B (en) * 2005-12-26 2011-02-11 Engenuity Systems Inc
TW201417172A (en) * 2012-08-02 2014-05-01 Applied Materials Inc Semiconductor processing with DC assisted RF power for improved control

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6250250B1 (en) * 1999-03-18 2001-06-26 Yuri Maishev Multiple-cell source of uniform plasma
TWI267138B (en) * 2004-04-30 2006-11-21 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
TWI337381B (en) * 2005-12-26 2011-02-11 Engenuity Systems Inc
US20100244699A1 (en) * 2009-03-27 2010-09-30 Centre National De La Recherche Scientifique - Cnrs - Inductive Plasma Applicator
TW201417172A (en) * 2012-08-02 2014-05-01 Applied Materials Inc Semiconductor processing with DC assisted RF power for improved control

Also Published As

Publication number Publication date
TW201826387A (en) 2018-07-16

Similar Documents

Publication Publication Date Title
US20210265134A1 (en) Multi-zone gas distribution systems and methods
TWI720010B (en) Plasma etching systems and methods with secondary plasma injection
US11747494B2 (en) Ion filter using aperture plate with plurality of zones
US20210217591A1 (en) Process chamber for cyclic and selective material removal and etching
TW201438100A (en) Etching method of multilayer film
TWI695079B (en) Method and apparatus for depositing a material
JP4405588B2 (en) Plasma doping apparatus and method, and semiconductor device manufacturing method
US20190189396A1 (en) Plasma processing apparatus
CN105702572B (en) Plasma-etching method
JP2000311890A (en) Plasma etching method and device
US20170186591A1 (en) Cleaning method of plasma processing apparatus and plasma processing apparatus
JP3748230B2 (en) Plasma etching apparatus and shower plate
TWI747998B (en) Ion filter
KR20150139467A (en) Top dielectric quartz plate and slot antenna concept
JP2000031121A (en) Plasma discharger and plasma treating device
US20150279623A1 (en) Combined inductive and capacitive sources for semiconductor process equipment
JP2016117923A (en) Sputtering apparatus
JP3436931B2 (en) Apparatus and method for processing a substrate using plasma
RU2178219C1 (en) Method and device for plasmachemical treatment of substrates
US20220013324A1 (en) Single beam plasma source
JP6462072B2 (en) Plasma processing apparatus and plasma processing method
JP3686563B2 (en) Semiconductor device manufacturing method and plasma processing apparatus
JPS63301497A (en) Method of controlling plasma
JPH10298750A (en) Sputtering device
JP2001338911A (en) Plasma processing equipment and fabrication method for semiconductor equipment